WO2002065545A2 - Overlay alignment metrology using diffraction gratings - Google Patents

Overlay alignment metrology using diffraction gratings Download PDF

Info

Publication number
WO2002065545A2
WO2002065545A2 PCT/US2002/004190 US0204190W WO02065545A2 WO 2002065545 A2 WO2002065545 A2 WO 2002065545A2 US 0204190 W US0204190 W US 0204190W WO 02065545 A2 WO02065545 A2 WO 02065545A2
Authority
WO
WIPO (PCT)
Prior art keywords
gratings
grating
optical
layers
overlay
Prior art date
Application number
PCT/US2002/004190
Other languages
French (fr)
Other versions
WO2002065545A3 (en
Inventor
Abdurrahman Sezginer
Kenneth C. Johnson
Fred E. Stanke
Original Assignee
Sensys Instruments Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sensys Instruments Corporation filed Critical Sensys Instruments Corporation
Publication of WO2002065545A2 publication Critical patent/WO2002065545A2/en
Publication of WO2002065545A3 publication Critical patent/WO2002065545A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54453Marks applied to semiconductor devices or parts for use prior to dicing

Definitions

  • This invention relates to measuring the pattern overlay alignment accuracy of a pair of patterned layers on a semiconductor wafer, possibly separated by one or more layers, made by two or more lithography steps during the manufacture of semiconductor devices .
  • Manufacturing semiconductor devices involves depositing and patterning several layers overlaying each other.
  • gate interconnects and gates of a CMOS integrated circuit have layers with different patterns, which are produced by different lithography stages.
  • the tolerance of alignment of the patterns at each of these layers can be smaller than the width of the gate.
  • the smallest linewidth that can be mass produced is 130 nm.
  • the state of the art mean +3 ⁇ alignment accuracy is 30 nm (Nikon KrF Step-and-Repeat Scanning System NSR-S205C, July 2000) .
  • Overlay metrology is the art of checking the quality of alignment after lithography.
  • Overlay error is defined as the offset between two patterned layers from their ideal relative position.
  • Overlay error is a vector quantity with two components in the plane of the wafer.
  • overlay error may signify one of the components or the magnitude of the vector .
  • Overlay metrology saves subsequent process steps that would be built on a faulty foundation in case of an alignment error. Overlay metrology provides the information that is necessary to correct the alignment of the stepper-scanner and thereby minimize overlay error on subsequent wafers. Moreover, overlay errors detected on a given wafer after exposing and developing the photoresist can be corrected by removing the photoresist and repeating the lithography step on a corrected stepper-scanner. If the measured error is minor, parameters for subsequent steps of the lithography process could be adjusted based on the overlay metrology to avoid excursions. If overlay error is measured subsequently, e.g., after the etch step that typically follows develop, it can be used to "scrap" severely mis-processed wafers, or to adjust process equipment for better performance on subsequent wafers .
  • Prior overlay metrology methods use built-in test patterns etched or otherwise formed into or on the various layers during the same plurality of lithography steps that form the patterns for circuit elements on the wafer.
  • One typical pattern called “box-in-box” consists of two concentric squares, formed on a lower and an upper layer, respectively.
  • Bar-in-bar is a similar pattern with just the edges of the "boxes” demarcated, and broken into disjoint line segments, as shown in Figure 1.
  • the outer bars 2 are associated with one layer and the inner bars 4 with another.
  • one is the upper pattern and the other is the lower pattern, e.g., outer bars 2 on a lower layer, and inner bars 4 on the top.
  • topographies are complex and not truly planar so the designations "upper” and “lower” are ambiguous. Typically they correspond to earlier and later in the process.
  • the squares or bars are formed by lithographic and other processes used to make planar structures, e.g., chemical-mechanical planarization (CMP) .
  • CMP chemical-mechanical planarization
  • the patterns for the boxes or bars are stored on lithography masks and projected onto the wafer. Other methods for putting the patterns on the wafer are possible, e.g., direct electron beam writing from computer memory, etc.
  • a high performance microscope imaging system combined with image pro- cessing software estimates overlay error for the two layers.
  • the image processing software uses the intensity of light at a multitude of pixels. Obtaining the overlay error accurately requires a high quality imaging system and means of focusing it.
  • One requirement for the optical system is very stable positioning of the optical system with respect to the sample. Relative vibration would blur the image and degrade the performance. This is a difficult requirement to meet for overlay metrology systems that are integrated into a process tool, like a lithography track.
  • the tool causes potentially large accelerations (vibrations) , e.g., due to high acceleration wafer handlers.
  • the tight space requirements for integration preclude bulky isolation strategies.
  • the imaging-based overlay measurement precision can be two orders of magnitude smaller than the wave- length of the light used to image the target patterns of concentric boxes or bars. At such small length scales, the image does not have well determined edges because of diffraction. The determination of the edge, and therefore the overlay measurement, is affected by any factor that changes the diffraction pattern.
  • Chemical-mechanical planarization is a commonly used technique used to planarize the wafer surface at intermediate process steps before depositing more material. CMP can render the profile of the trenches or lines that make up the overlay measurement targets asymmetric.
  • Figure 2 illustrates an overlay target feature 2 which is a trench filled with metal. Surface 3 is planarized by CMP. The CMP process erodes the surface of the overlay mark 2 in an asymmetric manner.
  • the overlay target 2 is compared subsequently to target feature 4 in the overlying layer, which could be, e.g., photoresist of the next lithography step.
  • target feature 4 in the overlying layer
  • the asymmetry in target feature 2 changes the diffraction pattern, thus potentially causing an overlay measurement error .
  • Chappelow, et al teach obtaining the quantitative value of the overlay offset from the reflectance of targets that consists of identical line gratings that are overlaid upon each other on a planar substrate.
  • Each period of the target consists of four types of film stacks: lines of the lower grating overlapping with the spaces of the upper grating, spaces of the lower grating overlapping with the lines of the upper grating, lines of the lower and upper gratings overlapping, spaces of the lower and upper gratings over- lapping.
  • Chappelow et al approximate the reflectance of the overlapping gratings as the average of the reflectances of the four film stacks weighted by their area-fractions. This approximation, which neglects diffraction, has some validity when the lines and spaces are larger than largest wavelength of the reflectometer .
  • the reflectance of each of the four film stacks is measured at a so called macro-site close to the overlay target.
  • Each macro-site has a uniform film stack over a region that is larger than the measurement spot of the reflectometer .
  • a limitation of 4,757,207 is that spatial variations in the film thickness that are caused by CMP and resist loss during lithography will cause erroneous overlay measurements.
  • Another limitation of 4,757,207 is that reflectance is measured at eight sites in one over- lay metrology target, which increases the size of the target and decreases the throughput of the measurement.
  • "Another limitation of 4,757,207 is that the lines and spaces need to be large compared to the wavelength, but small compared to the measurement spot which limits the accuracy and precision of the measurement.
  • Another limitation of 4,757,207 is that the light intensity is measured by a single photodiode. The dependence of the optical properties of the sample is not measured as a function of wavelength, or angle of incidence, or polar- ization, which limits the precision of the measurement.
  • the "average reflectivity" approximation for the interaction of light with gratings greatly simplifies the problem of light interaction with a grating but neglects much of the diffraction physics.
  • the model used to interpret the data has "four distinct regions whose respective reflectivities are determined by the combination of layers formed by the substrate and the overlaid patterns and by the respective materials in the substrate and pat- terns.” Eq. 1 in the patent clearly indicates that these regions do not interact, i.e., via diffraction, as the total reflectivity of the structure is a simple average of the four reflectivities with area weighting.
  • IBM Technical Disclosure Bulletin 90A 60854 / GE8880210, March 1990, pp 170-174 teaches measuring offset between two patterned layers by overlapping gratings .
  • GE8880210 relies on "well known film thickness algorithms" to model the optical interactions. Such algorithms treat the electromagnetic boundary conditions at the interfaces between the planar layers or films.
  • the boundaries between the films are at constant z-z n , where z n is the location of the nth boundary.
  • Such algorithms, and hence GE880210 do not use a model that accounts for the dif- fraction of light by the gratings or the multiple scattering of the light by the two gratings, and it has no provision to handle non-rectangular line profiles.
  • the two gratings are scanned, either optically or with a stylus, so as to detect the individual undulations of the gratings as a function of position.
  • the overlay error is obtained from the spatial phase shift between the undulations of the two gratings.
  • the difference between the intensities of the 1 st and -1 st diffracted orders provides a feedback signal which can be used to align the wafer and the mask.
  • These inventions are similar to the present one in that they use overlapping gratings on two layers.
  • the 4,200,395 and 4,332,473 patents are applicable to mask alignment but not to overlay metrology. They do not teach how to obtain the quantitative value of the offset from the light intensity measure- ments. 4,200,395 and 4,332,473 are not applicable to a measurement system that only uses specular, i.e., zeroth- order diffracted light.
  • This invention is distinct from the prior art in that it teaches measuring overlay by scatterometry .
  • Measurements of structural parameters of a diffracting structure from optical characterization are now well known in the art as scatterometry .
  • a measurement sample is illuminated with optical radiation, and the sample properties are determined by measuring characteristics of the scattered radiation (e.g., intensity, phase, polarization state, or angular distribution) .
  • a diffracting structure consists of one or more layers that may have lateral structure within the illuminated and detected area, resulting in diffraction of the reflected (or transmitted) radiation. If the lateral structure dimensions are smaller than the illuminating wavelengths, then diffracted orders other than the zeroth order may all be evanescent and not directly observable. But the structure geometry can nevertheless significantly affect the zeroth-order reflection, making it possible to make optical measurements of structural features much smaller than the illuminating wavelengths.
  • a microstructure is illuminated and the intensity of re- fleeted or diffracted radiation is detected as a function of the radiation's wavelength, the incidence direction, the collection direction, or polarization state (or a combination of such factors) .
  • Direction is typically specified as a polar angle and azimuth, where the refer- ence for the polar angle is the normal to the wafer and the reference for the azimuth is either some pattern (s) on the wafer or other marker, e.g., a notch or a flat for silicon wafers.
  • the measured intensity data is then passed to a data processing machine that uses some model of the scattering from possible structures on the wafer.
  • the model may employ Maxwell's equations to calculate the theoretical optical characteristics as a function of measurement parameters (e.g., film thickness, line width, etc.), and the parameters are adjusted until the measured and theoretical intensities agree within specified convergence criteria.
  • the initial parameter estimates may be provided in terms of an initial "seed" model of the measured structure.
  • the optical model may exist as pre-computed theoretical char- acteristics as a function of one or more discretized measurement parameters, i.e., a "library”, that associates collections of parameters with theoretical optical characteristics.
  • the "extracted” structural model has the structural parameters associated with the optical model which best fits the measured characteristics, e.g., in a least-squares sense.
  • Conrad (U.S. Patent No. 5,963,329) is an example of the application of scatterometry to measure the line profile or topographical cross-sections.
  • the direct application of Maxwell's equations to diffracting structures, in contrast to non-diffracting structures (e.g., unpatterned films) is much more complex and time-consuming, possibly resulting in either a considerable time delay between data acquisition and result reporting and/or the need to use a physical model of the profile which is very simple and possibly neglects significant features .
  • Scheiner et al . (U.S. Patent No. 6,100,985) teaches a measurement method that is similar to that of Conrad, except that Scheiner 's method uses a simplified, approximate optical model of the diffracting structure that does not involve direct numerical solution of Maxwell's equations. This avoids the complexity and calculation time of the direct numerical solution. How- ever, the approximations inherent in the simplified model make it inadequate for grating structures that have period and linewidth dimensions comparable to or smaller than the illumination wavelengths.
  • the calculation time delay is substantially reduced by storing a multivariate statistical analysis model based on calibration data from a range of model structures.
  • the calibration data may come from the application of Maxwell's equations to parameterized models of the structure.
  • the statistical analysis e.g., as taught in chemometrics, is applied to the measured diffraction characteristics and returns estimates of the parameters for the actual structure.
  • the measurement method taught by McNeil uses diffraction characteristics consisting of spectroscopic intensity data.
  • a similar method can also be used with ellipsometric data, using ellipsometric parameters such as tan ⁇ , cos ⁇ in lieu of intensity data. For example, Xinhui Niu in "Specular Spectroscopic Scatterometry in DUV Lithography, " Proc. SPIE, vol. 3677, pp. 159-168,
  • the library method can be used to simultaneously measure multiple model parameters (e.g. linewidth, edge slope, film thickness).
  • Xu et al disclose a method for measuring the parameters of a diffracting structure on top of laterally homogeneous, non-diffracting films.
  • the disclosed method first constructs a reference database based on a priori information about the refractive index and film thickness of underlying films, e.g., from spectroscopic ellipsometry or reflectometry .
  • the "reference database” has "diffracted light fingerprints" or “signatures” (either diffraction intensities, or alternatively ellipsometric parameters) corresponding to various combinations of grating shape parameters.
  • the grating shape parameters associated with the signature in the reference database that matches the measured signature of the structure are then reported as the grating shape parameters of the structure.
  • An unbounded periodic structure is one that is invariant under a nonzero translation in a direction when there exists a minimum positive invariant translation in the said direction.
  • structures that are periodic in directions (substantially) parallel to the surface of a wafer are used to mean any manufactured object that is built by building up patterned, overlying layers. Silicon wafers for mi- croelectronics are a good example, and there are many others, e.g., flat panel displays.
  • a one-dimensional (ID) periodic structure has one direction in which it is invariant for any translation.
  • the lattice dimension is perpendicular to the invariant direction.
  • the smallest distance of translation along the lattice dimension which yields invariance is the pitch of the grating.
  • Two-dimensional gratings are also possible, with two lattice directions and pitches, as is well known.
  • a peri- odic structure is understood to be a portion of an unbounded periodic structure.
  • the periodic structure is understood to extend by more than one period along its lattice axes.
  • a grating is a periodic structure.
  • a diffraction grating is a grating used in a manner to interact with waves, in particular light waves.
  • a ID grating is also referred to as a "line grating" .
  • ⁇ and ⁇ ⁇ are the wavelength and angle of the incident wave in vacuum (or something effectively like vacuum, e.g., air)
  • n is the refractive index of the trans- parent medium that separates the two gratings.
  • P is the pitch of the grating.
  • the x-axis is the lattice axis and the z-axis is perpendicular to the plane of the wafer.
  • the Bragg orders are referenced by the integer in.
  • the Bragg orders for which k z 2 ⁇ 0 are called evanescent, non- propagating, or cut-off.
  • the evanescent Bragg orders have pure imaginary wavenumbers in the z direction.
  • the polar angle ⁇ and azimuth I are defined as shown in Figure 3, with respect to the lateral or in- plane directions x and y, and the vertical or out of plane direction z.
  • the figure applies generally to objects that are substantially planar, or locally to curved objects.
  • the orientation of the lateral directions x and y may correspond to physical features on the wafer, e.g. structures 5 deposited or formed on the wafer (substrate) , or actually part of the substrate, e.g., a wafer notch.
  • the spot of an optical instrument is the region on a sample whose optical characteristics are detected by the instrument.
  • the measurement system can translate the location of the spot on the sample, and focus it, as is well known in the art.
  • the present invention measures the overlay error of layers on a wafer with low-resolution optics.
  • the basic overlay metrology target used in the present invention comprises a pair of overlapping diffraction gratings, i.e., a lower grating on a lower (or earlier formed) layer and an upper (or later formed) grating.
  • the spot of the optical instrument preferably covers many periods of the gratings and it does not necessarily re- solve the lines of the grating.
  • the overlay error is measured by scatterometry, the measurement of optical characteristics, such as reflectance or ellipsometric parameters, as functions of one or more independent variables, e.g., wavelength, polar or azimuthal angles of incidence or collection, polarization, or some combination thereof.
  • An instrument meeting these objectives has utility in standard planar/photo-lithographic technology used for micro- electronics manufacture, as well as other technologies using multiple patterned layers. This has the advantage that the same measurement hardware used for other optical measurements, e.g., line profiles or film thicknesses, can be used for another critical measurement, that of overlay.
  • the method includes the steps of laying down a first grating during a first step of manufacturing (making) a planar structure, laying down a second grating during a second manufacturing step so that the second grating substantially overlaps the first grating (later- ally, in x and y) , then illuminating at least a portion of the region of overlap, detecting radiation that has interacted with both gratings, and inverting for the offset between the gratings as a parameter of a model.
  • the critical dimension (CD) and line profile also may be measured, simultaneously or with additional, similar measuring and data processing steps.
  • the apparatus comprises an instrument receiving a sample and including a source of illumination and a detector that detects light which has interacted with the sample.
  • the sample comprises a first grating fabricated at one stage of making a planar structure and characterized by a first pitch, a second grating with a second, possibly substantially identical, pitch that is formed during a second stage such that the second grating substantially overlaps the first grating in the lateral dimensions.
  • the pitches of the gratings and the parameters of the instrument are chosen such that some energy in one or more non-zero orders diffracted by one of the gratings propagates in the sample media between the two gratings and reaches the other grating.
  • the instrument is suitable for also measuring CD and line profile, as well as the overlay measurement mentioned above.
  • 'optical' means employing one or more wavelengths of electromagnetic radiation in the UV, visible, or infrared portions of the spectrum. It is also understood that each Bragg order has a range of propagation angle and a range of wavelength, given the nature of the instrument, e.g., numerical aperture (NA) and detector or source wavelength resolution.
  • NA numerical aperture
  • This method and apparatus overcomes the difficulties associated with vibrations caused by the process tool and the limited space available for vibration damping.
  • the apparatus comprises a process tool with at least one process chamber and a sample handler, an optical system in operative communication with the process tool, a computer equipped with an inverse model for interaction of light between two gratings where at least one parameter of the model is a lateral offset between two gratings.
  • One approach is to offset symmetric gratings by one fourth of the grating pitch when the overlay error is zero, so that positive and negative overlay errors have the least ambiguity, regardless of the optical system.
  • Another approach to extend the range of unambiguously detectable overlay errors is to make at least one of the gratings in the pair substantially asymmetric, that is to have the unit cell of its pattern asymmetric.
  • Another approach is to combine a scatterometry measurement of offset with an imaging measurement of offset (similar to the prior art, e.g., using box-in-box) .
  • a fourth approach is to have grating pairs with different pitches, preferably in a substantially irrational ratio, to measure the same component of overlay error. These four approaches may be used either separately or in combination to extend the range of unambiguously detectable overlay errors.
  • Figure 1 is a top plan view of a box-in-box pattern used for overlay metrology of the prior art.
  • Figure 2 is a side sectional view of a wafer portion having the prior art overlay metrology pattern of Figure 1, illustrating a test pattern that has been rendered asymmetric by a planarization (CMP) process.
  • CMP planarization
  • Figure 3 is a perspective diagram illustrating the definition of angle of incidence ⁇ ⁇ and azimuth angle ⁇ as used herein.
  • Figure 4 is a diagram of the measurement instrument in relation to the test patterns .
  • Figure 5 is a top view of a simple first embodiment of test patterns according to the present inven- tion, the patterns being in the form of two sets of overlapping gratings placed in an inactive area on a wafer for measuring respective x and y components of the overlay.
  • Figure 6 is a cross sectional view of one of the test patterns in Figure 5, showing the overlapping diffraction gratings.
  • Figure 7 is a cross sectional view like Figure 6 except that the profile of the line features of the lower grating have been rendered asymmetric by a planarization (CMP) process.
  • CMP planarization
  • Figures 8a-8c are side schematic views showing how a grating pair with symmetric gratings gives unambiguous overlay error indications over a range of one half the grating's period.
  • Figure 8d is a graph of coverage function versus indicator offset ⁇ for the grating pairs in Figures 8a-8c.
  • Figure 9 is a side schematic view of a portion of the grating pair of Figure 6 illustrating the configuration and dimensions used in the numerical study in Figures lOa-lOd and 11.
  • Figures 10a to lOd are graphs of reflectance versus wavelength when the registration error in the configuration of Figure 9 is respectively ⁇ 8nm, ⁇ 32nm, ⁇ 64nm, and ⁇ 128nm, where the grating period in each case is 512nm. Reflectance versus wavelength for zero offset is used as a comparative reference curve in each of the graphs .
  • Figure 11 is a graph of reflectance change per offset change (dR/d ⁇ ) versus wavelength, i.e. spectral sensitivity to overlay error, for different grating pitches (256nm, 512nm and 1024nm) .
  • Figure 12 is a side cross sectional view of a test pattern of overlapping diffraction gratings, as in Figures 6 and 9, except that the gratings have an asym- metric line width and spacing configuration. Preferred nominal dimensions for the calculation used to produce the graphs in Figures 14 and 15a-15k are also indicated.
  • Figures 13a and 13b are side cross sectional views of test patterns as in Figure 12, but with respec- tive right and left overlay offsets, illustrating the ability to distinguish and measure small, opposite overlay errors .
  • Figure 14 is a graph of reflectance versus wavelength at normal incidence for the test pattern of Figure 12 with perfect overlay alignment.
  • Figures 15a to 15k are graphs of the difference in spectral reflectance relative to the values in Figure 14 for overlay errors of ⁇ lnm, ⁇ 2nm, ⁇ 5nm, ⁇ lOnm, ⁇ 20nm, ⁇ 50nm, ⁇ lOOnm, ⁇ 200nm, ⁇ 300nm, ⁇ 400nm, and ⁇ 500nm, respec- tively.
  • Figure 16 is a graph of linear estimate of overlay as a function of the actual overlay.
  • Figure 17 is a plan view of a quasi-one-dimensional, asymmetric grating.
  • Figure 18 is a schematic side view showing parameters for grating lines with asymmetric profile.
  • Figures 19 and 20 are flow diagrams for two methods in accord with the present invention for using the parameters in Figure 18 to calculate the overlay error .
  • Figure 21 is a schematic side view of an alternative test pattern for differential measurement of alignment offset which is insensitive to geometrical and material properties of the gratings.
  • Figure 22 is a top view of an alternative embodiment that uses a three-dimensional grating.
  • Figure 23 shows mirrored images of the three- dimensional grating of Figure 22 which can be used with that grating to reduce sensitivity to geometrical and material properties of the gratings.
  • Figure 24 shows a top schematic view of a process tool with a metrology system suitable for practicing the current invention.
  • Figure 25 is a cross sectional view of one of a test patterns where, although the material between the two gratings is lossy, there is sufficient physical indication of the lower grating to affect the optical characteristics and allow the measurement of overlay.
  • test patterns 10 and 20 are placed in a region on the wafer that does not interfere with the devices that are being manufactured.
  • the test patterns can be placed on a scribe line 7 between the dies on a wafer.
  • Test pattern 20 is similar to test pattern 10 rotated by 90 degrees.
  • Each of the test patterns 10 and 20 consists of two overlying grat- ings 30 and 32 diagrammatically shown in cross section in Figure 6 or 7.
  • Figure 7 differs from Figure 6 only in that the line features in lower grating 30 have an asymmetric profile, e.g. due to a chemical-mechanical planarization (CMP) process.
  • CMP chemical-mechanical planarization
  • Grating 30 is formed on the lower layer, i.e., at an earlier stage of fabrication.
  • Grating 32 is subsequently formed on the upper layer, which needs to be well aligned laterally with the lower layer.
  • the upper and lower layers may overlap in the vertical direction z due to a lack of planarity in the layer manufacture.
  • the layers 31 are transparent or partially transparent to light, at least in part of the wavelength spectrum detected by the optical instrument. Referring to Figure 4, the test patterns 10 and
  • the optical instrument 40 can be virtually any optical instrument that illuminates the sample and records at least one property of light that has inter- acted with the sample.
  • the instrument preferably operates in reflection mode.
  • Embodiments include reflectometers and ellipsometers, which are well known in the art.
  • a reflectometer measures some function of the intensity of light reflected from the sample.
  • the optical instrument measures spectral reflectance R.
  • Stanke et al . give a complete description of such an optical instrument in U.S. patent application no. 09/533,613, Apparatus for Imaging Metrol ogy, which is incorporated herein by reference. There are many other instruments described in the literature suitable for alternative embodiments.
  • An ellipsometer measures some function of the complex ratio r P /r s of the complex reflection coefficients for the P and ⁇ polarizations.
  • Piwonka-Corle et al describe in detail a suitable ellipsometer for practicing the current method in U.S. Patent No. 5,608,526, Focused Beam Spectroscopic Ellipsometry Method and System, which is incorporated herein by reference.
  • Other ellipsometers could also be used.
  • the optical electric field is parallel and perpen- dicular to the plane of incidence for the P and S polarizations, respectively.
  • Other parameterizations of the results from ellipsometry are possible.
  • the rota- tional Fourier coefficients of intensity measured by a rotating-compensator ellipsometer as discussed in "Broadband spectral operation of a rotating-compensator ellipsometer", by Opsal et al . , Thin Solid Films, 313-314 (1998), 58-61.
  • measurements are made as functions of one or more independent optical variables .
  • Independent optical variables can include the wavelength ⁇ , polar angles ⁇ , azimuthal angles ⁇ and polarization states, for incident and scattered light.
  • Different embodiments may include any combination of the properties of incident and detected light, similar to those discussed above, at any combination and range of the independent optical variables ⁇ , ⁇ , ⁇ .
  • the preferred embodiment for integration in process tools uses wavelength ⁇ as the independent variable.
  • the preferred optical instrument contains a broadband light source 42 and a spectroscopic detector 44.
  • the wavelength spectrum of light source 42 and the spectral sensitivity of detector 44 overlap substantially.
  • the spot 46 of optical instrument 40 is preferably completely contained in the gratings 10 and 20, one at a time.
  • the spot may be sensitive to a region on the wafer that contains other zones, e.g., a zone surrounding an overlay pattern, and the data interpreted accordingly, e.g., with the method described in U.S. patent application no. 09/735,286 or in U.S. Patent No. 6,100,985.
  • the size of spot 46 is preferably many times the grating period. The measurement is substantially insensitive to lateral shift or vibration of the sample, especially when spot 46 is contained in one of the test patterns.
  • the diame- ter of the spot is typically 40 ⁇ m
  • gratings 10 and 20 are 80 ⁇ m by 80 ⁇ m each
  • the pitches of all the gratings are 0.5 - 1.0 ⁇ m (with 1.0 ⁇ m being preferred)
  • the wavelength interval is 250 nm to 800 nm.
  • the angle ⁇ is preferably indeterminate.
  • the invention is not limited to these particular optical parameters .
  • the optical measurement does not rely on imaging or scanning the patterns 10 and 20.
  • the detector 44 need not have pixels that correspond to different positions on the wafer.
  • the measurement is ideally independent of the position of spot 46, especially when the spot is completely contained within grating area 10 or 20. Even if the spot is not contained within the grating area, the sensitivity to precise placement of the spot with respect to the grating is weak and does not preclude a useful measurement of overlay. Because the diffraction grating 30 is contained in the lower or earlier formed layer and the diffraction grating 32 is contained in the upper or later formed layer, the position of grating 32 relative to grating 30 depends on the alignment offset of the two layers. The way the Bragg orders interfere depends on the amount of the lateral offset between the two gratings.
  • the observed reflectance from the test pattern 10 depends on independent variables (e.g., wavelength) and the overlay error of the two layers along the x-axis. Overlay error can be deduced from the characterization of reflected light as a function of independent variable (s), as described below.
  • the reflectance from grating pattern 20 depends on the overlay error of the two layers along the y-axis.
  • the measurement depends on optical interaction of the two gratings.
  • the gratings interact through Bragg orders. Some Bragg orders are propagating, and some are evanescent or non-propagating. Depending on the degree of evanescence and the distance between the two gratings, evanescent orders may contribute to this interaction. However, in the preferred embodiment, at least two orders are propagating in region 31 between the two gratings. Generally, the zeroth order will be propagating. This will always be the case if the refractive index (indices) of the material (s) between gratings 30 and 32 are greater than or equal to the refractive index of the medium that contains the device under test, or wafer. In order for a (positive or negative) first order to be propagating in the region between the two gratings :
  • the layers between the gratings are lossy, and the refractive index n has an imaginary part, all the orders are attenuated to some extent as they propagate through the lossy medium. However, in practice, a first order will give the desired interaction as long as the attenuation ratio through all intervening layers of thickness t
  • the upper and lower gratings have the same pitch and the same linewidth.
  • Figures 8b and 8c show different values of the indicator offset D x and D 2 .
  • the upper grating is periodic, as the portion of upper line 87a has entered period P from the left and some of portion 87b has exited P, due to indicator offset D 2 .
  • the lower grating is also periodic, although it is not apparent in the figure.
  • Figure 8d shows the coverage function for this grating pair, the relative proportion of lower line 85 covered by upper line 87.
  • a value of unity for the indi- cator function indicates that the upper line covers all of the lower line.
  • the gratings 30 and 32 are preferably offset with respect to each other when the two layers have perfect (zero) overlay. In the preferred implementation, gratings 30 and 32 are offset by a quarter period at perfect overlay.
  • Figures 10a to lOd show examples of theoreti- cally calculated reflectances for various overlays of the gratings in Figure 6 that demonstrate the ability to distinguish positive and negative overlay.
  • Figure 11 shows that a smaller pitch gives greater sensitivity to overlay as long as the first Bragg order is propagating.
  • Figure 9 shows the configuration and the dimensions of the gratings used in the numerical example shown in Figures lOa-lOd and 11. The two gratings are designated to be offset from each other by a quarter period when the two layers are perfectly registered. It is advantageous to use a grating pair with at least one asymmetric grating.
  • FIG. 12 shows a preferred embodiment of a grating pair with two asymmetric gratings .
  • the asymmetry refers to the different widths and spacing of the grating lines, rather than an asymmetry in the profile of the individual lines of a grating.
  • Both lower grating 120 and upper grating 122 have the same pitch P.
  • the pitch P may be nominally 1 micron.
  • Both gratings have narrow lines 123, narrow spaces 124, wide lines 125 and wide spaces 126 in one unit cell, i.e., one pitch P.
  • the narrow lines and spaces may be all nominally 160 nm wide.
  • the wide lines and spaces may be all nominally 340 nm wide.
  • Lower grating 120 has polysilicon lines separated by oxide spaces and may be nominally 93 nm thick (or high) .
  • Upper grat- ing 122 may have nominally 380 nm high photoresist lines with air spaces.
  • Lower grating 120 rests on gate oxide 115 which in turn lies upon silicon substrate 110.
  • Interlayer dielectric 121 is typically a silicon dioxide preparation such as TEOS or BPSG. Other dimensions and materials could be used.
  • the preferred embodiment refers explicitly to polysilicon structures in the lower grating, as are currently used for gates) many other structures are possible, e.g., for isolation trenches or metal lines embedded in interlayer dielectric, as is well known in the art.
  • the upper grating in the preferred embodiment contains photoresist, but alternative embodiments may have alternative structures, like etched struc- tures .
  • Figure 13a shows grating pair 130 with small offset D 0 of the upper grating to the right with respect to the lower grating.
  • Figure 13b shows grating pair 135 with its upper grating having a shift D x to the left with respect to the lower.
  • These are shifted versions of the grating pair in Figure 12 , which shows the preferred shift (between upper and lower gratings) for perfect overlay.
  • the upper and lower gratings in that figure are aligned, which would render small positive and negative overlay errors ambiguous if the gratings were symmetric, as discussed above, for an optical system without left/right sensitivity.
  • Figure 14 shows the calculated spectral reflectance at normal incidence for the structure in Figure 12 at perfect overlay alignment. The calculations in this example are based on the nominal preferred dimensions shown in Figure 12.
  • Figures 15a through 15k show the change in the calculated spectral reflectance from that of perfect overlay in Figure 14 for overlay errors of ⁇ lnm, ⁇ 2nm, ⁇ 5nm, ⁇ lOnm, ⁇ 20nm, ⁇ 50nm, ⁇ lOOnm, ⁇ 200nm, ⁇ 300nm, ⁇ 400nm, and ⁇ 500nm, respectively.
  • the graphs show the ability to distinguish positive and negative overlay error up to, but not including, overlay errors of one-half of the grating pitch.
  • Figure 15k shows that for a pitch of lOOOnm, the results of +500nm and -500nm over- lay are indistinguishable.
  • Figure 16 shows the linear estimate of overlay as a function of actual overlay.
  • the preferred method of introducing asymmetry into the gratings is to use multiple lines and spaces in the gratings per period as discussed above.
  • the advan- tage is that the desired asymmetry is likely to stay intact regardless of process parameters.
  • grating 170 is made of features 172 that are nominally lines, but they have asymmetric features 174 that break the reflection symmetry in lattice dimension x.
  • the optical model for the structure 172 might approximate it as a one-dimensional grating, with some "perturbation" on one edge. Offsetting individual lines by different amounts in y could improve the validity of such an approximation. The averaging of the optical system along the invariant direction would support such approximation.
  • asymmetry may be introduced not in the patterns for the structures, but by known process charac- teristics. For example, CMP currently is known to introduce asymmetry in gratings. Controlling (or knowing) this asymmetry locally can give the desired asymmetry to the overlay metrology structure, to resolve the ambiguities associated with offset by half a period.
  • a camera 48 and image recognition software may be used to position spot 46 so that it is contained in diffraction grating 10 and 20, one at a time.
  • the spot preferably senses many periods of the gratings.
  • Either the optics of instrument 40, the stage that holds the wafer or both are movable.
  • a computer code assesses the relative position of the wafer and optics based on the image from camera 48 and translates the wafer and/or the optics until the desired alignment is achieved. The tolerance of this alignment is large, on the order of 1 to 10 micrometers, i.e. greater than the desired overlay precision.
  • Camera 48 is used only to find the measurement site. It does not contribute to the data that is used to measure the overlay error with high precision. However, camera 48 can be used to measure gross overlay errors that exceed plus or minus half the period of the diffrac- tion gratings 120 and 122 ( Figure 12) .
  • the offset mea- sured using the test patterns 10 and 20 is uncertain up to an integer multiple of grating periods, if the upper and lower gratings 120 and 122 are substantially asymmetric. For symmetric gratings, e.g., 30 and 32 in Figure 6, the offset is uncertain up to an integer multiple of half grating periods. Any low-resolution overlay error measurement could be used to resolve this ambiguity. This uncertainty is preferably removed by using camera 48 and a conventional box-in-box or bar-in-bar pattern in addition to test patterns 10 and 20.
  • x-uncertainty in the overlay measurement along the x-axis can be reduced by providing two test structures 10a and 10b, each similar to test structure 10, but having different grating periods.
  • the ratio of the periods is preferably an irrational number
  • the same approach can be used in the y direction, e.g., with two test structures 20a and 20b in place of structure 20 to measure the offset along the y- axis .
  • the diffraction characteristics and optical response of the test structures depend on the geometric and material properties of gratings 30 and 32, intermediate layers 31, and substrate or underlying layers 29.
  • Overlay metrology requires the knowledge of these parameters . Material properties are preferably obtained by performing ellipsometric measurements on films of these materials deposited on well characterized substrates such as silicon wafers as a separate step to actually measuring overlay error.
  • the geometric parameters of the gratings and the films are preferably obtained from the spectroscopic data by regression, e.g., fitting a model to the data by nonlinear least squares.
  • the model for interaction of light with the two gratings preferably allows explicitly for the volume nature of the grating, and for boundaries between materials of differing properties in at least two dimensions.
  • the preferred model is rigorous coupled wave analysis, similar to the models employed in patents 5,963,329 and 5,867,276.
  • Alternative models for electromagnetic scattering from a volume include, e.g., the finite element method, the boundary integral method, Green's function formulations of scattering from volumes, etc.
  • Figure 18 shows a parameterization for the preferred model of overlay and line profiles of two diffraction gratings 30 and 32.
  • Parameters x 0 , x x , ..., x 7 describe the two grating lines and their offset (x 4 ) .
  • calculating the optical response of the overlapping gratings on a sample can take into account the profiles of the grating structures, including asymmetries caused by manufacturing processes .
  • One embodiment of a nonlinear least squares fit operation determines (i.e., estimates) these unknown parameters.
  • the asymmetry of grating line 32 is accounted by the two independent parameters x 2 and x 3 .
  • the alignment offset as well as grating parameters can be obtained by finding the model in the library that matches the measured spectrum most closely.
  • This approach uses a single grating pair 30 and 32 to determine a single component of offset error. It is preferred, e.g., over the method using a pair of grating pairs, described below, to keep the 'real estate' on the wafer required for test patterns to a minimum.
  • a flow diagram of one such algorithm is shown in Figure 20.
  • a database or library of optical responses is pre-computed 200 for overlapping grating structures with several values of overlay and profile parameters. Then, as before, reflectometry or ellipsometry measurements are performed 201 on a sample's test pattern.
  • the values stored in the library are used to calculate 202 a theoretical optical response, which is compared 203 with the measured response.
  • the values in the library may optionally be the desired theoretical optical response, quantities used to facilitate the calculation of such a response.
  • Parameters are changed 204 and updated theoretical responses are calculated 202 using the library until a "best" match is found 203.
  • the overlay (and optionally the CD and profile parameters) are then reported 205.
  • the response of the overlapping gratings can be obtained at measurement time by interpolating between discrete entries in the database. In other embodiments, samples of either one or the other of the two overlying gratings used to measure overlay error is available without its mate, on some portion of the wafer.
  • the method adds one or more steps for measuring the optical characteristics of single grat- ings (as opposed to overlying pairs) , and possibly for measuring parameters of single gratings, to constrain the measurement of overlay error on the pair of gratings. In some cases this may involve storing the optical response characteristics from a previous process step in the fab- rication of the wafer, e.g., for the lower grating in the pair of gratings.
  • An alternative, preferred embodiment of the method that is less sensitive to wafer-to-wafer variations in the geometric and material properties of the test structures uses, for the x direction, two gratings as shown in cross section in Figure 21.
  • the same approach is preferably applied to another direction, e.g., along the y- axis.
  • Gratings 212a and 212b are mirror images of each other.
  • Gratings 214a and 214b are mirror images of each other.
  • At least one of the gratings 212a and 214a in test pattern 210a are asymmetric.
  • at least one of the gratings 212b and 214b in test pattern 210b are asymmetric.
  • the geometric and material properties of test structures 210a and 210b are substantially similar because the two test structures are located close to each other and the same process steps produce them.
  • grating 214a is offset from grating 212a along the x-axis by -D 0
  • grating 214b is offset from grating 212b by +D 0 along the x-axis.
  • the test structures 210a and 210b have the same reflectance by symmetry.
  • the reflectance of the test structures 210a and 210b change differently.
  • the difference of the reflectance spectra from 210a and 210b is indicative of the offset between the two layers.
  • the difference is zero at perfect alignment even if the grating properties change from wafer to wafer or within the wafer, as long as they are the same for the two neighboring structures .
  • the difference in the spectral reflectance of gratings 210a and 210b is proportional to overlay error D for small (on the order of 0.1 mm) overlay errors:
  • the proportionality constant between the norm of the spectral difference and the alignment offset is preferably determined by solving Maxwell's equations on a theoretical model of the test structure before the measurements.
  • the proportionality constant can be determined empirically.
  • the proportionality constant itself can be a function of some other measured parameter or parameters on the wafer, e.g., a critical dimension, a layer thickness, or an optical property.
  • the function relating the measure of the spectral difference may be a more complex function of overlay error, e.g., a polynomial or some other empirical function based on theoretical model or controlled measurements.
  • the data e.g., a polynomial or some other empirical function based on theoretical model or controlled measurements.
  • an ellipsometer can measure the optical characteristics of the pair of grating pairs to be compared. Both grating pairs will be affected in substantially the same manner by ancillary changes, yet will be affected in opposite ways by the offsets associated with overlay error.
  • a two-dimensional grating 220 may be used as shown in Figure 22 to obtain both x and y components of the offset simultaneously.
  • at least one of the upper and lower gratings is asymmetric in both x and y directions, as shown in Figure 22.
  • the pattern is different in x and y directions; i.e., the pattern is not self similar under ⁇ 90° rotations in the plane of the wafer.
  • use of a single two-dimensional grating is possible, offering less need for real estate on the wafer.
  • the data contains at least one spectroscopic measurement that is not at normal incidence, i.e., q x 0, to assist in distinguishing the two dimensions.
  • the rotation of the wafer with respect to the optical system should be controlled so that f is controlled.
  • Figure 24 shows a processing tool 240.
  • the tool comprises at least one port 242 for loading samples to be processed, at least one robot 244 for transporting samples within the tool, at least one process module 246 for actually applying a manufacturing process to a sample, and an optical instrument 40, as described above with Figure 4.
  • the process module may be a lithography stepper for exposing photoresist on a wafer, a developer for developing photoresist, a bake or cool plate, a spinner, an etch chamber, a deposition chamber, or any other processing tool known in the art.
  • processing tool 240 is a lithography track with a stepper
  • process module 246 is a photoresist devel- oper .
  • Samples to be processed are loaded into port 242, and passed by robot 244 to the process module for processing. After the processing is done, robot passes the sample to optical apparatus 40, which measures at least the overlay error of the developed film relative to an underlying film. If the overlay is acceptable, the sample is returned to port 242 (or another one like it) , possibly after other manufacturing steps. If the overlay is deemed unacceptable, preferably action is taken to correct the error on the measured wafer, i.e., the photoresist is stripped and the wafer is reprocessed with adjusted process parameters. Alternatively, action is taken to prevent or reduce such errors on future samples.
  • Figure 12 shows the preferred embodiment of the method where the top grating 122 is composed of developed photoresist on top of TEOS layer 121 which will be etched in a following process step.
  • the method alternatively can be applied when the top layer is resist that has been exposed by the lithography tool but not yet developed.
  • the top grating 122 would be a so-called latent image in the exposed photoresist.
  • the latent image comprises variations in the optical properties between exposed and unexposed regions of the resist, and/or topography in the top surface due to differential shrinkage due to exposure.
  • the optical character- ization is preferably performed after a bake process, e.g., for so-called chemically amplified resists.
  • the advantage of using the latent image as the top grating is that errors can be discovered sooner, less process time wasted and possibly fewer samples produced with such errors. However, the latent image does not scatter as strongly as the developed resist.
  • the top grating 122 may comprise an etched pattern, for example, the upper surface of TEOS layer 121 of Figure 12 after etching.
  • the photoresist may or may not still be present, and there may or may not be deposits on the side walls of the etched trenches 124 and 126.
  • These additional components are typically removed by ashing and/or wet cleaning after the etch process. It is advantageous from the timing point of view to measure the overlay error before these are removed, however, it is easier from a modeling point of view to do it afterwards.
  • region 252 separating lower grating 254 and upper grating 256 may comprise optically lossy materials, so that little or no optical energy passes between the two gratings.
  • Such situations may arise in microelectronics manufacture when patterning the intervening mate- rial 256 to form poly-silicon gates or Damascene metal interconnects.
  • ancillary physical properties such as the topography of surface 258 due to the presence of underlying grating 254, provides sufficient modification of the optical characteristics to allow measurement of overlay with the same general method. If a theoretical model is used to invert the data, it would comprise, for example, the loss in region 252, the topography of surface 258, and the offset between that topography and grating 256.
  • gratings Periodic, laterally Cartesian gratings are preferred at the present time due to speed limitations of computational methods and hardware for the scattering from the structures.
  • the above methods are also applicable to more general scattering structures which may be more practical when models to describe their scattering become available.
  • non- periodic 'gratings' e.g., variable pitch gratings and 'single-period gratings'
  • non-Cartesian gratings e.g., generally circular gratings
  • the above descriptions implied that the upper and lower gratings have the same pitch (es) and orientation.
  • overlay error may be measured directly on the "device structures" on the wafer, without using specially designed test structures that are typically built in otherwise “wasted” regions, e.g., scribe lines.

Abstract

Alignment accuracy between two or more patterned layers is measured using a metrology target (10, 20) comprising substantially overlapping diffraction gratings (30, 32; 81, 83; 120, 122; 212a-b, 214a-b; 220; 230a-c; 254; 256) formed in a test area of the layers being tested. An optical instrument (40) illuminates all or part of the target area and measures the optical response. The instrument can measure transmission, reflectance, and/or ellipsometric parameters as a function of wavelength, polar angle of incidence, azimuthal angle of incidence, and/or polarization of the illumination and detected light. Overlay error or offset (Δ) between those layers containing the test gratings is determined by a processor programmed (Figs. 19-20) to calculate an optical response for a set of parameters that include overlay error, using a model that accounts for diffraction by the gratings and interaction of the gratings with each others' diffracted field. The model parameters might also take account of manufactured asymmetries. The calculation may involve interpolation of pre-computed entries from a database accessible to the processor. The calculated and measured responses are iteratively compared and the model parameters changed to minimize the difference.

Description

Description
OVERLAY ALIGNMENT METROLOGY USING DIFFRACTION GRATINGS
TECHNICAL FIELD
This invention relates to measuring the pattern overlay alignment accuracy of a pair of patterned layers on a semiconductor wafer, possibly separated by one or more layers, made by two or more lithography steps during the manufacture of semiconductor devices .
BACKGROUND ART
Manufacturing semiconductor devices involves depositing and patterning several layers overlaying each other. For example, gate interconnects and gates of a CMOS integrated circuit have layers with different patterns, which are produced by different lithography stages. The tolerance of alignment of the patterns at each of these layers can be smaller than the width of the gate. At the time of this writing, the smallest linewidth that can be mass produced is 130 nm. The state of the art mean +3σ alignment accuracy is 30 nm (Nikon KrF Step-and-Repeat Scanning System NSR-S205C, July 2000) .
Overlay metrology is the art of checking the quality of alignment after lithography. Overlay error is defined as the offset between two patterned layers from their ideal relative position. Overlay error is a vector quantity with two components in the plane of the wafer.
Perfect overlay and zero overlay error are used synonymously. Depending on the context, overlay error may signify one of the components or the magnitude of the vector . Overlay metrology saves subsequent process steps that would be built on a faulty foundation in case of an alignment error. Overlay metrology provides the information that is necessary to correct the alignment of the stepper-scanner and thereby minimize overlay error on subsequent wafers. Moreover, overlay errors detected on a given wafer after exposing and developing the photoresist can be corrected by removing the photoresist and repeating the lithography step on a corrected stepper-scanner. If the measured error is minor, parameters for subsequent steps of the lithography process could be adjusted based on the overlay metrology to avoid excursions. If overlay error is measured subsequently, e.g., after the etch step that typically follows develop, it can be used to "scrap" severely mis-processed wafers, or to adjust process equipment for better performance on subsequent wafers .
Prior overlay metrology methods use built-in test patterns etched or otherwise formed into or on the various layers during the same plurality of lithography steps that form the patterns for circuit elements on the wafer. One typical pattern, called "box-in-box" consists of two concentric squares, formed on a lower and an upper layer, respectively. "Bar-in-bar" is a similar pattern with just the edges of the "boxes" demarcated, and broken into disjoint line segments, as shown in Figure 1. The outer bars 2 are associated with one layer and the inner bars 4 with another. Typically one is the upper pattern and the other is the lower pattern, e.g., outer bars 2 on a lower layer, and inner bars 4 on the top. However, with advanced processes the topographies are complex and not truly planar so the designations "upper" and "lower" are ambiguous. Typically they correspond to earlier and later in the process. There are other patterns used for overlay metrology. The squares or bars are formed by lithographic and other processes used to make planar structures, e.g., chemical-mechanical planarization (CMP) . Currently, the patterns for the boxes or bars are stored on lithography masks and projected onto the wafer. Other methods for putting the patterns on the wafer are possible, e.g., direct electron beam writing from computer memory, etc.
In one form of the prior art, a high performance microscope imaging system combined with image pro- cessing software estimates overlay error for the two layers. The image processing software uses the intensity of light at a multitude of pixels. Obtaining the overlay error accurately requires a high quality imaging system and means of focusing it. Some of this prior art is reviewed by the article "Semiconductor Pattern Overlay", by Neal T. Sullivan, Handbook of Critical Dimension Metrology and Process Control: Proceedings of Conference held 28-29 September 1993, Monterey, California, Kevin M. Monahan, ed. , SPIE Optical Engineering Press, vol. CR52, pp. 160-188. A. Starikov, D.J. Coleman, P.J. Larson,
A.D. Lapata, . A. Muth, in "Accuracy of Overlay Measurements: Tool and Mark Asymmetry Effects," Optical Engineering, vol. 31, 1992, p. 1298, teach measuring overlay at one orientation, rotating the wafer by 180°, measuring overlay again and attributing the difference to tool errors and overlay mark asymmetry.
One requirement for the optical system is very stable positioning of the optical system with respect to the sample. Relative vibration would blur the image and degrade the performance. This is a difficult requirement to meet for overlay metrology systems that are integrated into a process tool, like a lithography track. The tool causes potentially large accelerations (vibrations) , e.g., due to high acceleration wafer handlers. The tight space requirements for integration preclude bulky isolation strategies.
The imaging-based overlay measurement precision can be two orders of magnitude smaller than the wave- length of the light used to image the target patterns of concentric boxes or bars. At such small length scales, the image does not have well determined edges because of diffraction. The determination of the edge, and therefore the overlay measurement, is affected by any factor that changes the diffraction pattern. Chemical-mechanical planarization (CMP) is a commonly used technique used to planarize the wafer surface at intermediate process steps before depositing more material. CMP can render the profile of the trenches or lines that make up the overlay measurement targets asymmetric. Figure 2 illustrates an overlay target feature 2 which is a trench filled with metal. Surface 3 is planarized by CMP. The CMP process erodes the surface of the overlay mark 2 in an asymmetric manner. The overlay target 2 is compared subsequently to target feature 4 in the overlying layer, which could be, e.g., photoresist of the next lithography step. The asymmetry in target feature 2 changes the diffraction pattern, thus potentially causing an overlay measurement error . In U.S. Patent No. 4,757,207, Chappelow, et al . teach obtaining the quantitative value of the overlay offset from the reflectance of targets that consists of identical line gratings that are overlaid upon each other on a planar substrate. Each period of the target consists of four types of film stacks: lines of the lower grating overlapping with the spaces of the upper grating, spaces of the lower grating overlapping with the lines of the upper grating, lines of the lower and upper gratings overlapping, spaces of the lower and upper gratings over- lapping. Chappelow et al . approximate the reflectance of the overlapping gratings as the average of the reflectances of the four film stacks weighted by their area-fractions. This approximation, which neglects diffraction, has some validity when the lines and spaces are larger than largest wavelength of the reflectometer . The reflectance of each of the four film stacks is measured at a so called macro-site close to the overlay target. Each macro-site has a uniform film stack over a region that is larger than the measurement spot of the reflectometer . A limitation of 4,757,207 is that spatial variations in the film thickness that are caused by CMP and resist loss during lithography will cause erroneous overlay measurements. Another limitation of 4,757,207 is that reflectance is measured at eight sites in one over- lay metrology target, which increases the size of the target and decreases the throughput of the measurement. "Another limitation of 4,757,207 is that the lines and spaces need to be large compared to the wavelength, but small compared to the measurement spot which limits the accuracy and precision of the measurement. Another limitation of 4,757,207 is that the light intensity is measured by a single photodiode. The dependence of the optical properties of the sample is not measured as a function of wavelength, or angle of incidence, or polar- ization, which limits the precision of the measurement.
The "average reflectivity" approximation for the interaction of light with gratings, as employed by U.S. Patent No. 4,757,207, greatly simplifies the problem of light interaction with a grating but neglects much of the diffraction physics. The model used to interpret the data has "four distinct regions whose respective reflectivities are determined by the combination of layers formed by the substrate and the overlaid patterns and by the respective materials in the substrate and pat- terns." Eq. 1 in the patent clearly indicates that these regions do not interact, i.e., via diffraction, as the total reflectivity of the structure is a simple average of the four reflectivities with area weighting.
IBM Technical Disclosure Bulletin 90A 60854 / GE8880210, March 1990, pp 170-174, teaches measuring offset between two patterned layers by overlapping gratings . There are four sets of overlapping gratings to measure the x-offset and another four sets of overlapping gratings to measure the y-offset. The four sets of grat- ings, which are measured by a spectroscopic reflectometer, have offset biases of 0, Vt, V2, %-pitch. The spectra are differenced as Sa = SO-SV2, Sb = SV* -S%; a weighted average of the difference spectra is evaluated: la = <w,Sa>, lb = <w,Sb>, where w is a weighting func- tion; and the ratio min (la, lb) /max (la, lb) is used to look up the offset/pitch ratio from a table. GE8880210 relies on "well known film thickness algorithms" to model the optical interactions. Such algorithms treat the electromagnetic boundary conditions at the interfaces between the planar layers or films. If the direction perpendicular to the films is the z direction, the boundaries between the films are at constant z-zn, where zn is the location of the nth boundary. Such algorithms, and hence GE880210, do not use a model that accounts for the dif- fraction of light by the gratings or the multiple scattering of the light by the two gratings, and it has no provision to handle non-rectangular line profiles.
In U.S. Patent No. 6,150,231, Muller et al . teach measuring overlay by Moire patterns . The Moire pattern is formed by overlapping gratings patterns, one grating on the lower level, another on the upper level. The two grating patterns have different pitches. The Moire pattern approach requires imaging the overlapping gratings and estimating their offset from the spatial characteristics of the image. In U.S. Patent Nos . 6,023,338 and 6,079,256, Bareket teaches an alternative approach in which two complementary periodic grating structures are produced on the two subsequent layers that require alignment. The two periodic structures are arranged adjacent to and in fixed positions relative to one another, such that there is no overlap of the two structures. The two gratings are scanned, either optically or with a stylus, so as to detect the individual undulations of the gratings as a function of position. The overlay error is obtained from the spatial phase shift between the undulations of the two gratings.
Smith et al. in U.S. Patent No. 4,200,395, and Ono in U.S. Patent No. 4,332,473 teach aligning a wafer and a mask by using overlapping diffraction gratings and measuring higher order, i.e., non-specular, diffracted light. One diffraction grating is on the wafer and another one is on the mask. The overlapping gratings are illuminated by a normally incident light and the intensi- ties of the positive and negative diffracted orders, e.g. 1st and -1st orders, are compared. The difference between the intensities of the 1st and -1st diffracted orders provides a feedback signal which can be used to align the wafer and the mask. These inventions are similar to the present one in that they use overlapping gratings on two layers. However, the 4,200,395 and 4,332,473 patents are applicable to mask alignment but not to overlay metrology. They do not teach how to obtain the quantitative value of the offset from the light intensity measure- ments. 4,200,395 and 4,332,473 are not applicable to a measurement system that only uses specular, i.e., zeroth- order diffracted light.
This invention is distinct from the prior art in that it teaches measuring overlay by scatterometry . Measurements of structural parameters of a diffracting structure from optical characterization are now well known in the art as scatterometry . With such methods, a measurement sample is illuminated with optical radiation, and the sample properties are determined by measuring characteristics of the scattered radiation (e.g., intensity, phase, polarization state, or angular distribution) . A diffracting structure consists of one or more layers that may have lateral structure within the illuminated and detected area, resulting in diffraction of the reflected (or transmitted) radiation. If the lateral structure dimensions are smaller than the illuminating wavelengths, then diffracted orders other than the zeroth order may all be evanescent and not directly observable. But the structure geometry can nevertheless significantly affect the zeroth-order reflection, making it possible to make optical measurements of structural features much smaller than the illuminating wavelengths.
In one type of measurement process, a microstructure is illuminated and the intensity of re- fleeted or diffracted radiation is detected as a function of the radiation's wavelength, the incidence direction, the collection direction, or polarization state (or a combination of such factors) . Direction is typically specified as a polar angle and azimuth, where the refer- ence for the polar angle is the normal to the wafer and the reference for the azimuth is either some pattern (s) on the wafer or other marker, e.g., a notch or a flat for silicon wafers. The measured intensity data is then passed to a data processing machine that uses some model of the scattering from possible structures on the wafer.
For example, the model may employ Maxwell's equations to calculate the theoretical optical characteristics as a function of measurement parameters (e.g., film thickness, line width, etc.), and the parameters are adjusted until the measured and theoretical intensities agree within specified convergence criteria. The initial parameter estimates may be provided in terms of an initial "seed" model of the measured structure. Alternatively, the optical model may exist as pre-computed theoretical char- acteristics as a function of one or more discretized measurement parameters, i.e., a "library", that associates collections of parameters with theoretical optical characteristics. The "extracted" structural model has the structural parameters associated with the optical model which best fits the measured characteristics, e.g., in a least-squares sense.
Conrad (U.S. Patent No. 5,963,329) is an example of the application of scatterometry to measure the line profile or topographical cross-sections. The direct application of Maxwell's equations to diffracting structures, in contrast to non-diffracting structures (e.g., unpatterned films) , is much more complex and time-consuming, possibly resulting in either a considerable time delay between data acquisition and result reporting and/or the need to use a physical model of the profile which is very simple and possibly neglects significant features .
Scheiner et al . (U.S. Patent No. 6,100,985) teaches a measurement method that is similar to that of Conrad, except that Scheiner 's method uses a simplified, approximate optical model of the diffracting structure that does not involve direct numerical solution of Maxwell's equations. This avoids the complexity and calculation time of the direct numerical solution. How- ever, the approximations inherent in the simplified model make it inadequate for grating structures that have period and linewidth dimensions comparable to or smaller than the illumination wavelengths.
In an alternative method taught by McNeil et al. (U. S. Patent No. 5,867,276) the calculation time delay is substantially reduced by storing a multivariate statistical analysis model based on calibration data from a range of model structures. The calibration data may come from the application of Maxwell's equations to parameterized models of the structure. The statistical analysis, e.g., as taught in chemometrics, is applied to the measured diffraction characteristics and returns estimates of the parameters for the actual structure. The measurement method taught by McNeil uses diffraction characteristics consisting of spectroscopic intensity data. A similar method can also be used with ellipsometric data, using ellipsometric parameters such as tan ψ, cos Δ in lieu of intensity data. For example, Xinhui Niu in "Specular Spectroscopic Scatterometry in DUV Lithography, " Proc. SPIE, vol. 3677, pp. 159-168,
1999, uses a library approach. The library method can be used to simultaneously measure multiple model parameters (e.g. linewidth, edge slope, film thickness).
In International (PCT) application publication no. WO 99/45340 (KLA-Tencor), Xu et al . disclose a method for measuring the parameters of a diffracting structure on top of laterally homogeneous, non-diffracting films. The disclosed method first constructs a reference database based on a priori information about the refractive index and film thickness of underlying films, e.g., from spectroscopic ellipsometry or reflectometry . The "reference database" has "diffracted light fingerprints" or "signatures" (either diffraction intensities, or alternatively ellipsometric parameters) corresponding to various combinations of grating shape parameters. The grating shape parameters associated with the signature in the reference database that matches the measured signature of the structure are then reported as the grating shape parameters of the structure. Definition of Terms
An unbounded periodic structure is one that is invariant under a nonzero translation in a direction when there exists a minimum positive invariant translation in the said direction. Here we are concerned with structures that are periodic in directions (substantially) parallel to the surface of a wafer. Here 'wafer' is used to mean any manufactured object that is built by building up patterned, overlying layers. Silicon wafers for mi- croelectronics are a good example, and there are many others, e.g., flat panel displays.
A one-dimensional (ID) periodic structure has one direction in which it is invariant for any translation. The lattice dimension is perpendicular to the invariant direction. The smallest distance of translation along the lattice dimension which yields invariance is the pitch of the grating. Two-dimensional gratings are also possible, with two lattice directions and pitches, as is well known. In this application, a peri- odic structure is understood to be a portion of an unbounded periodic structure. The periodic structure is understood to extend by more than one period along its lattice axes. A grating is a periodic structure. A diffraction grating is a grating used in a manner to interact with waves, in particular light waves. A ID grating is also referred to as a "line grating" .
Upon reflection by or transmission through a diffraction grating, light propagates in discrete directions called Bragg orders. For a particular Bragg order m, the component of the wavevector along the lattice axis, kxn, differs from the same component of the wavevector of the incident wave by an integer multiple of the lattice wavenumber 2 /P . For a line grating, 2mπ 2πsinf9, n , Λ , „
**- = + —" ^ = 0,±1,±2,...
P λ
Figure imgf000013_0001
where λ and θτ are the wavelength and angle of the incident wave in vacuum (or something effectively like vacuum, e.g., air), n is the refractive index of the trans- parent medium that separates the two gratings. P is the pitch of the grating. The x-axis is the lattice axis and the z-axis is perpendicular to the plane of the wafer. The Bragg orders are referenced by the integer in. The Bragg orders for which kz 2<0 are called evanescent, non- propagating, or cut-off. The evanescent Bragg orders have pure imaginary wavenumbers in the z direction.
Hence, they exponentially decay as exp(-| Im(A:z)| z) as a function of the distance z, measured from the diffraction grating along the z-axis. The polar angle ^ and azimuth I are defined as shown in Figure 3, with respect to the lateral or in- plane directions x and y, and the vertical or out of plane direction z. The figure applies generally to objects that are substantially planar, or locally to curved objects. The orientation of the lateral directions x and y may correspond to physical features on the wafer, e.g. structures 5 deposited or formed on the wafer (substrate) , or actually part of the substrate, e.g., a wafer notch. The spot of an optical instrument is the region on a sample whose optical characteristics are detected by the instrument. The measurement system can translate the location of the spot on the sample, and focus it, as is well known in the art. DISCLOSURE OF INVENTION
The present invention measures the overlay error of layers on a wafer with low-resolution optics. The basic overlay metrology target used in the present invention comprises a pair of overlapping diffraction gratings, i.e., a lower grating on a lower (or earlier formed) layer and an upper (or later formed) grating. The spot of the optical instrument preferably covers many periods of the gratings and it does not necessarily re- solve the lines of the grating. The overlay error is measured by scatterometry, the measurement of optical characteristics, such as reflectance or ellipsometric parameters, as functions of one or more independent variables, e.g., wavelength, polar or azimuthal angles of incidence or collection, polarization, or some combination thereof.
It is an object of the present invention to use scatterometry to accurately measure overlay error. It is also an object of the invention that this accurate over- lay measurement be obtained even when the profile of the grating lines has been altered or rendered asymmetric by a process such as chemical-mechanical planarization. An instrument meeting these objectives has utility in standard planar/photo-lithographic technology used for micro- electronics manufacture, as well as other technologies using multiple patterned layers. This has the advantage that the same measurement hardware used for other optical measurements, e.g., line profiles or film thicknesses, can be used for another critical measurement, that of overlay.
The method includes the steps of laying down a first grating during a first step of manufacturing (making) a planar structure, laying down a second grating during a second manufacturing step so that the second grating substantially overlaps the first grating (later- ally, in x and y) , then illuminating at least a portion of the region of overlap, detecting radiation that has interacted with both gratings, and inverting for the offset between the gratings as a parameter of a model. The critical dimension (CD) and line profile also may be measured, simultaneously or with additional, similar measuring and data processing steps.
It is another object of the present invention to describe an apparatus for practicing the above method. The apparatus comprises an instrument receiving a sample and including a source of illumination and a detector that detects light which has interacted with the sample. The sample comprises a first grating fabricated at one stage of making a planar structure and characterized by a first pitch, a second grating with a second, possibly substantially identical, pitch that is formed during a second stage such that the second grating substantially overlaps the first grating in the lateral dimensions. The pitches of the gratings and the parameters of the instrument are chosen such that some energy in one or more non-zero orders diffracted by one of the gratings propagates in the sample media between the two gratings and reaches the other grating. The instrument is suitable for also measuring CD and line profile, as well as the overlay measurement mentioned above.
It is understood that 'optical' means employing one or more wavelengths of electromagnetic radiation in the UV, visible, or infrared portions of the spectrum. It is also understood that each Bragg order has a range of propagation angle and a range of wavelength, given the nature of the instrument, e.g., numerical aperture (NA) and detector or source wavelength resolution.
It is another object of the present invention to measure overlay error with an optical instrument inte- grated into a process tool. This method and apparatus overcomes the difficulties associated with vibrations caused by the process tool and the limited space available for vibration damping. The apparatus comprises a process tool with at least one process chamber and a sample handler, an optical system in operative communication with the process tool, a computer equipped with an inverse model for interaction of light between two gratings where at least one parameter of the model is a lateral offset between two gratings. It is another object of the present invention to measure the overlay error by comparing the optical characteristics of grating pairs with substantially different perfect-overlay offsets. This reduces the dependence of the measurements on ancillary properties of the sample. It also reduces the burden on inverse scattering calculations .
It is another aspect of the present invention to increase the range of unambiguous overlay error measurement from overlaying gratings. One approach is to offset symmetric gratings by one fourth of the grating pitch when the overlay error is zero, so that positive and negative overlay errors have the least ambiguity, regardless of the optical system. Another approach to extend the range of unambiguously detectable overlay errors is to make at least one of the gratings in the pair substantially asymmetric, that is to have the unit cell of its pattern asymmetric. Another approach is to combine a scatterometry measurement of offset with an imaging measurement of offset (similar to the prior art, e.g., using box-in-box) . A fourth approach is to have grating pairs with different pitches, preferably in a substantially irrational ratio, to measure the same component of overlay error. These four approaches may be used either separately or in combination to extend the range of unambiguously detectable overlay errors. BRIEF DESCRIPTION OF THE DRAWINGS
Figure 1 is a top plan view of a box-in-box pattern used for overlay metrology of the prior art.
Figure 2 is a side sectional view of a wafer portion having the prior art overlay metrology pattern of Figure 1, illustrating a test pattern that has been rendered asymmetric by a planarization (CMP) process.
Figure 3 is a perspective diagram illustrating the definition of angle of incidence θ and azimuth angle φ as used herein.
Figure 4 is a diagram of the measurement instrument in relation to the test patterns .
Figure 5 is a top view of a simple first embodiment of test patterns according to the present inven- tion, the patterns being in the form of two sets of overlapping gratings placed in an inactive area on a wafer for measuring respective x and y components of the overlay.
Figure 6 is a cross sectional view of one of the test patterns in Figure 5, showing the overlapping diffraction gratings.
Figure 7 is a cross sectional view like Figure 6 except that the profile of the line features of the lower grating have been rendered asymmetric by a planarization (CMP) process.
Figures 8a-8c are side schematic views showing how a grating pair with symmetric gratings gives unambiguous overlay error indications over a range of one half the grating's period. Figure 8d is a graph of coverage function versus indicator offset Δ for the grating pairs in Figures 8a-8c.
Figure 9 is a side schematic view of a portion of the grating pair of Figure 6 illustrating the configuration and dimensions used in the numerical study in Figures lOa-lOd and 11. Figures 10a to lOd are graphs of reflectance versus wavelength when the registration error in the configuration of Figure 9 is respectively ±8nm, ±32nm, ±64nm, and ±128nm, where the grating period in each case is 512nm. Reflectance versus wavelength for zero offset is used as a comparative reference curve in each of the graphs .
Figure 11 is a graph of reflectance change per offset change (dR/dΔ) versus wavelength, i.e. spectral sensitivity to overlay error, for different grating pitches (256nm, 512nm and 1024nm) .
Figure 12 is a side cross sectional view of a test pattern of overlapping diffraction gratings, as in Figures 6 and 9, except that the gratings have an asym- metric line width and spacing configuration. Preferred nominal dimensions for the calculation used to produce the graphs in Figures 14 and 15a-15k are also indicated.
Figures 13a and 13b are side cross sectional views of test patterns as in Figure 12, but with respec- tive right and left overlay offsets, illustrating the ability to distinguish and measure small, opposite overlay errors .
Figure 14 is a graph of reflectance versus wavelength at normal incidence for the test pattern of Figure 12 with perfect overlay alignment.
Figures 15a to 15k are graphs of the difference in spectral reflectance relative to the values in Figure 14 for overlay errors of ±lnm, ±2nm, ±5nm, ±lOnm, ±20nm, ±50nm, ±lOOnm, ±200nm, ±300nm, ±400nm, and ±500nm, respec- tively.
Figure 16 is a graph of linear estimate of overlay as a function of the actual overlay.
Figure 17 is a plan view of a quasi-one-dimensional, asymmetric grating. Figure 18 is a schematic side view showing parameters for grating lines with asymmetric profile. Figures 19 and 20 are flow diagrams for two methods in accord with the present invention for using the parameters in Figure 18 to calculate the overlay error .
Figure 21 is a schematic side view of an alternative test pattern for differential measurement of alignment offset which is insensitive to geometrical and material properties of the gratings.
Figure 22 is a top view of an alternative embodiment that uses a three-dimensional grating.
Figure 23 shows mirrored images of the three- dimensional grating of Figure 22 which can be used with that grating to reduce sensitivity to geometrical and material properties of the gratings.
Figure 24 shows a top schematic view of a process tool with a metrology system suitable for practicing the current invention. Figure 25 is a cross sectional view of one of a test patterns where, although the material between the two gratings is lossy, there is sufficient physical indication of the lower grating to affect the optical characteristics and allow the measurement of overlay.
BEST MODE OF CARRYING OUT THE INVENTION
Referring to Figure 5, in the simplest embodiment of the present invention, two test patterns 10 and 20, each having a pair of overlapping gratings, are placed in a region on the wafer that does not interfere with the devices that are being manufactured. For example, the test patterns can be placed on a scribe line 7 between the dies on a wafer. Test pattern 20 is similar to test pattern 10 rotated by 90 degrees. Each of the test patterns 10 and 20 consists of two overlying grat- ings 30 and 32 diagrammatically shown in cross section in Figure 6 or 7. Figure 7 differs from Figure 6 only in that the line features in lower grating 30 have an asymmetric profile, e.g. due to a chemical-mechanical planarization (CMP) process. Grating 30 is formed on the lower layer, i.e., at an earlier stage of fabrication. Grating 32 is subsequently formed on the upper layer, which needs to be well aligned laterally with the lower layer. There may be one or more layers 31 between grat- ings 30 and 32. The upper and lower layers may overlap in the vertical direction z due to a lack of planarity in the layer manufacture. The layers 31 are transparent or partially transparent to light, at least in part of the wavelength spectrum detected by the optical instrument. Referring to Figure 4, the test patterns 10 and
20 are measured by an optical instrument 40, preferably sequentially. The optical instrument 40 can be virtually any optical instrument that illuminates the sample and records at least one property of light that has inter- acted with the sample. The instrument preferably operates in reflection mode. Embodiments include reflectometers and ellipsometers, which are well known in the art. A reflectometer measures some function of the intensity of light reflected from the sample. In a pre- ferred embodiment, the optical instrument measures spectral reflectance R. Stanke et al . give a complete description of such an optical instrument in U.S. patent application no. 09/533,613, Apparatus for Imaging Metrol ogy, which is incorporated herein by reference. There are many other instruments described in the literature suitable for alternative embodiments. An ellipsometer measures some function of the complex ratio rP/rs of the complex reflection coefficients for the P and Ξ polarizations. Piwonka-Corle et al . describe in detail a suitable ellipsometer for practicing the current method in U.S. Patent No. 5,608,526, Focused Beam Spectroscopic Ellipsometry Method and System, which is incorporated herein by reference. Other ellipsometers could also be used. The optical electric field is parallel and perpen- dicular to the plane of incidence for the P and S polarizations, respectively. Typically ellipsometers report the ellipsometric parameters Ψ and Δ wherein rp/rs=tan (Ψ) e1- . Other parameterizations of the results from ellipsometry are possible. For example the rota- tional Fourier coefficients of intensity measured by a rotating-compensator ellipsometer, as discussed in "Broadband spectral operation of a rotating-compensator ellipsometer", by Opsal et al . , Thin Solid Films, 313-314 (1998), 58-61. In all embodiments, measurements are made as functions of one or more independent optical variables . Independent optical variables can include the wavelength λ, polar angles θ, azimuthal angles φ and polarization states, for incident and scattered light. Different embodiments may include any combination of the properties of incident and detected light, similar to those discussed above, at any combination and range of the independent optical variables λ, θ, φ. The preferred embodiment for integration in process tools uses wavelength λ as the independent variable.
Various transformations of the above mentioned independent variables may serve as an independent variable. In a simple case, wavenumber may be used instead of wavelength. In another case, each "wavelength" may actually consist of a combination of many wavelengths, e.g., due to the finite resolution of the instrument. Other more complex transformations are also possible. The preferred optical instrument contains a broadband light source 42 and a spectroscopic detector 44. The wavelength spectrum of light source 42 and the spectral sensitivity of detector 44 overlap substantially. The spot 46 of optical instrument 40 is preferably completely contained in the gratings 10 and 20, one at a time. Alternatively, the spot may be sensitive to a region on the wafer that contains other zones, e.g., a zone surrounding an overlay pattern, and the data interpreted accordingly, e.g., with the method described in U.S. patent application no. 09/735,286 or in U.S. Patent No. 6,100,985. The size of spot 46 is preferably many times the grating period. The measurement is substantially insensitive to lateral shift or vibration of the sample, especially when spot 46 is contained in one of the test patterns. In a preferred embodiment, the diame- ter of the spot is typically 40 μm, gratings 10 and 20 are 80 μm by 80 μm each, the pitches of all the gratings are 0.5 - 1.0 μm (with 1.0 μm being preferred) , and the wavelength interval is 250 nm to 800 nm. The preferred angles of incidence and detection are substantially at θ = 0, with the illumination NA equal to 0.14 and detection NA equal to 0.07. For such a "normal incidence" instrument, the angle φ is preferably indeterminate. The invention is not limited to these particular optical parameters . The optical measurement does not rely on imaging or scanning the patterns 10 and 20. The detector 44 need not have pixels that correspond to different positions on the wafer. The measurement is ideally independent of the position of spot 46, especially when the spot is completely contained within grating area 10 or 20. Even if the spot is not contained within the grating area, the sensitivity to precise placement of the spot with respect to the grating is weak and does not preclude a useful measurement of overlay. Because the diffraction grating 30 is contained in the lower or earlier formed layer and the diffraction grating 32 is contained in the upper or later formed layer, the position of grating 32 relative to grating 30 depends on the alignment offset of the two layers. The way the Bragg orders interfere depends on the amount of the lateral offset between the two gratings. Hence, the observed reflectance from the test pattern 10 depends on independent variables (e.g., wavelength) and the overlay error of the two layers along the x-axis. Overlay error can be deduced from the characterization of reflected light as a function of independent variable (s), as described below. Similarly, the reflectance from grating pattern 20 depends on the overlay error of the two layers along the y-axis. In the preferred embodiment, the detector 44 performs a measurement on the 0-th Bragg order, i.e., Qτ = ΘD, although the invention is not specifically limited to detecting the 0-th order.
The measurement depends on optical interaction of the two gratings. The gratings interact through Bragg orders. Some Bragg orders are propagating, and some are evanescent or non-propagating. Depending on the degree of evanescence and the distance between the two gratings, evanescent orders may contribute to this interaction. However, in the preferred embodiment, at least two orders are propagating in region 31 between the two gratings. Generally, the zeroth order will be propagating. This will always be the case if the refractive index (indices) of the material (s) between gratings 30 and 32 are greater than or equal to the refractive index of the medium that contains the device under test, or wafer. In order for a (positive or negative) first order to be propagating in the region between the two gratings :
Figure imgf000023_0001
in cases where the imaginary part of the refractive index n is zero or negligible. For normal incidence, we have:
λ
P > n
In the equations above, n is the refractive index of layers 31 between the two gratings 30 and 32. If there are several layers 31, n is the refractive index of the least refractive layer. If the largest wavelength in the spectroscopic measurement is 790 nm, the transparent medium between the two gratings is Si02, and the measurement instrument operates at normal incidence (Qi = QD @ 0) , then the pitch is preferably no less than 541 nm. Otherwise, at least some of the spectrum will be insensitive to the overlay. When the layers between the gratings are lossy, and the refractive index n has an imaginary part, all the orders are attenuated to some extent as they propagate through the lossy medium. However, in practice, a first order will give the desired interaction as long as the attenuation ratio through all intervening layers of thickness t
Figure imgf000024_0001
is small compared to 1. WJ denotes imaginary part of the complex variable u . In order to describe parts of the invention, it is useful to introduce an indicator offset and a coverage function of the indicator offset which is not an essential part of the invention. The following discussion concentrates on finding one component of overlay, x for example. The same would apply to the second component in the direction y. Figure 8a shows one period P of a grating pair comprising lower grating 81 and upper grating 83 with zero offset D0 = 0 between the left edge of line 85 in lower grating 81 and the left edge of line 87 in upper grating 83. Left and right are used to distinguish the negative and positive directions along the axis under discussion. For this example, the upper and lower gratings have the same pitch and the same linewidth. Figures 8b and 8c show different values of the indicator offset Dx and D2. In Figure 8c it is apparent that the upper grating is periodic, as the portion of upper line 87a has entered period P from the left and some of portion 87b has exited P, due to indicator offset D2. The lower grating is also periodic, although it is not apparent in the figure.
Figure 8d shows the coverage function for this grating pair, the relative proportion of lower line 85 covered by upper line 87. A value of unity for the indi- cator function indicates that the upper line covers all of the lower line.
For this particular grating pair, an optical system that has substantial left/right symmetry, cannot distinguish offsets D and -D. This will be true for many optical systems, e.g., one operating at normal incidence, and others as well. This will also be true for many grating pairs, especially when the individual gratings have left/right symmetry. In these cases the system can at best uniquely resolve offsets over a range of half a period, i.e., -0 < D < P/2. In order to allow similar ranges of negative and positive overlay error, the grating pair is preferably designed so that D = ± P/4 for perfect overlay. Referring to Figure 6, in order to distinguish overlay in the +x and -x directions, the gratings 30 and 32 are preferably offset with respect to each other when the two layers have perfect (zero) overlay. In the preferred implementation, gratings 30 and 32 are offset by a quarter period at perfect overlay.
Figures 10a to lOd show examples of theoreti- cally calculated reflectances for various overlays of the gratings in Figure 6 that demonstrate the ability to distinguish positive and negative overlay. Figure 11 shows that a smaller pitch gives greater sensitivity to overlay as long as the first Bragg order is propagating. Figure 9 shows the configuration and the dimensions of the gratings used in the numerical example shown in Figures lOa-lOd and 11. The two gratings are designated to be offset from each other by a quarter period when the two layers are perfectly registered. It is advantageous to use a grating pair with at least one asymmetric grating. As discussed above, symmetric gratings with an optical system that does not distinguish left and right gives a maximum range of unambiguous offsets of plus and minus one quarter of the pitch. For many optical systems, including the preferred embodiment, the gratings' optical characteristics may be the only 'reference' to distinguish left from right. Figure 12 shows a preferred embodiment of a grating pair with two asymmetric gratings .Here the asymmetry refers to the different widths and spacing of the grating lines, rather than an asymmetry in the profile of the individual lines of a grating. Both lower grating 120 and upper grating 122 have the same pitch P. The pitch P may be nominally 1 micron. Both gratings have narrow lines 123, narrow spaces 124, wide lines 125 and wide spaces 126 in one unit cell, i.e., one pitch P. The narrow lines and spaces may be all nominally 160 nm wide. The wide lines and spaces may be all nominally 340 nm wide. Lower grating 120 has polysilicon lines separated by oxide spaces and may be nominally 93 nm thick (or high) . Upper grat- ing 122 may have nominally 380 nm high photoresist lines with air spaces. Lower grating 120 rests on gate oxide 115 which in turn lies upon silicon substrate 110. Interlayer dielectric 121 is typically a silicon dioxide preparation such as TEOS or BPSG. Other dimensions and materials could be used.
While the preferred embodiment refers explicitly to polysilicon structures in the lower grating, as are currently used for gates) many other structures are possible, e.g., for isolation trenches or metal lines embedded in interlayer dielectric, as is well known in the art. Also, the upper grating in the preferred embodiment contains photoresist, but alternative embodiments may have alternative structures, like etched struc- tures .
Figure 13a shows grating pair 130 with small offset D0 of the upper grating to the right with respect to the lower grating. Figure 13b shows grating pair 135 with its upper grating having a shift Dx to the left with respect to the lower. These are shifted versions of the grating pair in Figure 12 , which shows the preferred shift (between upper and lower gratings) for perfect overlay. The upper and lower gratings in that figure are aligned, which would render small positive and negative overlay errors ambiguous if the gratings were symmetric, as discussed above, for an optical system without left/right sensitivity. However, close examination of Figures 13a and 13b, and simple heuristic arguments show that ambiguity is not necessarily the case for this pre- ferred embodiment. For example, the left edge of lower narrow line 132 lies directly below upper wide space 133. This is a distinctly different configuration than in Figure 13b were the right edge of lower wide line 137 is directly below upper wide space 138. Therefore, the optical response characteristics for small left and right shifts are distinguishable, and indeed for any shifts modulo one period. The preferred embodiment with two asymmetric gratings has them perfectly aligned ("in phase", spatially) for perfect overlay. Alternative embodiments have other alignments between the upper and lower gratings for perfect overlay.
Figure 14 shows the calculated spectral reflectance at normal incidence for the structure in Figure 12 at perfect overlay alignment. The calculations in this example are based on the nominal preferred dimensions shown in Figure 12. Figures 15a through 15k show the change in the calculated spectral reflectance from that of perfect overlay in Figure 14 for overlay errors of ±lnm, ±2nm, ±5nm, ±lOnm, ±20nm, ±50nm, ±lOOnm, ±200nm, ±300nm, ±400nm, and ±500nm, respectively. The graphs show the ability to distinguish positive and negative overlay error up to, but not including, overlay errors of one-half of the grating pitch. Figure 15k shows that for a pitch of lOOOnm, the results of +500nm and -500nm over- lay are indistinguishable. Figure 16 shows the linear estimate of overlay as a function of actual overlay.
The preferred method of introducing asymmetry into the gratings is to use multiple lines and spaces in the gratings per period as discussed above. The advan- tage is that the desired asymmetry is likely to stay intact regardless of process parameters. However, there are and will be many other methods to introduce asymmetry into the gratings used for overlay measurement. This is especially true for advanced and future processes. For example, some micro-machining techniques use gross undercut, and the asymmetry can be introduced in the undercut. Alternatively, effective asymmetry can be introduced by intentional "imperfections". For example, in Figure 17, grating 170 is made of features 172 that are nominally lines, but they have asymmetric features 174 that break the reflection symmetry in lattice dimension x. The optical model for the structure 172 might approximate it as a one-dimensional grating, with some "perturbation" on one edge. Offsetting individual lines by different amounts in y could improve the validity of such an approximation. The averaging of the optical system along the invariant direction would support such approximation. Alternatively, asymmetry may be introduced not in the patterns for the structures, but by known process charac- teristics. For example, CMP currently is known to introduce asymmetry in gratings. Controlling (or knowing) this asymmetry locally can give the desired asymmetry to the overlay metrology structure, to resolve the ambiguities associated with offset by half a period. Referring again to Figure 4, a camera 48 and image recognition software may be used to position spot 46 so that it is contained in diffraction grating 10 and 20, one at a time. (Note that the schematic drawing is not to the preferred scale, e.g., the spot preferably senses many periods of the gratings.) Either the optics of instrument 40, the stage that holds the wafer or both are movable. A computer code assesses the relative position of the wafer and optics based on the image from camera 48 and translates the wafer and/or the optics until the desired alignment is achieved. The tolerance of this alignment is large, on the order of 1 to 10 micrometers, i.e. greater than the desired overlay precision. The tolerance need not be comparable to the desired accuracy or repeatability of the overlay measure- ment. Camera 48 is used only to find the measurement site. It does not contribute to the data that is used to measure the overlay error with high precision. However, camera 48 can be used to measure gross overlay errors that exceed plus or minus half the period of the diffrac- tion gratings 120 and 122 (Figure 12) . The offset mea- sured using the test patterns 10 and 20 is uncertain up to an integer multiple of grating periods, if the upper and lower gratings 120 and 122 are substantially asymmetric. For symmetric gratings, e.g., 30 and 32 in Figure 6, the offset is uncertain up to an integer multiple of half grating periods. Any low-resolution overlay error measurement could be used to resolve this ambiguity. This uncertainty is preferably removed by using camera 48 and a conventional box-in-box or bar-in-bar pattern in addition to test patterns 10 and 20.
Alternatively, x-uncertainty in the overlay measurement along the x-axis can be reduced by providing two test structures 10a and 10b, each similar to test structure 10, but having different grating periods. The ratio of the periods is preferably an irrational number,
for example * . The same approach can be used in the y direction, e.g., with two test structures 20a and 20b in place of structure 20 to measure the offset along the y- axis . Referring again to Figures 6 and 7, in addition to the overlay error and the wavelength, the diffraction characteristics and optical response of the test structures depend on the geometric and material properties of gratings 30 and 32, intermediate layers 31, and substrate or underlying layers 29. Overlay metrology requires the knowledge of these parameters . Material properties are preferably obtained by performing ellipsometric measurements on films of these materials deposited on well characterized substrates such as silicon wafers as a separate step to actually measuring overlay error.
The geometric parameters of the gratings and the films are preferably obtained from the spectroscopic data by regression, e.g., fitting a model to the data by nonlinear least squares. Referring, for example, to Figure 6, the model for interaction of light with the two gratings preferably allows explicitly for the volume nature of the grating, and for boundaries between materials of differing properties in at least two dimensions. Thus the model allows explicitly for variations in at least two dimensions. The preferred model is rigorous coupled wave analysis, similar to the models employed in patents 5,963,329 and 5,867,276. Alternative models for electromagnetic scattering from a volume include, e.g., the finite element method, the boundary integral method, Green's function formulations of scattering from volumes, etc. Such models account for diffraction from all boundaries in the grating volume. When treated with rigorous coupled wave analysis, multiple interactions between the two gratings, via their respective diffracted orders, are explicitly modeled. While a method like the finite element model does not use the same formulation, it can accurately account for the same effects. Well known thin-film models, which are essentially one dimensional in nature, cannot fully account for the diffraction that takes place.
Figure 18 shows a parameterization for the preferred model of overlay and line profiles of two diffraction gratings 30 and 32. Parameters x0, xx, ..., x7 describe the two grating lines and their offset (x4) . In this way, calculating the optical response of the overlapping gratings on a sample can take into account the profiles of the grating structures, including asymmetries caused by manufacturing processes . One embodiment of a nonlinear least squares fit operation, as shown in Figure 19, determines (i.e., estimates) these unknown parameters. In this example, the asymmetry of grating line 32 is accounted by the two independent parameters x2 and x3. In Figure 19, reflectometry or ellipsometry measurements as a function of one or more independent variables (wave- length 1, incidence or collection angle q, incidence or collection azimuth f, etc.) are performed 191. An optical response for a specified set of overlay and profile parameters is calculated 192 and compared 193 with the measurements. The parameters are continually changed 194 in order to minimize the difference between the calculated response and the measurements. Once a best match is found 193, the overlay (and optionally, the CD and profile) is reported 195. Many estimation methods and variations are suitable. E.g., theoretical spectral models corresponding to various alignment offsets and grating parameters can be pre-computed and saved in a library. The alignment offset as well as grating parameters can be obtained by finding the model in the library that matches the measured spectrum most closely. This approach uses a single grating pair 30 and 32 to determine a single component of offset error. It is preferred, e.g., over the method using a pair of grating pairs, described below, to keep the 'real estate' on the wafer required for test patterns to a minimum. A flow diagram of one such algorithm is shown in Figure 20. A database or library of optical responses is pre-computed 200 for overlapping grating structures with several values of overlay and profile parameters. Then, as before, reflectometry or ellipsometry measurements are performed 201 on a sample's test pattern. The values stored in the library are used to calculate 202 a theoretical optical response, which is compared 203 with the measured response. The values in the library may optionally be the desired theoretical optical response, quantities used to facilitate the calculation of such a response. Parameters are changed 204 and updated theoretical responses are calculated 202 using the library until a "best" match is found 203. The overlay (and optionally the CD and profile parameters) are then reported 205. In a further refinement, the response of the overlapping gratings can be obtained at measurement time by interpolating between discrete entries in the database. In other embodiments, samples of either one or the other of the two overlying gratings used to measure overlay error is available without its mate, on some portion of the wafer. The method adds one or more steps for measuring the optical characteristics of single grat- ings (as opposed to overlying pairs) , and possibly for measuring parameters of single gratings, to constrain the measurement of overlay error on the pair of gratings. In some cases this may involve storing the optical response characteristics from a previous process step in the fab- rication of the wafer, e.g., for the lower grating in the pair of gratings.
An alternative, preferred embodiment of the method that is less sensitive to wafer-to-wafer variations in the geometric and material properties of the test structures uses, for the x direction, two gratings as shown in cross section in Figure 21. In this approach, two spectroscopic measurements, one on test structure 210a, and another one on test structure 210b that is adjacent to 210a, yield offset along the x-axis, as discussed in detail below. The same approach is preferably applied to another direction, e.g., along the y- axis. Gratings 212a and 212b are mirror images of each other. Similarly, Gratings 214a and 214b are mirror images of each other. At least one of the gratings 212a and 214a in test pattern 210a are asymmetric. Similarly, at least one of the gratings 212b and 214b in test pattern 210b are asymmetric. There are two similar structures, not shown in Figure 21, with the lattice dimension in the y-direction, to measure the offset along the y- axis. The geometric and material properties of test structures 210a and 210b are substantially similar because the two test structures are located close to each other and the same process steps produce them.
At perfect overlay, grating 214a is offset from grating 212a along the x-axis by -D0, and grating 214b is offset from grating 212b by +D0 along the x-axis. Hence, they are mirror images. Viewed by un-polarized reflectometry at normal incidence, e.g., by the preferred instrument, the test structures 210a and 210b have the same reflectance by symmetry. As the overlay error increases, the reflectance of the test structures 210a and 210b change differently. The difference of the reflectance spectra from 210a and 210b is indicative of the offset between the two layers. The difference is zero at perfect alignment even if the grating properties change from wafer to wafer or within the wafer, as long as they are the same for the two neighboring structures . The difference in the spectral reflectance of gratings 210a and 210b is proportional to overlay error D for small (on the order of 0.1 mm) overlay errors:
r)/?
Rl0c(λ,A)-Rm(λ,A) 2— (λ)A dA
The maximum likelihood estimate Δ of overlay error assuming the above mathematical model and random zero-mean Gaussian noise is:
Figure imgf000034_0001
This is one of the many possible linear estimators of overlay error. Another one, for example, is the average
of the spectral difference
Figure imgf000035_0001
. Any linear functional of the spectral difference will be propor- tional to the alignment offset for small offsets. Once the proportionality constant is known, small offsets are rapidly calculated at measurement time. This eliminates the need for inverse diffraction calculations or searches in a pre-computed library. The proportionality constant between the norm of the spectral difference and the alignment offset is preferably determined by solving Maxwell's equations on a theoretical model of the test structure before the measurements. Alternatively, the proportionality constant can be determined empirically. Or, the proportionality constant itself can be a function of some other measured parameter or parameters on the wafer, e.g., a critical dimension, a layer thickness, or an optical property. Alternatively, the function relating the measure of the spectral difference may be a more complex function of overlay error, e.g., a polynomial or some other empirical function based on theoretical model or controlled measurements. Alternatively, the data
measured at 210a and 210b, Rl0c(λ,/A), Rm(λ,/A) , are inverted for the overlay error simultaneously, with an algorithm similar to that described in conjunction with Figure 20. This inversion can be more stable or more efficient than for an inversion of either or both gratings alone, since it effectively removes or de-emphasizes inversion parameters other than overlay error. The embodiments described above for pairs of anti-symmetric gratings pairs (at zero overlay) use reflectances at multiple wavelengths as the optical characteristics. Similar arrangements of gratings can be used with other optical characteristics and/or measurement instruments in yet alternative embodiments to measure overlay with reduced sensitivity to ancillary process parameters. E.g., an ellipsometer can measure the optical characteristics of the pair of grating pairs to be compared. Both grating pairs will be affected in substantially the same manner by ancillary changes, yet will be affected in opposite ways by the offsets associated with overlay error. Alternatively, instead of using separate line gratings 10 and 20 to measure the x and y components of the overlay error, a two-dimensional grating 220 may be used as shown in Figure 22 to obtain both x and y components of the offset simultaneously. In the preferred embodiment, at least one of the upper and lower gratings is asymmetric in both x and y directions, as shown in Figure 22. Furthermore, the pattern is different in x and y directions; i.e., the pattern is not self similar under ±90° rotations in the plane of the wafer. In one preferred embodiment, as shown in Figure 23, there are three gratings, an original 230a, one 230b mirrored in x, and one 230c mirrored in y, to reduce sensitivity to parameters other than overlay error. Alternatively, use of a single two-dimensional grating is possible, offering less need for real estate on the wafer.
In alternative embodiments the data contains at least one spectroscopic measurement that is not at normal incidence, i.e., q x 0, to assist in distinguishing the two dimensions. In this case the rotation of the wafer with respect to the optical system should be controlled so that f is controlled.
Figure 24 shows a processing tool 240. The tool comprises at least one port 242 for loading samples to be processed, at least one robot 244 for transporting samples within the tool, at least one process module 246 for actually applying a manufacturing process to a sample, and an optical instrument 40, as described above with Figure 4. The process module may be a lithography stepper for exposing photoresist on a wafer, a developer for developing photoresist, a bake or cool plate, a spinner, an etch chamber, a deposition chamber, or any other processing tool known in the art. In the preferred embodiment processing tool 240 is a lithography track with a stepper, and process module 246 is a photoresist devel- oper .
Samples to be processed are loaded into port 242, and passed by robot 244 to the process module for processing. After the processing is done, robot passes the sample to optical apparatus 40, which measures at least the overlay error of the developed film relative to an underlying film. If the overlay is acceptable, the sample is returned to port 242 (or another one like it) , possibly after other manufacturing steps. If the overlay is deemed unacceptable, preferably action is taken to correct the error on the measured wafer, i.e., the photoresist is stripped and the wafer is reprocessed with adjusted process parameters. Alternatively, action is taken to prevent or reduce such errors on future samples. Figure 12 shows the preferred embodiment of the method where the top grating 122 is composed of developed photoresist on top of TEOS layer 121 which will be etched in a following process step. The method alternatively can be applied when the top layer is resist that has been exposed by the lithography tool but not yet developed. Thus the top grating 122 would be a so-called latent image in the exposed photoresist. The latent image comprises variations in the optical properties between exposed and unexposed regions of the resist, and/or topography in the top surface due to differential shrinkage due to exposure. In many cases, the optical character- ization is preferably performed after a bake process, e.g., for so-called chemically amplified resists. The advantage of using the latent image as the top grating is that errors can be discovered sooner, less process time wasted and possibly fewer samples produced with such errors. However, the latent image does not scatter as strongly as the developed resist.
In additional embodiments, the top grating 122 may comprise an etched pattern, for example, the upper surface of TEOS layer 121 of Figure 12 after etching. In these cases, the photoresist may or may not still be present, and there may or may not be deposits on the side walls of the etched trenches 124 and 126. These additional components are typically removed by ashing and/or wet cleaning after the etch process. It is advantageous from the timing point of view to measure the overlay error before these are removed, however, it is easier from a modeling point of view to do it afterwards.
In yet additional embodiments, as shown in Figure 25, region 252 separating lower grating 254 and upper grating 256 may comprise optically lossy materials, so that little or no optical energy passes between the two gratings. Such situations may arise in microelectronics manufacture when patterning the intervening mate- rial 256 to form poly-silicon gates or Damascene metal interconnects. In such cases, ancillary physical properties, such as the topography of surface 258 due to the presence of underlying grating 254, provides sufficient modification of the optical characteristics to allow measurement of overlay with the same general method. If a theoretical model is used to invert the data, it would comprise, for example, the loss in region 252, the topography of surface 258, and the offset between that topography and grating 256. The above descriptions refer to gratings. Periodic, laterally Cartesian gratings are preferred at the present time due to speed limitations of computational methods and hardware for the scattering from the structures. However, the above methods are also applicable to more general scattering structures which may be more practical when models to describe their scattering become available. Thus the above methods apply to non- periodic 'gratings', e.g., variable pitch gratings and 'single-period gratings', non-Cartesian gratings (e.g., generally circular gratings), and the like. Also, the above descriptions implied that the upper and lower gratings have the same pitch (es) and orientation. However, the methods are applicable to cases where the upper and lower gratings have different pitches and/or different orientations. For example, as computational hardware and methods advance, overlay error may be measured directly on the "device structures" on the wafer, without using specially designed test structures that are typically built in otherwise "wasted" regions, e.g., scribe lines.

Claims

Claims
1. A method of measuring alignment accuracy between two or more patterned layers formed on a substrate comprising: forming test areas as part of the patterned layers, wherein a first diffraction grating is built into a patterned layer A and a second diffraction grating is built into a patterned layer B, where layers A and B are desired to be aligned with respect to each other, zero or more layers of other materials separating layers A and B, the two gratings substantially overlapping when viewed from a direction that is perpendicular to the surfaces of A and B; observing the overlaid diffraction gratings using an optical instrument capable of measuring any one or more of transmission, reflectance, or ellipsometric parameters as a function of any one or more of wavelength, polar angle of incidence, azimuthal angle of incidence, or polarization of the illumination and detection; and determining the offset between the gratings from the measurements from the optical instrument using an optical model, wherein the optical model accounts for the diffraction of the electromagnetic waves by the gratings and the interaction of the gratings with each other's diffracted field.
2. The method of claim 1 wherein any layers between the grating in layer A and the grating in layer B are at least partially transparent at the wavelength range of the optical instrument.
3. The method of claim 1 wherein at least one layer between the grating in layer A and the grating in layer B is opaque in the wavelength range of the optical instrument, and the presence of the grating in layer A causes a grating-shaped topography on the surface of the opaque layer.
4. The method of claim 1 wherein the optical model represents the electromagnetic field in the gratings and in the layers between the gratings as a sum of more than one diffracted orders.
5. The method of claim 1 wherein offset is determined by: calculating, according to a model of a wafer sample, the optical response of the sample with the said two overlapping gratings, the model of the sample taking into account parameters of the sample including any of the overlay misalignment of layers A and B, the profiles of the grating structures, and asymmetries caused in the grating structures by manufacturing processes; changing the parameters of the sample model to minimize the difference between the calculated and measured optical responses; and repeating the previous two steps until the difference between the calculated and measured optical responses is sufficiently small or cannot be significantly decreased by further iterations.
6. The method of claim 5 wherein at least a portion of the calculation is done at the measurement time.
7. The method of claim 5 wherein at least a portion of the calculated optical response is retrieved from a pre- computed database .
8. The method of claim 5 wherein the calculation involves interpolating the optical response from pre- computed entries in a database.
9. The method of claim 1 wherein the first and second diffraction gratings have different pitches.
10. The method of claim 1 wherein at least one of the two gratings contains more than one line per pitch, the widths of the at least two lines in each pitch (unit cell) being substantially different from each other.
11. A method of measuring alignment accuracy between two or more patterned layers formed on a substrate comprising: forming test areas as part of the patterned layers, wherein a first diffraction grating is built into a first patterned layer and a second diffraction grating is built into a second patterned layer, the two gratings substantially overlapping when viewed from a direction that is perpendicular to the surfaces of A and B, and at least one of the first or second gratings having a repeating pattern consisting of at least two structures of substantially different lateral dimensions; measuring the optical characteristics of the overlaid diffraction gratings using an optical instrument with a spot size covering at least two repeats; and determining the offset between the gratings from the measured optical characteristics.
12. An apparatus for determining overlay error between two or more patterned layers of a sample, comprising, a metrology target comprising a first diffraction grating built into a patterned layer A and a second diffraction grating built into a patterned layer B, where layers A and B are part of the sample under test and layers A and B are desired to be aligned with respect to each other, the two gratings substantially overlapping when viewed from a direction that is perpendicular to the layers A and B; an optical instrument that illuminates part or all of the metrology target and that measures properties of light that has interacted with the metrology target as a function of any one or more of polar angle of incidence, azimuthal angle of incidence, and polarization of the illumination and detection; and a processor which estimates the offset of the grating pair from the measured properties.
13. The apparatus of claim 12 wherein the first and second diffraction gratings have different pitches.
14. The apparatus of claim 12 wherein at least one of the two gratings contains more than one line per pitch, the widths of the at least two lines in each pitch (unit cell) being substantially different from each other.
15. The apparatus of claim 12 wherein at least one other layer of material separates layers A and B at the metrology target.
16. The apparatus of claim 12 wherein the optical instrument measures properties of light that has interacted with the metrology target as a function of wavelength.
17. The apparatus of claim 12 wherein the processor has been programmed to iteratively (i) calculate an optical response for a set of sample parameters, including overlay misalignment, (ii) compare the measured properties with the calculated optical response, and (iii) change one or more sample parameters so as to minimize the difference between the measured properties and the calculated optical response, wherein the calculation of an optical response is according to an optical model of the sample that accounts for the diffraction of electromagnetic waves by the pair of gratings of the metrology target and the interaction of the gratings with each other's diffracted field.
18. The apparatus of claim 17 wherein the processor has access to a pre-computed database from which at least a portion of the calculated optical response can be retrieved.
19. The apparatus of claim 18 wherein the calculation performed by the programmed processor involves interpolating the optical response from pre-computed entries in said database.
20. An apparatus for determining the overlay error comprising, a metrology target comprising a first diffraction grating built into a patterned layer A and a second diffraction grating is built into a patterned layer B, where layers A and B are desired to be aligned with respect to each other, the two gratings substantially overlapping when viewed from a direction that is perpendicular to the layers A and B; an ellipsometer that illuminates part or all of the metrology target and that measures properties of light that has interacted with the metrology target; and a processor which estimates the offset of the grating pair from the pair's measured optical characteristics .
21. The method of claim 20 wherein first and second diffraction gratings have different pitches.
22. The apparatus of claim 20 wherein at least one of the two gratings contains more than one line per pitch, the widths of the at least two lines in each pitch (unit cell) being substantially different from each other.
23. The apparatus of claim 20 wherein at least one other layer of material separates layers A and B at the metrology target.
24. The apparatus of claim 20 wherein the ellipsometer measures properties of light that has interacted with the metrology target as a function of wavelength.
25. The apparatus of claim 20 wherein the processor has been programmed to iteratively (i) calculate an optical response for a set of sample parameters, including overlay misalignment, (ii) compare the measured properties with the calculated optical response, and (iii) change one or more sample parameters so as to minimize the difference between the measured properties and the calculated optical response, wherein the calculation of an optical response is according to an optical model of the sample that accounts for the diffraction of electromagnetic waves by the pair of gratings of the metrology target and the interaction of the gratings with each other's diffracted field.
26. The apparatus of claim 25 wherein the processor has access to a pre-computed database from which at least a portion of the calculated optical response can be retrieved.
27. The apparatus of claim 26 wherein the calculation performed by the programmed processor involves interpolating the optical response from pre-computed entries in said database.
PCT/US2002/004190 2001-02-12 2002-02-12 Overlay alignment metrology using diffraction gratings WO2002065545A2 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US26848501P 2001-02-12 2001-02-12
US60/268,485 2001-02-12
US29511101P 2001-06-01 2001-06-01
US60/295,111 2001-06-01
US32221901P 2001-09-14 2001-09-14
US60/322,219 2001-09-14

Publications (2)

Publication Number Publication Date
WO2002065545A2 true WO2002065545A2 (en) 2002-08-22
WO2002065545A3 WO2002065545A3 (en) 2004-01-22

Family

ID=27402083

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/004190 WO2002065545A2 (en) 2001-02-12 2002-02-12 Overlay alignment metrology using diffraction gratings

Country Status (2)

Country Link
US (2) US6819426B2 (en)
WO (1) WO2002065545A2 (en)

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2390899A (en) * 2002-05-30 2004-01-21 Agere Systems Inc Measuring alignment of semiconductor layers
EP1390692A1 (en) * 2001-04-10 2004-02-25 KLA-Tencor Corporation Periodic patterns and technique to control misalignment
WO2004023214A1 (en) * 2002-09-05 2004-03-18 Therma-Wave, Inc. Interferometry-based method and apparatus for overlay metrology
EP1416327A1 (en) * 2002-11-01 2004-05-06 ASML Netherlands B.V. Inspection method and device manufacturing method
WO2004053426A1 (en) * 2002-12-05 2004-06-24 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6813034B2 (en) 2002-02-05 2004-11-02 Therma-Wave, Inc. Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
WO2004076963A3 (en) * 2003-02-22 2004-11-18 Kla Tencor Tech Corp Apparatus and method for detecting overlay errors using scatterometry
US6856408B2 (en) 2001-03-02 2005-02-15 Accent Optical Technologies, Inc. Line profile asymmetry measurement using scatterometry
US6949462B1 (en) 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US6958819B1 (en) 2002-04-04 2005-10-25 Nanometrics Incorporated Encoder with an alignment target
US6970255B1 (en) 2003-04-23 2005-11-29 Nanometrics Incorporated Encoder measurement based on layer thickness
US6982793B1 (en) 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US6995842B2 (en) 2001-08-28 2006-02-07 Therma-Wave, Inc. Detector configurations for optical metrology
US7046361B1 (en) 2002-04-04 2006-05-16 Nanometrics Incorporated Positioning two elements using an alignment target with a designed offset
US7061615B1 (en) 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US7061627B2 (en) 2002-03-13 2006-06-13 Therma-Wave, Inc. Optical scatterometry of asymmetric lines and structures
US7069153B2 (en) * 2003-01-28 2006-06-27 Therma-Wave, Inc. CD metrology method
US7112813B2 (en) 2002-09-20 2006-09-26 Asml Netherlands B.V. Device inspection method and apparatus using an asymmetric marker
US7148959B2 (en) 2002-11-01 2006-12-12 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
US7151594B2 (en) 2002-11-01 2006-12-19 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
CN100373570C (en) * 2002-10-21 2008-03-05 先进微装置公司 Manufacturing process of using scatterometry to obtain optimization of circuit structures
EP1896811A2 (en) * 2005-06-06 2008-03-12 KLA-Tencor Technologies Corporation Measuring overlay and profile asymmetry using symmetric and anti-symmetric scatterometry signals
US7508976B1 (en) 2003-12-29 2009-03-24 Nanometric Incorporated Local process variation correction for overlay measurement
US7515279B2 (en) 2001-03-02 2009-04-07 Nanometrics Incorporated Line profile asymmetry measurement
CN103454861A (en) * 2012-06-05 2013-12-18 上海微电子装备有限公司 Overlay measuring mark and method
EP2758990A4 (en) * 2011-09-23 2015-10-14 Kla Tencor Corp Process aware metrology
US9702693B2 (en) 2000-08-30 2017-07-11 Kla-Tencor Corporation Apparatus for measuring overlay errors
TWI603216B (en) * 2012-11-21 2017-10-21 克萊譚克公司 Process compatible segmented targets and design methods
US9909996B2 (en) 2007-12-17 2018-03-06 Asml Netherlands B.V. Diffraction based overlay metrology tool and method of diffraction based overlay metrology
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
CN110596146A (en) * 2014-10-14 2019-12-20 科磊股份有限公司 Signal response metric for image-based measurements and scatterometry-based overlay measurements
US10551749B2 (en) 2017-01-04 2020-02-04 Kla-Tencor Corporation Metrology targets with supplementary structures in an intermediate layer
TWI688829B (en) * 2014-02-20 2020-03-21 美商克萊譚克公司 Signal response metrology for image based overlay measurements
EP3944022A3 (en) * 2015-11-05 2022-05-11 Carl Zeiss SMT GmbH Method and device for characterizing a wafer patterned using at least one lithography step

Families Citing this family (238)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
WO2001084382A1 (en) * 2000-05-04 2001-11-08 Kla-Tencor, Inc. Methods and systems for lithography process control
AU2001279247A1 (en) * 2000-08-10 2002-02-25 Sensys Instruments Corporation Database interpolation method for optical measurement of diffractive microstructures
IL138552A (en) 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Lateral shift measurement using an optical technique
US7130029B2 (en) * 2000-09-20 2006-10-31 Kla-Tencor Technologies Corp. Methods and systems for determining an adhesion characteristic and a thickness of a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6919957B2 (en) * 2000-09-20 2005-07-19 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension, a presence of defects, and a thin film characteristic of a specimen
US6633831B2 (en) 2000-09-20 2003-10-14 Kla Tencor Technologies Methods and systems for determining a critical dimension and a thin film characteristic of a specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6819426B2 (en) * 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
JP3647378B2 (en) * 2001-03-02 2005-05-11 キヤノン株式会社 Shape measuring apparatus and measuring method using multiprobe
US20020192577A1 (en) * 2001-06-15 2002-12-19 Bernard Fay Automated overlay metrology system
US6850858B1 (en) * 2001-07-06 2005-02-01 Dupont Photomasks, Inc. Method and apparatus for calibrating a metrology tool
US6785638B2 (en) * 2001-08-06 2004-08-31 Timbre Technologies, Inc. Method and system of dynamic learning through a regression-based library generation process
US7280230B2 (en) * 2001-12-19 2007-10-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6772084B2 (en) * 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US6609086B1 (en) * 2002-02-12 2003-08-19 Timbre Technologies, Inc. Profile refinement for integrated circuit metrology
TWI273217B (en) * 2002-04-17 2007-02-11 Accent Optical Tech Inc Scatterometric measurement of undercut multi-layer diffracting structures
US6804005B2 (en) * 2002-05-02 2004-10-12 Timbre Technologies, Inc. Overlay measurements using zero-order cross polarization measurements
DE10224164B4 (en) * 2002-05-31 2007-05-10 Advanced Micro Devices, Inc., Sunnyvale A two-dimensional structure for determining a superposition accuracy by means of scattering measurement
US6928628B2 (en) * 2002-06-05 2005-08-09 Kla-Tencor Technologies Corporation Use of overlay diagnostics for enhanced automatic process control
US7111256B2 (en) 2002-06-05 2006-09-19 Kla-Tencor Technologies Corporation Use of overlay diagnostics for enhanced automatic process control
US7170604B2 (en) * 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
US7046376B2 (en) * 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
EP1477860A1 (en) * 2003-05-12 2004-11-17 ASML Netherlands B.V. Lithographic marker structure compliant with microelectronic device processing
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
JP4085147B2 (en) * 2002-10-11 2008-05-14 スパンション エルエルシー Semiconductor device manufacturing method and manufacturing apparatus
US7193715B2 (en) * 2002-11-14 2007-03-20 Tokyo Electron Limited Measurement of overlay using diffraction gratings when overlay exceeds the grating period
US7440105B2 (en) 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US8564780B2 (en) 2003-01-16 2013-10-22 Jordan Valley Semiconductors Ltd. Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work pieces
US20080246951A1 (en) * 2007-04-09 2008-10-09 Phillip Walsh Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work-pieces
JP4287671B2 (en) * 2003-02-19 2009-07-01 株式会社日立ハイテクノロジーズ Standard member for length measurement, method for producing the same, and electron beam length measuring device using the same
US6888632B2 (en) * 2003-02-28 2005-05-03 Therma-Wave, Inc. Modulated scatterometry
US7080330B1 (en) * 2003-03-05 2006-07-18 Advanced Micro Devices, Inc. Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
US6982043B1 (en) * 2003-03-05 2006-01-03 Advanced Micro Devices, Inc. Scatterometry with grating to observe resist removal rate during etch
US7233390B2 (en) * 2003-03-31 2007-06-19 Therma-Wave, Inc. Scatterometry for samples with non-uniform edges
DE10315086B4 (en) * 2003-04-02 2006-08-24 Infineon Technologies Ag Method and apparatus for aligning semiconductor wafers in semiconductor manufacturing
TW200509355A (en) * 2003-04-08 2005-03-01 Aoti Operating Co Inc Overlay metrology mark
US7145664B2 (en) 2003-04-18 2006-12-05 Therma-Wave, Inc. Global shape definition method for scatterometry
US7230704B2 (en) * 2003-06-06 2007-06-12 Tokyo Electron Limited Diffracting, aperiodic targets for overlay metrology and method to detect gross overlay
US7184149B2 (en) * 2003-06-18 2007-02-27 Dimensional Photonics International, Inc. Methods and apparatus for reducing error in interferometric imaging measurements
US7608468B1 (en) * 2003-07-02 2009-10-27 Kla-Tencor Technologies, Corp. Apparatus and methods for determining overlay and uses of same
US7230703B2 (en) * 2003-07-17 2007-06-12 Tokyo Electron Limited Apparatus and method for measuring overlay by diffraction gratings
US7224471B2 (en) * 2003-10-28 2007-05-29 Timbre Technologies, Inc. Azimuthal scanning of a structure formed on a semiconductor wafer
US7106431B2 (en) * 2003-11-13 2006-09-12 Ascension Technology Corporation Sensor for determining the angular position of a radiating point source in two dimensions
JP4282500B2 (en) * 2004-01-29 2009-06-24 株式会社東芝 Structure inspection method and semiconductor device manufacturing method
JP4734261B2 (en) * 2004-02-18 2011-07-27 ケーエルエー−テンカー コーポレイション Continuously changing offset mark and overlay determination method
TWI256269B (en) * 2004-03-05 2006-06-01 Toshiba Matsushita Display Tec Method of manufacturing display device
US7076320B1 (en) * 2004-05-04 2006-07-11 Advanced Micro Devices, Inc. Scatterometry monitor in cluster process tool environment for advanced process control (APC)
US7449348B1 (en) * 2004-06-02 2008-11-11 Advanced Micro Devices, Inc. Feedback control of imprint mask feature profile using scatterometry and spacer etchback
US7321426B1 (en) * 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7768624B2 (en) * 2004-06-03 2010-08-03 Board Of Regents, The University Of Texas System Method for obtaining force combinations for template deformation using nullspace and methods optimization techniques
EP1774407B1 (en) * 2004-06-03 2017-08-09 Board of Regents, The University of Texas System System and method for improvement of alignment and overlay for microlithography
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7629697B2 (en) 2004-11-12 2009-12-08 Asml Netherlands B.V. Marker structure and method for controlling alignment of layers of a multi-layered substrate
US20060109463A1 (en) * 2004-11-22 2006-05-25 Asml Netherlands B.V. Latent overlay metrology
US7289214B1 (en) 2004-11-23 2007-10-30 N&K Technology, Inc. System and method for measuring overlay alignment using diffraction gratings
US20070231421A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
US7630067B2 (en) 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US20060117293A1 (en) * 2004-11-30 2006-06-01 Nigel Smith Method for designing an overlay mark
WO2006060758A2 (en) * 2004-12-01 2006-06-08 Molecular Imprints, Inc. Methods of exposure for the purpose of thermal management for imprint lithography processes
US7453577B2 (en) * 2004-12-14 2008-11-18 Asml Netherlands B.V. Apparatus and method for inspecting a patterned part of a sample
US7443486B2 (en) * 2005-02-25 2008-10-28 Asml Netherlands B.V. Method for predicting a critical dimension of a feature imaged by a lithographic apparatus
WO2006110535A2 (en) * 2005-04-07 2006-10-19 Nanometrics Incorporated Apparatus and methods for scatterometry of optical devices
US7315384B2 (en) * 2005-05-10 2008-01-01 Asml Netherlands B.V. Inspection apparatus and method of inspection
US7629259B2 (en) * 2005-06-21 2009-12-08 Lam Research Corporation Method of aligning a reticle for formation of semiconductor devices
US7532307B2 (en) * 2005-06-30 2009-05-12 Asml Netherlands B.V. Focus determination method, device manufacturing method, and mask
US20070002336A1 (en) * 2005-06-30 2007-01-04 Asml Netherlands B.V. Metrology apparatus, lithographic apparatus, process apparatus, metrology method and device manufacturing method
US7406394B2 (en) 2005-08-22 2008-07-29 Applied Materials, Inc. Spectra based endpointing for chemical mechanical polishing
US8260446B2 (en) 2005-08-22 2012-09-04 Applied Materials, Inc. Spectrographic monitoring of a substrate during processing using index values
US7226339B2 (en) * 2005-08-22 2007-06-05 Applied Materials, Inc. Spectrum based endpointing for chemical mechanical polishing
US8392012B2 (en) * 2008-10-27 2013-03-05 Applied Materials, Inc. Multiple libraries for spectrographic monitoring of zones of a substrate during processing
US7409260B2 (en) * 2005-08-22 2008-08-05 Applied Materials, Inc. Substrate thickness measuring during polishing
DE102005046973B4 (en) * 2005-09-30 2014-01-30 Globalfoundries Inc. A structure and method for simultaneously determining overlay accuracy and pattern placement error
US20070093044A1 (en) * 2005-10-25 2007-04-26 Asml Netherlands B.V. Method of depositing a metal layer onto a substrate and a method for measuring in three dimensions the topographical features of a substrate
US7525672B1 (en) * 2005-12-16 2009-04-28 N&K Technology, Inc. Efficient characterization of symmetrically illuminated symmetric 2-D gratings
US20070153274A1 (en) * 2005-12-30 2007-07-05 Asml Netherlands B.V. Optical metrology system and metrology mark characterization device
US7480050B2 (en) * 2006-02-09 2009-01-20 Asml Netherlands B.V. Lithographic system, sensor, and method of measuring properties of a substrate
US7747424B2 (en) * 2006-03-17 2010-06-29 Kla-Tencor Corporation Scatterometry multi-structure shape definition with multi-periodicity
US7821650B2 (en) * 2006-03-21 2010-10-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7486408B2 (en) * 2006-03-21 2009-02-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7532305B2 (en) * 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US7463369B2 (en) * 2006-03-29 2008-12-09 Kla-Tencor Technologies Corp. Systems and methods for measuring one or more characteristics of patterned features on a specimen
US7391513B2 (en) * 2006-03-29 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement quality indication
US7616313B2 (en) * 2006-03-31 2009-11-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
TW200801794A (en) 2006-04-03 2008-01-01 Molecular Imprints Inc Method of concurrently patterning a substrate having a plurality of fields and a plurality of alignment marks
JP4839127B2 (en) * 2006-05-10 2011-12-21 株式会社日立ハイテクノロジーズ Standard member for calibration, calibration method and electron beam apparatus using the same
US7502103B2 (en) * 2006-05-31 2009-03-10 Asml Netherlands B.V. Metrology tool, system comprising a lithographic apparatus and a metrology tool, and a method for determining a parameter of a substrate
US7623238B1 (en) 2006-06-07 2009-11-24 J.A. Woollam Co., Inc. System for and method of reducing change caused by motor vibrations in ellipsometers, polarimeters or the like
US7791724B2 (en) * 2006-06-13 2010-09-07 Asml Netherlands B.V. Characterization of transmission losses in an optical system
US7898662B2 (en) * 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7692792B2 (en) * 2006-06-22 2010-04-06 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7659988B2 (en) * 2006-06-30 2010-02-09 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization and device manufacturing method
US7564554B2 (en) * 2006-06-30 2009-07-21 Intel Corporation Wafer-based optical pattern recognition targets using regions of gratings
US7999940B2 (en) * 2006-06-30 2011-08-16 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization
US7526354B2 (en) 2006-07-10 2009-04-28 Tokyo Electron Limited Managing and using metrology data for process and equipment control
US7525673B2 (en) * 2006-07-10 2009-04-28 Tokyo Electron Limited Optimizing selected variables of an optical metrology system
US7916284B2 (en) 2006-07-18 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7642550B2 (en) * 2006-07-25 2010-01-05 Micron Technology, Inc. Multi-layer structures for parameter measurement
US7808613B2 (en) * 2006-08-03 2010-10-05 Asml Netherlands B.V. Individual wafer history storage for overlay corrections
US20080036984A1 (en) * 2006-08-08 2008-02-14 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7643666B2 (en) * 2006-08-08 2010-01-05 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7589832B2 (en) * 2006-08-10 2009-09-15 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device method
US7564555B2 (en) * 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7969577B2 (en) * 2006-09-14 2011-06-28 Asml Netherlands B.V. Inspection apparatus, an apparatus for projecting an image and a method of measuring a property of a substrate
US7532331B2 (en) 2006-09-14 2009-05-12 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
JP5027468B2 (en) * 2006-09-15 2012-09-19 日本ミクロコーティング株式会社 Probe cleaning or probe processing sheet and probe processing method
US7573584B2 (en) * 2006-09-25 2009-08-11 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US8294907B2 (en) * 2006-10-13 2012-10-23 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8233155B2 (en) * 2006-10-13 2012-07-31 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7998358B2 (en) 2006-10-31 2011-08-16 Applied Materials, Inc. Peak-based endpointing for chemical mechanical polishing
US7772710B2 (en) * 2006-11-01 2010-08-10 Sematech, Inc. Zero-order overlay targets
US7417750B2 (en) * 2006-11-07 2008-08-26 Tokyo Electron Limited Consecutive measurement of structures formed on a semiconductor wafer using an angle-resolved spectroscopic scatterometer
US7522295B2 (en) * 2006-11-07 2009-04-21 Tokyo Electron Limited Consecutive measurement of structures formed on a semiconductor wafer using a polarized reflectometer
US7630087B2 (en) * 2006-11-22 2009-12-08 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080129986A1 (en) * 2006-11-30 2008-06-05 Phillip Walsh Method and apparatus for optically measuring periodic structures using orthogonal azimuthal sample orientations
US7710572B2 (en) * 2006-11-30 2010-05-04 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7557934B2 (en) * 2006-12-07 2009-07-07 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080135774A1 (en) * 2006-12-08 2008-06-12 Asml Netherlands B.V. Scatterometer, a lithographic apparatus and a focus analysis method
US7444198B2 (en) * 2006-12-15 2008-10-28 Applied Materials, Inc. Determining physical property of substrate
US7916927B2 (en) * 2007-01-16 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7619737B2 (en) * 2007-01-22 2009-11-17 Asml Netherlands B.V Method of measurement, an inspection apparatus and a lithographic apparatus
US7852459B2 (en) 2007-02-02 2010-12-14 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080192253A1 (en) * 2007-02-08 2008-08-14 Susie Xiuru Yang Method and test-structure for determining an offset between lithographic masks
US7701577B2 (en) * 2007-02-21 2010-04-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
JP5654753B2 (en) 2007-02-23 2015-01-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Determination of polishing end point using spectrum
US7724370B2 (en) * 2007-03-01 2010-05-25 Asml Netherlands B.V. Method of inspection, a method of manufacturing, an inspection apparatus, a substrate, a mask, a lithography apparatus and a lithographic cell
US7599064B2 (en) 2007-03-07 2009-10-06 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method, substrate for use in the methods
US7858404B2 (en) * 2007-03-14 2010-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Measurement of overlay offset in semiconductor processing
US7639351B2 (en) * 2007-03-20 2009-12-29 Tokyo Electron Limited Automated process control using optical metrology with a photonic nanojet
US7605907B2 (en) * 2007-03-27 2009-10-20 Asml Netherlands B.V. Method of forming a substrate for use in calibrating a metrology tool, calibration substrate and metrology tool calibration method
US7567353B2 (en) * 2007-03-28 2009-07-28 Tokyo Electron Limited Automated process control using optical metrology and photoresist parameters
US7570358B2 (en) * 2007-03-30 2009-08-04 Asml Netherlands Bv Angularly resolved scatterometer, inspection method, lithographic apparatus, lithographic processing cell device manufacturing method and alignment sensor
US7656518B2 (en) * 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
US7952708B2 (en) * 2007-04-02 2011-05-31 Applied Materials, Inc. High throughput measurement system
US7372583B1 (en) * 2007-04-12 2008-05-13 Tokyo Electron Limited Controlling a fabrication tool using support vector machine
US8189195B2 (en) * 2007-05-09 2012-05-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8435593B2 (en) * 2007-05-22 2013-05-07 Asml Netherlands B.V. Method of inspecting a substrate and method of preparing a substrate for lithography
US7911612B2 (en) * 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7932157B2 (en) * 2007-06-30 2011-04-26 Sandisk Corporation Test structure formation in semiconductor processing
US7830028B2 (en) * 2007-06-30 2010-11-09 Sandisk Corporation Semiconductor test structures
US7998640B2 (en) * 2007-06-30 2011-08-16 Sandisk Corporation Mask reuse in semiconductor processing
CN202189297U (en) * 2007-07-22 2012-04-11 康代有限公司 System for controlling manufacturing process of electronic circuit
US7460237B1 (en) 2007-08-02 2008-12-02 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
TWI347428B (en) * 2007-11-02 2011-08-21 Ind Tech Res Inst Overlay alignment structure and method for overlay metrology using the same
US7449265B1 (en) 2007-11-20 2008-11-11 Tokyo Electron Limited Scatterometry target for determining CD and overlay
US8278770B2 (en) * 2007-11-26 2012-10-02 Macronix International Co., Ltd. Overlay mark
US8049213B2 (en) * 2007-12-18 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Feature dimension measurement
NL1036459A1 (en) * 2008-02-13 2009-08-14 Asml Netherlands Bv Method and apparatus for angular-resolved spectroscopic lithography characterization.
US8105736B2 (en) * 2008-03-13 2012-01-31 Miradia Inc. Method and system for overlay correction during photolithography
NL1036734A1 (en) * 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
US20090275265A1 (en) * 2008-05-02 2009-11-05 Applied Materials, Inc. Endpoint detection in chemical mechanical polishing using multiple spectra
US20090296075A1 (en) * 2008-05-29 2009-12-03 Nanometrics Incorporated Imaging Diffraction Based Overlay
EP2131245A3 (en) * 2008-06-02 2012-08-01 ASML Netherlands BV Lithographic apparatus and its focus determination method
NL2002932A1 (en) * 2008-06-02 2009-12-03 Asml Netherlands Bv Sub-wavelength segmentation in measurement targets on substrates.
US8665417B2 (en) 2008-06-11 2014-03-04 Asml Netherlands B.V. Apparatus and method for inspecting a substrate
US20100103422A1 (en) * 2008-10-27 2010-04-29 Applied Materials, Inc. Goodness of fit in spectrographic monitoring of a substrate during processing
US8352061B2 (en) 2008-11-14 2013-01-08 Applied Materials, Inc. Semi-quantitative thickness determination
CN102460310B (en) * 2009-06-17 2014-07-02 Asml荷兰有限公司 Method of overlay measurement, lithographic apparatus, inspection apparatus, processing apparatus and lithographic processing cell
JP2013500586A (en) 2009-07-22 2013-01-07 ケーエルエー−テンカー・コーポレーション Angle-resolved inversely symmetric light wave scattering measurement
US8189202B2 (en) * 2009-08-04 2012-05-29 Zygo Corporation Interferometer for determining overlay errors
US8441639B2 (en) * 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
US8525993B2 (en) * 2009-10-07 2013-09-03 Nanometrics Incorporated Scatterometry measurement of asymmetric structures
KR101861834B1 (en) 2009-11-03 2018-05-28 어플라이드 머티어리얼스, 인코포레이티드 Endpoint method using peak location of spectra contour plots versus time
TWI408331B (en) * 2009-12-17 2013-09-11 Ind Tech Res Inst Measurement device and method of double-sided optical films
KR101675380B1 (en) * 2010-02-19 2016-11-14 삼성전자주식회사 method for correcting overlay and manufacturing method of semiconductor device used the same
US9239523B2 (en) * 2010-03-25 2016-01-19 Nanometrics Incorporated Diffraction based overlay linearity testing
US20110276319A1 (en) * 2010-05-06 2011-11-10 Jonathan Michael Madsen Determination of material optical properties for optical metrology of structures
NL2007088A (en) * 2010-07-19 2012-01-23 Asml Netherlands Bv Method and apparatus for determining an overlay error.
US8954186B2 (en) 2010-07-30 2015-02-10 Applied Materials, Inc. Selecting reference libraries for monitoring of multiple zones on a substrate
US9927718B2 (en) * 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
US8867041B2 (en) 2011-01-18 2014-10-21 Jordan Valley Semiconductor Ltd Optical vacuum ultra-violet wavelength nanoimprint metrology
US8565379B2 (en) 2011-03-14 2013-10-22 Jordan Valley Semiconductors Ltd. Combining X-ray and VUV analysis of thin film layers
US9311431B2 (en) 2011-11-03 2016-04-12 Kla-Tencor Corporation Secondary target design for optical measurements
US8736084B2 (en) * 2011-12-08 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for E-beam in-chip overlay mark
US10107621B2 (en) * 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10255385B2 (en) * 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity
DE102012104844B4 (en) * 2012-06-05 2017-06-29 Heliatek Gmbh Optical system and method for monitoring and controlling photoactive components in the production process
KR101967723B1 (en) 2012-07-05 2019-04-10 에이에스엠엘 네델란즈 비.브이. Metrology for lithography
US10514509B2 (en) * 2013-01-10 2019-12-24 The Regents Of The University Of Colorado, A Body Corporate Method and apparatus for optical waveguide-to-semiconductor coupling and optical vias for monolithically integrated electronic and photonic circuits
US9123649B1 (en) * 2013-01-21 2015-09-01 Kla-Tencor Corporation Fit-to-pitch overlay measurement targets
US9291554B2 (en) * 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US20140242880A1 (en) * 2013-02-26 2014-08-28 Applied Materials, Inc. Optical model with polarization direction effects for comparison to measured spectrum
CN103247550B (en) * 2013-05-07 2016-04-13 上海华力微电子有限公司 The test module of monitoring program stability and method
WO2014194095A1 (en) * 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
US10386729B2 (en) 2013-06-03 2019-08-20 Kla-Tencor Corporation Dynamic removal of correlation of highly correlated parameters for optical metrology
US10429320B2 (en) 2013-06-04 2019-10-01 Kla-Tencor Corporation Method for auto-learning tool matching
US9490182B2 (en) * 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
NO20140263A1 (en) * 2014-02-28 2015-08-31 Pgs Geophysical As Optical motion sensor
US10678412B2 (en) 2014-07-31 2020-06-09 Microsoft Technology Licensing, Llc Dynamic joint dividers for application windows
US10592080B2 (en) 2014-07-31 2020-03-17 Microsoft Technology Licensing, Llc Assisted presentation of application windows
US10254942B2 (en) 2014-07-31 2019-04-09 Microsoft Technology Licensing, Llc Adaptive sizing and positioning of application windows
US10430719B2 (en) 2014-11-25 2019-10-01 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
CN107004060B (en) 2014-11-25 2022-02-18 Pdf决策公司 Improved process control techniques for semiconductor manufacturing processes
US9482519B2 (en) * 2014-12-04 2016-11-01 Globalfoundries Inc. Measuring semiconductor device features using stepwise optical metrology
US9535253B2 (en) 2015-02-09 2017-01-03 Microsoft Technology Licensing, Llc Display system
US9827209B2 (en) 2015-02-09 2017-11-28 Microsoft Technology Licensing, Llc Display system
US9372347B1 (en) 2015-02-09 2016-06-21 Microsoft Technology Licensing, Llc Display system
US10018844B2 (en) 2015-02-09 2018-07-10 Microsoft Technology Licensing, Llc Wearable image display system
US10317677B2 (en) 2015-02-09 2019-06-11 Microsoft Technology Licensing, Llc Display system
US9429692B1 (en) 2015-02-09 2016-08-30 Microsoft Technology Licensing, Llc Optical components
US9423360B1 (en) * 2015-02-09 2016-08-23 Microsoft Technology Licensing, Llc Optical components
US11086216B2 (en) 2015-02-09 2021-08-10 Microsoft Technology Licensing, Llc Generating electronic components
US9513480B2 (en) 2015-02-09 2016-12-06 Microsoft Technology Licensing, Llc Waveguide
TW201640228A (en) * 2015-05-12 2016-11-16 聯華電子股份有限公司 Overlay mark pattern and method of correcting overlay error
US9779202B2 (en) * 2015-06-22 2017-10-03 Kla-Tencor Corporation Process-induced asymmetry detection, quantification, and control using patterned wafer geometry measurements
US20170052458A1 (en) * 2015-08-21 2017-02-23 Globalfoundries Inc. Diffractive overlay mark
US10983275B2 (en) 2016-03-21 2021-04-20 The Regents Of The University Of Colorado, A Body Corporate Method and apparatus for optical waveguide-to-semiconductor coupling for integrated photonic circuits
WO2017178220A1 (en) 2016-04-11 2017-10-19 Asml Netherlands B.V. Metrology target, method and apparatus, target design method, computer program and lithographic system
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
NL2018931A (en) 2016-06-03 2017-12-05 Asml Holding Nv Alignment system wafer stack beam analyzer
EP3333631A1 (en) 2016-12-06 2018-06-13 ASML Netherlands B.V. Method of measuring a target, metrology apparatus, polarizer assembly
CA2971601C (en) 2017-01-27 2022-06-21 Teraxion Inc. Optical fiber filter of wideband deleterious light and uses thereof
SG11201906424WA (en) * 2017-02-10 2019-08-27 Kla Tencor Corp Mitigation of inaccuracies related to grating asymmetries in scatterometry measurements
WO2018215177A1 (en) * 2017-05-24 2018-11-29 Asml Netherlands B.V. Method of measuring a parameter of interest, inspection apparatus, lithographic system and device manufacturing method
US11029673B2 (en) 2017-06-13 2021-06-08 Pdf Solutions, Inc. Generating robust machine learning predictions for semiconductor manufacturing processes
US11022642B2 (en) 2017-08-25 2021-06-01 Pdf Solutions, Inc. Semiconductor yield prediction
US10837919B2 (en) * 2017-11-06 2020-11-17 Kla Corporation Single cell scatterometry overlay targets
US10504851B2 (en) * 2018-02-26 2019-12-10 Globalfoundries Inc. Structure and method to improve overlay performance in semiconductor devices
US11775714B2 (en) 2018-03-09 2023-10-03 Pdf Solutions, Inc. Rational decision-making tool for semiconductor processes
US11029359B2 (en) 2018-03-09 2021-06-08 Pdf Solutions, Inc. Failure detection and classsification using sensor data and/or measurement data
EP3762776A4 (en) * 2018-03-19 2021-12-22 Kla-Tencor Corporation Overlay measurement using multiple wavelengths
US10777470B2 (en) 2018-03-27 2020-09-15 Pdf Solutions, Inc. Selective inclusion/exclusion of semiconductor chips in accelerated failure tests
JP7159763B2 (en) * 2018-10-01 2022-10-25 株式会社デンソー Optical device and laser radar device
EP3648138A1 (en) * 2018-10-31 2020-05-06 FEI Company Measurement and endpointing of sample thickness
WO2020205601A1 (en) * 2019-04-05 2020-10-08 Kla Corporation Multi-layered moiré targets and methods for using the same in measuring misregistration of semiconductor devices
US11748869B1 (en) * 2019-07-08 2023-09-05 Intel Corporation Image-based overlay targets incorporating features for pattern recognition and moire fringe patterns for measurement
US11914290B2 (en) 2019-07-24 2024-02-27 Kla Corporation Overlay measurement targets design
US11355375B2 (en) 2020-07-09 2022-06-07 Kla Corporation Device-like overlay metrology targets displaying Moiré effects
KR20220077615A (en) * 2020-12-02 2022-06-09 삼성전자주식회사 A method of manufacturing a semiconductor device
US11536908B2 (en) 2021-02-11 2022-12-27 Honeywell International Inc. Multilayer waveguide grating coupler
US11720031B2 (en) 2021-06-28 2023-08-08 Kla Corporation Overlay design for electron beam and scatterometry overlay measurements
CN117289562B (en) * 2023-11-22 2024-02-13 全芯智造技术有限公司 Method, apparatus and medium for simulating overlay marks

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4200395A (en) * 1977-05-03 1980-04-29 Massachusetts Institute Of Technology Alignment of diffraction gratings
US4332473A (en) * 1979-01-31 1982-06-01 Tokyo Shibaura Denki Kabushiki Kaisha Apparatus for detecting a mutual positional relationship of two sample members
EP0281030A2 (en) * 1987-03-03 1988-09-07 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
US5867276A (en) * 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
WO1999045340A1 (en) * 1998-03-06 1999-09-10 Kla-Tencor Corporation Measuring a diffracting structure, broadband, polarized, ellipsometric, and an underlying structure
US5963329A (en) * 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
EP0965889A2 (en) * 1998-06-15 1999-12-22 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US6100985A (en) * 1998-03-18 2000-08-08 Nova Measuring Instruments, Ltd. Method and apparatus for measurements of patterned structures

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5212577A (en) 1975-07-21 1977-01-31 Nippon Kogaku Kk <Nikon> Automatic location device
US4172664A (en) 1977-12-30 1979-10-30 International Business Machines Corporation High precision pattern registration and overlay measurement system and process
FR2436967A1 (en) 1978-09-19 1980-04-18 Thomson Csf METHOD FOR OPTICAL ALIGNMENT OF PATTERNS IN TWO CLOSE PLANS AND ALIGNMENT DEVICE IMPLEMENTING SUCH A METHOD
US4703434A (en) 1984-04-24 1987-10-27 The Perkin-Elmer Corporation Apparatus for measuring overlay error
US4680084A (en) 1984-08-21 1987-07-14 American Telephone And Telegraph Company, At&T Bell Laboratories Interferometric methods and apparatus for device fabrication
JPS62157295A (en) 1985-12-30 1987-07-13 Toshiba Corp Corrosion preventive device of sea water pump
NL8900991A (en) 1989-04-20 1990-11-16 Asm Lithography Bv DEVICE FOR IMAGING A MASK PATTERN ON A SUBSTRATE.
US5343292A (en) 1990-10-19 1994-08-30 University Of New Mexico Method and apparatus for alignment of submicron lithographic features
JPH08167559A (en) 1994-12-15 1996-06-25 Nikon Corp Method and device for alignment
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5795687A (en) 1995-02-24 1998-08-18 Nikon Corporation Projection exposure method and alignment
US5808742A (en) 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5712707A (en) 1995-11-20 1998-01-27 International Business Machines Corporation Edge overlay measurement target for sub-0.5 micron ground rules
US5805290A (en) 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5889593A (en) 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
WO2000072093A1 (en) 1999-05-25 2000-11-30 Massachusetts Institute Of Technology Optical gap measuring apparatus and method using two-dimensional grating mark with chirp in one direction
US6440612B1 (en) * 1999-09-01 2002-08-27 Micron Technology, Inc. Field correction of overlay error
IL138552A (en) 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Lateral shift measurement using an optical technique
US6436595B1 (en) * 2001-02-08 2002-08-20 International Business Machines Corporation Method of aligning lithographically printed product layers using non-zero overlay targets
US6819426B2 (en) * 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
US6458605B1 (en) 2001-06-28 2002-10-01 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography overlay registration

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4200395A (en) * 1977-05-03 1980-04-29 Massachusetts Institute Of Technology Alignment of diffraction gratings
US4332473A (en) * 1979-01-31 1982-06-01 Tokyo Shibaura Denki Kabushiki Kaisha Apparatus for detecting a mutual positional relationship of two sample members
EP0281030A2 (en) * 1987-03-03 1988-09-07 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
US5867276A (en) * 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
US5963329A (en) * 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
WO1999045340A1 (en) * 1998-03-06 1999-09-10 Kla-Tencor Corporation Measuring a diffracting structure, broadband, polarized, ellipsometric, and an underlying structure
US6100985A (en) * 1998-03-18 2000-08-08 Nova Measuring Instruments, Ltd. Method and apparatus for measurements of patterned structures
EP0965889A2 (en) * 1998-06-15 1999-12-22 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns

Non-Patent Citations (6)

* Cited by examiner, † Cited by third party
Title
GAYLORD T K, MORHAM M G : "Analysis and application of optical diffraction by gratings" PROC. IEEE, vol. 73, no. 5, 1985, pages 894-937, XP001149060 *
MOHARAM ET AL.: "Rigorous coupled-wave analysis of planar-grating diffraction" J. OPT. SOC. AM., vol. 71, no. 7, 1981, pages 811-818, XP008017477 *
MOHARAM M G ET AL.: "Stable implementation of the rigorous cuoupled-wave analysis for surface-relief gratings: enhanced transmittance matrix approach" J. OPT. SOC. AM. A, vol. 12, no. 5, 1995, pages 1077-1086, XP001151976 *
MURNANE M R ET AL: "DEVELOPED PHOTORESIST METROLOGY USING SCATTEROMETRY" PROCEEDINGS OF THE SPIE, SPIE, BELLINGHAM, VA, US, vol. 2196, 1994, pages 47-59, XP000890152 *
RAYMOND C J ET AL. : "Scatterometry for CD measurements of etched structures" PROCEEDINGS OF THE SPIE, SPIE, BELLINGHAM, VA, US, vol. 2725, 1996, pages 720-728, XP008017474 *
RAYMOND C J ET AL: "METROLOGY OF SUBWAVELENGTH PHOTORESIST GRATINGS USING OPTICAL SCATTEROMETRY" JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART B, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 13, no. 4, 1 July 1995 (1995-07-01), pages 1484-1495, XP000542851 ISSN: 0734-211X *

Cited By (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9702693B2 (en) 2000-08-30 2017-07-11 Kla-Tencor Corporation Apparatus for measuring overlay errors
US7515279B2 (en) 2001-03-02 2009-04-07 Nanometrics Incorporated Line profile asymmetry measurement
US7639371B2 (en) 2001-03-02 2009-12-29 Nanometrics Incorporated Line profile asymmetry measurement
US6856408B2 (en) 2001-03-02 2005-02-15 Accent Optical Technologies, Inc. Line profile asymmetry measurement using scatterometry
US9234745B2 (en) 2001-04-10 2016-01-12 Kla-Tencor Corporation Periodic patterns and techniques to control misalignment between two layers
US9476698B2 (en) 2001-04-10 2016-10-25 Kla-Tencor Corporation Periodic patterns and technique to control misalignment between two layers
US9835447B2 (en) 2001-04-10 2017-12-05 Kla-Tencor Corporation Periodic patterns and technique to control misalignment between two layers
EP1390692A4 (en) * 2001-04-10 2007-09-12 Kla Tencor Corp Periodic patterns and technique to control misalignment
US10151584B2 (en) 2001-04-10 2018-12-11 Kla-Tencor Corporation Periodic patterns and technique to control misalignment between two layers
EP1390692A1 (en) * 2001-04-10 2004-02-25 KLA-Tencor Corporation Periodic patterns and technique to control misalignment
US7656528B2 (en) 2001-04-10 2010-02-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment between two layers
US6995842B2 (en) 2001-08-28 2006-02-07 Therma-Wave, Inc. Detector configurations for optical metrology
US7206071B2 (en) 2001-08-28 2007-04-17 Therma-Wave, Inc. Detector configurations for optical metrology
US7667841B2 (en) 2001-08-28 2010-02-23 Kla-Tencor Corporation Detector configurations for optical metrology
US7456964B2 (en) 2001-08-28 2008-11-25 Kla-Tencor Corporation Detector configurations for optical metrology
US7061615B1 (en) 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6842259B2 (en) 2002-02-05 2005-01-11 Therma-Wave, Inc. Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
US6813034B2 (en) 2002-02-05 2004-11-02 Therma-Wave, Inc. Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
US7061627B2 (en) 2002-03-13 2006-06-13 Therma-Wave, Inc. Optical scatterometry of asymmetric lines and structures
US6958819B1 (en) 2002-04-04 2005-10-25 Nanometrics Incorporated Encoder with an alignment target
US6982793B1 (en) 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6949462B1 (en) 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US7046361B1 (en) 2002-04-04 2006-05-16 Nanometrics Incorporated Positioning two elements using an alignment target with a designed offset
US7236244B1 (en) 2002-04-04 2007-06-26 Nanometrics Incorporated Alignment target to be measured with multiple polarization states
US7230705B1 (en) 2002-04-04 2007-06-12 Nanometrics Incorporated Alignment target with designed in offset
GB2390899A (en) * 2002-05-30 2004-01-21 Agere Systems Inc Measuring alignment of semiconductor layers
US6985229B2 (en) 2002-05-30 2006-01-10 Agere Systems, Inc. Overlay metrology using scatterometry profiling
WO2004023214A1 (en) * 2002-09-05 2004-03-18 Therma-Wave, Inc. Interferometry-based method and apparatus for overlay metrology
US7439531B2 (en) 2002-09-20 2008-10-21 Asml Netherlands B.V. Alignment systems and methods for lithographic systems
US8139217B2 (en) 2002-09-20 2012-03-20 Asml Netherlands B.V. Alignment systems and methods for lithographic systems
US7297971B2 (en) 2002-09-20 2007-11-20 Asml Netherlands B.V. Alignment systems and methods for lithographic systems
US7880880B2 (en) 2002-09-20 2011-02-01 Asml Netherlands B.V. Alignment systems and methods for lithographic systems
US7330261B2 (en) 2002-09-20 2008-02-12 Asml Netherlands B.V. Marker structure for optical alignment of a substrate, a substrate including such a marker structure, an alignment method for aligning to such a marker structure, and a lithographic projection apparatus
US7329888B2 (en) 2002-09-20 2008-02-12 Asml Netherlands B.V. Alignment systems and methods for lithographic systems
US7332732B2 (en) 2002-09-20 2008-02-19 Asml Netherlands, B.V. Alignment systems and methods for lithographic systems
US7619738B2 (en) 2002-09-20 2009-11-17 Asml Netherlands B.V. Marker structure for optical alignment of a substrate, a substrate including such a marker structure, an alignment method for aligning to such a marker structure, and a lithographic projection apparatus
US7112813B2 (en) 2002-09-20 2006-09-26 Asml Netherlands B.V. Device inspection method and apparatus using an asymmetric marker
SG125923A1 (en) * 2002-09-20 2006-10-30 Asml Netherlands Bv Lithographic marker structure, lithographic projection apparatus comprising such a lithographic marker structure and method for substrate alignment using such a lithographic marker structure
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
CN100373570C (en) * 2002-10-21 2008-03-05 先进微装置公司 Manufacturing process of using scatterometry to obtain optimization of circuit structures
US7312860B2 (en) 2002-11-01 2007-12-25 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
EP1416327A1 (en) * 2002-11-01 2004-05-06 ASML Netherlands B.V. Inspection method and device manufacturing method
US7151594B2 (en) 2002-11-01 2006-12-19 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
US7148959B2 (en) 2002-11-01 2006-12-12 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
WO2004053426A1 (en) * 2002-12-05 2004-06-24 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7663753B2 (en) 2002-12-05 2010-02-16 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
JP2006509219A (en) * 2002-12-05 2006-03-16 ケーエルエー−テンカー テクノロジィース コーポレイション Apparatus and method for detecting overlay error using scatterometry
US7876440B2 (en) 2002-12-05 2011-01-25 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7933016B2 (en) 2002-12-05 2011-04-26 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
JP4746987B2 (en) * 2002-12-05 2011-08-10 ケーエルエー−テンカー コーポレイション Apparatus and method for detecting overlay error using scatterometry
US7069153B2 (en) * 2003-01-28 2006-06-27 Therma-Wave, Inc. CD metrology method
JP2015052602A (en) * 2003-02-22 2015-03-19 ケーエルエー−テンカー コーポレイション Device and method for detecting overlay error using scattering measurement
JP2012032408A (en) * 2003-02-22 2012-02-16 Kla-Encor Corp Device and method for detecting overlay error using scattering measurement
JP4789798B2 (en) * 2003-02-22 2011-10-12 ケーエルエー−テンカー コーポレイション Apparatus and method for detecting overlay error using scatterometry
JP2019091094A (en) * 2003-02-22 2019-06-13 ケーエルエー−テンカー コーポレイション Apparatus and method for detecting overlay error by use of scatterometry
JP2012089896A (en) * 2003-02-22 2012-05-10 Kla-Encor Corp Apparatus and methods for detecting overlay errors using scatterometry
WO2004076963A3 (en) * 2003-02-22 2004-11-18 Kla Tencor Tech Corp Apparatus and method for detecting overlay errors using scatterometry
JP2014042069A (en) * 2003-02-22 2014-03-06 Kla-Encor Corp Apparatus and methods for detecting overlay errors using scatterometry
JP2011155302A (en) * 2003-02-22 2011-08-11 Kla-Tencor Corp Apparatus and method for detecting overlay error using scatterometry
JP2016106269A (en) * 2003-02-22 2016-06-16 ケーエルエー−テンカー コーポレイション Apparatus and method for detecting overlay error by use of scatterometry
JP2006518942A (en) * 2003-02-22 2006-08-17 ケーエルエー−テンカー テクノロジィース コーポレイション Apparatus and method for detecting overlay error using scatterometry
US6970255B1 (en) 2003-04-23 2005-11-29 Nanometrics Incorporated Encoder measurement based on layer thickness
US7508976B1 (en) 2003-12-29 2009-03-24 Nanometric Incorporated Local process variation correction for overlay measurement
EP1896811A2 (en) * 2005-06-06 2008-03-12 KLA-Tencor Technologies Corporation Measuring overlay and profile asymmetry using symmetric and anti-symmetric scatterometry signals
EP1896811A4 (en) * 2005-06-06 2012-03-28 Kla Tencor Tech Corp Measuring overlay and profile asymmetry using symmetric and anti-symmetric scatterometry signals
US10520451B2 (en) 2007-12-17 2019-12-31 Asml Netherlands B.V. Diffraction based overlay metrology tool and method of diffraction based overlay metrology
US9909996B2 (en) 2007-12-17 2018-03-06 Asml Netherlands B.V. Diffraction based overlay metrology tool and method of diffraction based overlay metrology
US11644428B2 (en) 2007-12-17 2023-05-09 Asml Netherlands B.V. Diffraction based overlay metrology tool and method of diffraction based overlay metrology
US11619595B2 (en) 2007-12-17 2023-04-04 Asml Netherlands B.V. Diffraction based overlay metrology tool and method of diffraction based overlay metrology
EP2758990A4 (en) * 2011-09-23 2015-10-14 Kla Tencor Corp Process aware metrology
CN103454861A (en) * 2012-06-05 2013-12-18 上海微电子装备有限公司 Overlay measuring mark and method
TWI603216B (en) * 2012-11-21 2017-10-21 克萊譚克公司 Process compatible segmented targets and design methods
US10698321B2 (en) 2012-11-21 2020-06-30 Kla-Tencor Corporation Process compatible segmented targets and design methods
TWI688829B (en) * 2014-02-20 2020-03-21 美商克萊譚克公司 Signal response metrology for image based overlay measurements
CN110596146A (en) * 2014-10-14 2019-12-20 科磊股份有限公司 Signal response metric for image-based measurements and scatterometry-based overlay measurements
EP3944022A3 (en) * 2015-11-05 2022-05-11 Carl Zeiss SMT GmbH Method and device for characterizing a wafer patterned using at least one lithography step
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
US10551749B2 (en) 2017-01-04 2020-02-04 Kla-Tencor Corporation Metrology targets with supplementary structures in an intermediate layer

Also Published As

Publication number Publication date
WO2002065545A3 (en) 2004-01-22
US6819426B2 (en) 2004-11-16
US20020158193A1 (en) 2002-10-31
US7042569B2 (en) 2006-05-09
US20050018190A1 (en) 2005-01-27

Similar Documents

Publication Publication Date Title
US6819426B2 (en) Overlay alignment metrology using diffraction gratings
US10698322B2 (en) Metrology method, computer product and system
US20220113638A1 (en) Method and apparatus for design of a metrology target
US11320745B2 (en) Measuring a process parameter for a manufacturing process involving lithography
US11003099B2 (en) Method and apparatus for design of a metrology target
US7333200B2 (en) Overlay metrology method and apparatus using more than one grating per measurement direction
US7280230B2 (en) Parametric profiling using optical spectroscopic systems
EP1370828B1 (en) Line profile asymmetry measurement using scatterometry
US20120044495A1 (en) Inspection Method and Apparatus, and Associated Computer Readable Product
NL2017949A (en) Metrology method, target and substrate
US20060065625A1 (en) Periodic patterns and technique to control misalignment between two layers
US7515279B2 (en) Line profile asymmetry measurement
KR102281795B1 (en) Metrology methods, devices and computer programs
WO2015172027A1 (en) Signal response metrology for scatterometry based overlay measurements
IL266447B2 (en) Design and correction using stack difference
WO2016007413A1 (en) Signal response metrology based on measurements of proxy structures
TWI693483B (en) Method for measuring structures formed on a substrate
US20220252990A1 (en) Metrology method and associated computer product

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP