WO2002103778A3 - Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing - Google Patents

Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing Download PDF

Info

Publication number
WO2002103778A3
WO2002103778A3 PCT/US2002/019116 US0219116W WO02103778A3 WO 2002103778 A3 WO2002103778 A3 WO 2002103778A3 US 0219116 W US0219116 W US 0219116W WO 02103778 A3 WO02103778 A3 WO 02103778A3
Authority
WO
WIPO (PCT)
Prior art keywords
wafer
points
die
sampling
measurements
Prior art date
Application number
PCT/US2002/019116
Other languages
French (fr)
Other versions
WO2002103778A2 (en
Inventor
Arulkumar P Shanmugasundram
Alexander T Schwarm
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to JP2003505994A priority Critical patent/JP4418669B2/en
Priority to EP02739908A priority patent/EP1399961A2/en
Priority to KR1020037016659A priority patent/KR100934627B1/en
Publication of WO2002103778A2 publication Critical patent/WO2002103778A2/en
Publication of WO2002103778A3 publication Critical patent/WO2002103778A3/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/013Devices or means for detecting lapping completion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/02Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent
    • B24B49/03Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent according to the final size of the previously ground workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/18Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation taking regard of the presence of dressing tools
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B53/00Devices or means for dressing or conditioning abrasive surfaces
    • B24B53/017Devices or means for dressing, cleaning or otherwise conditioning lapping tools
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/19Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by positioning or contouring control systems, e.g. to control position from one programmed point to another or to control movement along a programmed continuous path
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32053Adjust work parameter as function of other cell
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32065Synchronise set points of processes
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Abstract

A system, methods and mediums are provided for dynamic adjustment of sampling plans in connection with a wafer (or other device) to be measured. A sampling plan provides information on specific measure points within a die, a die being the section on the wafer that will eventually become a single chip after processing. There are specified points within the die that are candidates for measuring. The stored die map information may be retrieved and translated to determine the available points for measurement on the wafer. The invention adjusts the frequency and/or spatial resolution of measurements when one or more events occur that are likely to indicate an internal or external change affecting the manufacturing process or results. The increase in measurements and possible corresponding decrease in processing occur on an as-needed basis. The dynamic metrology plan adjusts the spatial resolution of sampling within-wafer by adding, subtracting or replacing candidate points from the sampling plan, in response to certain events which suggest that additional or different measurements of the wafer may be desirable. Where there are provided a number of candidate points in the die map in the area to which points are to be added, substracted, or replaced, the system can select among the points. Further, the invention may be used in connection with adjusting the frequency of wafer-to-wafer measurements.
PCT/US2002/019116 2001-06-19 2002-06-17 Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing WO2002103778A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2003505994A JP4418669B2 (en) 2001-06-19 2002-06-17 Dynamic measurement method, system, and computer program for performing high-performance process control in a semiconductor process
EP02739908A EP1399961A2 (en) 2001-06-19 2002-06-17 Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
KR1020037016659A KR100934627B1 (en) 2001-06-19 2002-06-17 Computer-implemented method, computer-implemented system, computer program and computer-implemented program of measuring the manufacturing characteristic

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US29887801P 2001-06-19 2001-06-19
US60/298,878 2001-06-19
US32245901P 2001-09-17 2001-09-17
US60/322,459 2001-09-17
US10/135,451 US7698012B2 (en) 2001-06-19 2002-05-01 Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US10/135,451 2002-05-01

Publications (2)

Publication Number Publication Date
WO2002103778A2 WO2002103778A2 (en) 2002-12-27
WO2002103778A3 true WO2002103778A3 (en) 2003-12-11

Family

ID=27384707

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/019116 WO2002103778A2 (en) 2001-06-19 2002-06-17 Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing

Country Status (6)

Country Link
US (3) US7698012B2 (en)
EP (1) EP1399961A2 (en)
JP (1) JP4418669B2 (en)
KR (1) KR100934627B1 (en)
CN (1) CN100399525C (en)
WO (1) WO2002103778A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8694145B2 (en) 2001-06-19 2014-04-08 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles

Families Citing this family (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9785140B2 (en) 2000-02-01 2017-10-10 Peer Intellectual Property Inc. Multi-protocol multi-client equipment server
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6821792B1 (en) * 2001-12-18 2004-11-23 Advanced Micro Devices, Inc. Method and apparatus for determining a sampling plan based on process and equipment state information
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6834212B1 (en) * 2002-07-03 2004-12-21 Blue Control Technologies, Inc. Method and apparatus for APC solver engine and heuristic
US8185230B2 (en) * 2002-08-22 2012-05-22 Advanced Micro Devices, Inc. Method and apparatus for predicting device electrical parameters during fabrication
US7272459B2 (en) 2002-11-15 2007-09-18 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US8017411B2 (en) * 2002-12-18 2011-09-13 GlobalFoundries, Inc. Dynamic adaptive sampling rate for model prediction
US6853873B1 (en) 2003-02-21 2005-02-08 Nanometrics Incorporated Enhanced throughput of a metrology tool
US6766214B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Adjusting a sampling rate based on state estimation results
US20050021272A1 (en) * 2003-07-07 2005-01-27 Jenkins Naomi M. Method and apparatus for performing metrology dispatching based upon fault detection
JP2005051210A (en) * 2003-07-15 2005-02-24 Matsushita Electric Ind Co Ltd In-plane distribution data compression method, in-plane distribution measurement method, in-plane distribution optimization method, process apparatus control method, and process control method
US6947805B1 (en) * 2003-08-04 2005-09-20 Advanced Micro Devices, Inc. Dynamic metrology sampling techniques for identified lots, and system for performing same
CN1590989A (en) * 2003-08-27 2005-03-09 上海宏力半导体制造有限公司 Defect analgsis sampling control system and method
US6922600B1 (en) 2004-04-28 2005-07-26 International Business Machines Corporation System and method for optimizing manufacturing processes using real time partitioned process capability analysis
US6965808B1 (en) * 2004-04-28 2005-11-15 International Business Machines Corporation System and method for optimizing metrology sampling in APC applications
US7289865B2 (en) * 2004-07-14 2007-10-30 Asm America, Inc. Optimization algorithm to optimize within substrate uniformities
US7069098B2 (en) * 2004-08-02 2006-06-27 Advanced Micro Devices, Inc. Method and system for prioritizing material to clear exception conditions
US7296103B1 (en) 2004-10-05 2007-11-13 Advanced Micro Devices, Inc. Method and system for dynamically selecting wafer lots for metrology processing
US7519447B1 (en) * 2004-10-05 2009-04-14 Advanced Micro Devices, Inc. Method and apparatus for integrating multiple sample plans
US7076321B2 (en) * 2004-10-05 2006-07-11 Advanced Micro Devices, Inc. Method and system for dynamically adjusting metrology sampling based upon available metrology capacity
FR2878075B1 (en) * 2004-11-15 2007-03-02 Soitec Silicon On Insulator METHOD AND APPARATUS FOR MEASURING SEMICONDUCTOR PLATES
JP4693464B2 (en) * 2005-04-05 2011-06-01 株式会社東芝 Quality control system, quality control method and lot-by-lot wafer processing method
US7477958B2 (en) * 2005-05-11 2009-01-13 International Business Machines Corporation Method of release and product flow management for a manufacturing facility
CN100461361C (en) * 2005-07-14 2009-02-11 中芯国际集成电路制造(上海)有限公司 Method and system for calibrating semiconductor-device manufacture measuring tool
US7567700B2 (en) * 2006-03-28 2009-07-28 Tokyo Electron Limited Dynamic metrology sampling with wafer uniformity control
US20070239305A1 (en) * 2006-03-28 2007-10-11 Haoren Zhuang Process control systems and methods
US20070238201A1 (en) * 2006-03-28 2007-10-11 Merritt Funk Dynamic metrology sampling with wafer uniformity control
US7502709B2 (en) * 2006-03-28 2009-03-10 Tokyo Electron, Ltd. Dynamic metrology sampling for a dual damascene process
US7337033B1 (en) * 2006-07-28 2008-02-26 International Business Machines Corporation Data mining to detect performance quality of tools used repetitively in manufacturing
US20090089024A1 (en) * 2007-09-28 2009-04-02 Chung-Ho Huang Methods and arrangement for creating models for fine-tuning recipes
JP2009176909A (en) * 2008-01-24 2009-08-06 Toshiba Corp Evaluating method for sampling inspection, and evaluating device for sampling inspection
US7895008B2 (en) * 2008-03-17 2011-02-22 International Business Machines Corporation Method of performing measurement sampling of lots in a manufacturing process
US20090291510A1 (en) * 2008-05-20 2009-11-26 International Business Machines Corporation Method for creating wafer test pattern
US8221193B2 (en) * 2008-08-07 2012-07-17 Applied Materials, Inc. Closed loop control of pad profile based on metrology feedback
US8606379B2 (en) * 2008-09-29 2013-12-10 Fisher-Rosemount Systems, Inc. Method of generating a product recipe for execution in batch processing
US8392009B2 (en) * 2009-03-31 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced process control with novel sampling policy
US9287092B2 (en) * 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US8433434B2 (en) 2009-07-09 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Near non-adaptive virtual metrology and chamber control
FR2948494B1 (en) * 2009-07-27 2011-09-16 Soitec Silicon On Insulator METHOD FOR DETERMINING A CENTRAL POSITION OF A SEMICONDUCTOR SUBSTRATE IN A NIGHT OVEN, DEVICE FOR THERMALLY PROCESSING SEMICONDUCTOR SUBSTRATES, AND METHOD FOR CALIBRATING SUCH A DEVICE
US8559001B2 (en) 2010-01-11 2013-10-15 Kla-Tencor Corporation Inspection guided overlay metrology
US9014827B2 (en) * 2010-01-14 2015-04-21 International Business Machines Corporation Dynamically generating a manufacturing production work flow with selectable sampling strategies
US8670857B2 (en) * 2010-02-02 2014-03-11 Applied Materials, Inc. Flexible process condition monitoring
US8359866B2 (en) * 2010-02-04 2013-01-29 United Technologies Corporation Combustor liner segment seal member
US9620426B2 (en) * 2010-02-18 2017-04-11 Kla-Tencor Corporation Method and system for providing process tool correctables using an optimized sampling scheme with smart interpolation
CN102194655B (en) * 2010-03-15 2013-04-10 中芯国际集成电路制造(上海)有限公司 Method for optimally adjusting console parameters in semiconductor technology
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP2011245610A (en) 2010-05-31 2011-12-08 Mitsubishi Electric Corp Method of manufacturing semiconductor device
TWI467645B (en) * 2010-08-25 2015-01-01 Macronix Int Co Ltd Chemical mechanical polishing method and system
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US8527081B2 (en) 2010-08-31 2013-09-03 Applied Materials, Inc. Method and apparatus for automated validation of semiconductor process recipes
US9606453B2 (en) * 2010-09-30 2017-03-28 Kla-Tencor Corporation Method and system for providing tool induced shift using a sub-sampling scheme
US8565910B2 (en) 2011-02-04 2013-10-22 International Business Machines Corporation Manufacturing execution system (MES) including a wafer sampling engine (WSE) for a semiconductor manufacturing process
US8774958B2 (en) 2011-04-29 2014-07-08 Applied Materials, Inc. Selection of polishing parameters to generate removal profile
US8420531B2 (en) 2011-06-21 2013-04-16 International Business Machines Corporation Enhanced diffusion barrier for interconnect structures
US10295993B2 (en) * 2011-09-01 2019-05-21 Kla-Tencor Corporation Method and system for detecting and correcting problematic advanced process control parameters
NL2009853A (en) * 2011-12-23 2013-06-26 Asml Netherlands Bv Methods and apparatus for measuring a property of a substrate.
US9508612B2 (en) 2012-03-15 2016-11-29 Applied Materials, Inc. Method to detect wafer arcing in semiconductor manufacturing equipment
US9280151B2 (en) * 2012-05-15 2016-03-08 Wafertech, Llc Recipe management system and method
US9105447B2 (en) 2012-08-28 2015-08-11 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US20140120735A1 (en) * 2012-10-31 2014-05-01 Macronix International Co., Ltd. Semiconductor process gas flow control apparatus
US10133263B1 (en) 2014-08-18 2018-11-20 Kla-Tencor Corporation Process condition based dynamic defect inspection
US10267746B2 (en) * 2014-10-22 2019-04-23 Kla-Tencor Corp. Automated pattern fidelity measurement plan generation
US9406617B1 (en) 2015-11-19 2016-08-02 International Business Machines Corporation Structure and process for W contacts
CN113467195A (en) 2016-05-12 2021-10-01 Asml荷兰有限公司 Method for obtaining a measurement, device for carrying out a process step and metrology device
US9859157B1 (en) 2016-07-14 2018-01-02 International Business Machines Corporation Method for forming improved liner layer and semiconductor device including the same
US9768118B1 (en) 2016-09-19 2017-09-19 International Business Machines Corporation Contact having self-aligned air gap spacers
JP6765926B2 (en) * 2016-10-07 2020-10-07 株式会社ディスコ Processing equipment
WO2018217232A1 (en) * 2017-05-22 2018-11-29 Kla-Tencor Corporation Zonal analysis for recipe optimization and measurement
US11181882B2 (en) * 2017-06-19 2021-11-23 The Boeing Company Dynamic modification of production plans responsive to manufacturing deviations
KR102408685B1 (en) * 2017-10-16 2022-06-15 삼성전자주식회사 Process control method and system for manufacturing a semiconductor device
TWI767088B (en) 2017-11-17 2022-06-11 新加坡商Aes全球公司 Plasma processing system, control method for modulating supplies therein and related plasma processing control system
TWI726258B (en) 2017-11-17 2021-05-01 新加坡商Aes全球公司 Method and system for plasma processing and relevant non-transitory computer-readable medium
KR20200100642A (en) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 Spatial and temporal control of ion bias voltage for plasma processing
TWI729334B (en) 2018-06-07 2021-06-01 荷蘭商Asml荷蘭公司 Method, computer program, and system for determining control scheme for device and method for determining substrate processed by multiple devices
EP3579051A1 (en) 2018-06-07 2019-12-11 ASML Netherlands B.V. Generation of sampling scheme
US10916503B2 (en) 2018-09-11 2021-02-09 International Business Machines Corporation Back end of line metallization structure
WO2021011450A1 (en) 2019-07-12 2021-01-21 Advanced Energy Industries, Inc. Bias supply with a single controlled switch
EP3848767B1 (en) * 2020-01-13 2023-11-01 Hexagon Technology Center GmbH Method for quality control of workpieces and coordinate measuring device and computer program
US11126769B2 (en) 2020-02-04 2021-09-21 Applied Materials, Inc. Unified material-to-systems simulation, design, and verification for semiconductor design and manufacturing
CN112201587B (en) * 2020-09-18 2023-12-22 全芯智造技术有限公司 Wafer measuring method
US20220283554A1 (en) 2021-03-05 2022-09-08 Applied Materials, Inc. Control of processing parameters for substrate polishing with substrate precession
JP2023538198A (en) 2021-03-05 2023-09-07 アプライド マテリアルズ インコーポレイテッド Control of process parameters during substrate polishing using cost functions or expected future parameter changes
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5546312A (en) * 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
WO1999059200A1 (en) * 1998-05-11 1999-11-18 Applied Materials, Inc. Fab yield enhancement system
US6002989A (en) * 1996-04-02 1999-12-14 Hitachi, Ltd. System for quality control where inspection frequency of inspection apparatus is reset to minimize expected total loss based on derived frequency function and loss value
WO2000054325A1 (en) * 1999-03-10 2000-09-14 Nova Measuring Instruments Ltd. Method and apparatus for monitoring a chemical mechanical planarization process applied to metal-based patterned objects
WO2001011679A1 (en) * 1999-08-10 2001-02-15 Advanced Micro Devices, Inc. Method and apparatus for performing run-to-run control in a batch manufacturing environment
WO2001052319A1 (en) * 2000-01-07 2001-07-19 Advanced Micro Devices, Inc. Method and apparatus for determining measurement frequency based on hardware age and usage
WO2001080306A2 (en) * 2000-04-13 2001-10-25 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing

Family Cites Families (400)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US363966A (en) * 1887-05-31 Traction-engine
US135405A (en) * 1873-02-04 Improvement in breech-loading fire-arms
US469227A (en) * 1892-02-23 William g
US100184A (en) * 1870-02-22 safes
US619044A (en) * 1899-02-07 Assay-furnace
US725908A (en) * 1902-02-24 1903-04-21 Parker Wineman Machine for sawing staves.
US811667A (en) * 1905-07-07 1906-02-06 Henry Cooper Seddon Fuse for projectiles.
US927444A (en) * 1907-02-08 1909-07-06 Sullivan Machinery Co Stone or rock channeling machine.
US928474A (en) * 1908-04-14 1909-07-20 Dale Company Adapter.
US928473A (en) * 1908-10-05 1909-07-20 Albert Ruffin Cyclometer for bicycles.
US998372A (en) * 1910-07-25 1911-07-18 Robert S Mills Safety attachment for cars.
US998384A (en) * 1911-03-06 1911-07-18 George Clarence Openshaw Novelty match-safe.
US3205485A (en) 1960-10-21 1965-09-07 Ti Group Services Ltd Screening vane electro-mechanical transducer
US3229197A (en) * 1961-10-31 1966-01-11 Jr Claus J Renken Pulsed electromagnetic field system for nondestructive testing
US3229198A (en) 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US3767900A (en) 1971-06-23 1973-10-23 Cons Paper Inc Adaptive controller having optimal filtering
CH569321A5 (en) 1973-10-03 1975-11-14 Siemens Ag
US4000458A (en) 1975-08-21 1976-12-28 Bell Telephone Laboratories, Incorporated Method for the noncontacting measurement of the electrical conductivity of a lamella
US4209744A (en) 1976-04-29 1980-06-24 Fedosenko Jury K Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques
IT1072972B (en) * 1976-10-04 1985-04-13 Fonderia Elettrica Alluminio SERVICE ROOM FOR FINISHING INSERTING IN A BUILDING
US4207520A (en) 1978-04-06 1980-06-10 The United States Of America As Represented By The Secretary Of The Air Force Multiple frequency digital eddy current inspection system
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
US4368510A (en) * 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4609870A (en) 1981-03-27 1986-09-02 Hocking Electronics Limited Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
DE3581000D1 (en) 1984-05-19 1991-02-07 British Aerospace INDUSTRIAL PROCESSING AND MANUFACTURING PROCESSES.
US4967381A (en) 1985-04-30 1990-10-30 Prometrix Corporation Process control interface system for managing measurement data
US4663703A (en) * 1985-10-02 1987-05-05 Westinghouse Electric Corp. Predictive model reference adaptive controller
US4717596A (en) * 1985-10-30 1988-01-05 International Business Machines Corporation Method for vacuum vapor deposition with improved mass flow control
FR2589566A1 (en) 1985-11-06 1987-05-07 Cegedur METHOD FOR NON-CONTACT SCALE MEASUREMENT OF THE THICKNESS AND TEMPERATURE OF THIN METAL SHEETS USING FOUCAULT CURRENTS
US4750141A (en) 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US4974543A (en) 1986-02-28 1990-12-04 Xerox Corporation Apparatus for amorphous silicon film
US4755753A (en) 1986-07-23 1988-07-05 General Electric Company Eddy current surface mapping system for flaw detection
US5260868A (en) 1986-08-11 1993-11-09 Texas Instruments Incorporate Method for calendaring future events in real-time
US4796194A (en) * 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US4901218A (en) 1987-08-12 1990-02-13 Renishaw Controls Limited Communications adaptor for automated factory system
US5345587A (en) 1988-09-14 1994-09-06 Digital Equipment Corporation Extensible entity management system including a dispatching kernel and modules which independently interpret and execute commands
US4938600A (en) 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
US4957605A (en) 1989-04-17 1990-09-18 Materials Research Corporation Method and apparatus for sputter coating stepped wafers
EP0397924B1 (en) 1989-05-17 1995-11-29 Koninklijke Philips Electronics N.V. Work station controller module
JP2780814B2 (en) * 1989-06-22 1998-07-30 株式会社日立製作所 production management system
US6185324B1 (en) * 1989-07-12 2001-02-06 Hitachi, Ltd. Semiconductor failure analysis system
US6345288B1 (en) 1989-08-31 2002-02-05 Onename Corporation Computer-based communication system and method using metadata defining a control-structure
US5089970A (en) * 1989-10-05 1992-02-18 Combustion Engineering, Inc. Integrated manufacturing system
US5108570A (en) * 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
US5485082A (en) 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
US5208765A (en) * 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5495417A (en) 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
US5220517A (en) * 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
CA2194855A1 (en) 1990-08-31 1992-03-01 Dennis A. Sierk Process gas distribution system and method
DE4029440A1 (en) * 1990-09-17 1992-03-19 Windmoeller & Hoelscher METHOD FOR PRODUCING PLASTIC BAGS
EP0553285B1 (en) * 1990-10-16 2000-03-01 Consilium, Inc. Object-oriented architecture for factory floor management
US5295242A (en) * 1990-11-02 1994-03-15 Consilium, Inc. Apparatus and method for viewing relationships in a factory management system
US5270222A (en) * 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5226118A (en) * 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
US5347446A (en) 1991-02-08 1994-09-13 Kabushiki Kaisha Toshiba Model predictive control apparatus
US5430836A (en) 1991-03-01 1995-07-04 Ast Research, Inc. Application control module for common user access interface
GB2257507B (en) 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
US5469361A (en) 1991-08-08 1995-11-21 The Board Of Regents Acting For And On Behalf Of The University Of Michigan Generic cell controlling method and apparatus for computer integrated manufacturing system
US5240552A (en) 1991-12-11 1993-08-31 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
US5309221A (en) * 1991-12-31 1994-05-03 Corning Incorporated Measurement of fiber diameters with high precision
JP3154425B2 (en) * 1992-01-07 2001-04-09 フクダ電子株式会社 Electrocardiogram information recording method and device
US5525808A (en) * 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
JP3013946B2 (en) * 1992-02-18 2000-02-28 大同メタル工業株式会社 Multi-layer composite sliding material with excellent seizure resistance
US5283141A (en) 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5857258A (en) 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
US5602492A (en) 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
US5823853A (en) 1996-07-18 1998-10-20 Speedfam Corporation Apparatus for the in-process detection of workpieces with a monochromatic light source
US5733171A (en) * 1996-07-18 1998-03-31 Speedfam Corporation Apparatus for the in-process detection of workpieces in a CMP environment
FR2700403B1 (en) 1993-01-12 1995-04-07 Sextant Avionique Method for structuring information used in an industrial process and its application to assistance in piloting an aerodyne.
US5490097A (en) * 1993-03-22 1996-02-06 Fujitsu Limited System and method for modeling, analyzing and executing work process plans
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5369544A (en) 1993-04-05 1994-11-29 Ford Motor Company Silicon-on-insulator capacitive surface micromachined absolute pressure sensor
US5428555A (en) 1993-04-20 1995-06-27 Praxair, Inc. Facility and gas management system
US5367624A (en) 1993-06-11 1994-11-22 Consilium, Inc. Interface for controlling transactions in a manufacturing execution system
US5402367A (en) * 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5642296A (en) 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
JP3039210B2 (en) 1993-08-03 2000-05-08 日本電気株式会社 Method for manufacturing semiconductor device
US5700180A (en) 1993-08-25 1997-12-23 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing
US5408405A (en) * 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
US5503707A (en) * 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
DE69425100T2 (en) 1993-09-30 2001-03-15 Koninkl Philips Electronics Nv Dynamic neural network
US5497381A (en) 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5375064A (en) 1993-12-02 1994-12-20 Hughes Aircraft Company Method and apparatus for moving a material removal tool with low tool accelerations
US5577204A (en) 1993-12-15 1996-11-19 Convex Computer Corporation Parallel processing computer system interconnections utilizing unidirectional communication links with separate request and response lines for direct communication or using a crossbar switching device
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5420796A (en) * 1993-12-23 1995-05-30 Vlsi Technology, Inc. Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication
JPH07201946A (en) 1993-12-28 1995-08-04 Hitachi Ltd Manufacture of semiconductor device and apparatus for manufacture the same, testing of the same and testing apparatus
KR950034499A (en) * 1994-01-28 1995-12-28 제임스 조셉 드롱 Method and apparatus for monitoring the deposition rate of films during physical vapor deposition
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5511005A (en) 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5666297A (en) 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
US5629216A (en) * 1994-06-30 1997-05-13 Seh America, Inc. Method for producing semiconductor wafers with low light scattering anomalies
US5494854A (en) * 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
JP3402412B2 (en) 1994-09-20 2003-05-06 株式会社リコー Process simulation input data setting device
EP0706209A3 (en) 1994-10-06 1996-12-27 Applied Materials Inc Sheet resistance measurement
US5546179A (en) 1994-10-07 1996-08-13 Cheng; David Method and apparatus for mapping the edge and other characteristics of a workpiece
US5519605A (en) 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
KR100213603B1 (en) * 1994-12-28 1999-08-02 가나이 쯔또무 Wiring correcting method and its device of electronic circuit substrate, and electronic circuit substrate
DE4446966A1 (en) 1994-12-28 1996-07-04 Itt Ind Gmbh Deutsche Production control information system
US5534289A (en) 1995-01-03 1996-07-09 Competitive Technologies Inc. Structural crack monitoring technique
US5617023A (en) 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5535128A (en) * 1995-02-09 1996-07-09 The United States Of America As Represented By The Secretary Of The Air Force Hierarchical feedback control of pulsed laser deposition
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US5711843A (en) * 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes
US5831851A (en) 1995-03-21 1998-11-03 Seagate Technology, Inc. Apparatus and method for controlling high throughput sputtering
US5761065A (en) 1995-03-30 1998-06-02 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing
US5541510A (en) 1995-04-06 1996-07-30 Kaman Instrumentation Corporation Multi-Parameter eddy current measuring system with parameter compensation technical field
US5559428A (en) 1995-04-10 1996-09-24 International Business Machines Corporation In-situ monitoring of the change in thickness of films
US5665214A (en) 1995-05-03 1997-09-09 Sony Corporation Automatic film deposition control method and system
US5696893A (en) 1995-06-07 1997-12-09 Xerox Corporation System for generically describing and scheduling operation of modular printing machine
US5764543A (en) * 1995-06-16 1998-06-09 I2 Technologies, Inc. Extensible model network representation system for process planning
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5665199A (en) 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5599423A (en) 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5740429A (en) * 1995-07-07 1998-04-14 Advanced Micro Devices, Inc. E10 reporting tool
US5828778A (en) 1995-07-13 1998-10-27 Matsushita Electric Industrial Co., Ltd. Method and apparatus for analyzing failure of semiconductor wafer
US5825913A (en) 1995-07-18 1998-10-20 Cognex Corporation System for finding the orientation of a wafer
US6036349A (en) 1995-07-27 2000-03-14 Health Designs, Inc. Method and apparatus for validation of model-based predictions
US5716856A (en) * 1995-08-22 1998-02-10 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing using predetermined sequences within runs
KR0153617B1 (en) * 1995-09-20 1998-12-01 김광호 Method of processing semiconductor ic
US5963881A (en) 1995-09-22 1999-10-05 Texas Instruments Incorporated Method and system for enhancing the identification of causes of variations in the performance of manufactured articles
US5751582A (en) * 1995-09-25 1998-05-12 Texas Instruments Incorporated Controlling process modules using site models and monitor wafer control
US6249712B1 (en) * 1995-09-26 2001-06-19 William J. N-O. Boiquaye Adaptive control process and system
US5777901A (en) 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5655951A (en) 1995-09-29 1997-08-12 Micron Technology, Inc. Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers
US5761064A (en) 1995-10-06 1998-06-02 Advanced Micro Devices, Inc. Defect management system for productivity and yield improvement
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5603707A (en) * 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
JP3892493B2 (en) 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 Substrate processing system
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
KR100200480B1 (en) * 1995-12-21 1999-10-01 윤종용 Controlling method of semiconductor process using feedback
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US6094600A (en) * 1996-02-06 2000-07-25 Fisher-Rosemount Systems, Inc. System and method for managing a transaction database of records of changes to field device configurations
KR100192216B1 (en) 1996-02-29 1999-06-15 황인길 Converting method of wafer map
EP0895279A4 (en) 1996-03-06 2006-04-19 Hitachi Ltd Manufacture of semiconductor device
US5825356A (en) 1996-03-18 1998-10-20 Wall Data Incorporated Help system with semitransparent window for disabling controls
EP1909318A3 (en) 1996-03-19 2009-12-09 Hitachi, Ltd. Process management system
WO1997036164A1 (en) 1996-03-28 1997-10-02 Bio-Analytics, Inc., Doing Business As Biomedware Method for measuring a degree of association for dimensionally referenced data
US6017143A (en) 1996-03-28 2000-01-25 Rosemount Inc. Device in a process system for detecting events
US5943550A (en) 1996-03-29 1999-08-24 Advanced Micro Devices, Inc. Method of processing a semiconductor wafer for controlling drive current
US5871805A (en) 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5735055A (en) 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
US5660895A (en) * 1996-04-24 1997-08-26 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor
US6278899B1 (en) 1996-05-06 2001-08-21 Pavilion Technologies, Inc. Method for on-line optimization of a plant
KR100243636B1 (en) * 1996-05-14 2000-03-02 요시다 아키라 Casting control support system for die casting machines
US5910846A (en) 1996-05-16 1999-06-08 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5663797A (en) 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5823854A (en) 1996-05-28 1998-10-20 Industrial Technology Research Institute Chemical-mechanical polish (CMP) pad conditioner
JPH1086040A (en) * 1996-06-13 1998-04-07 Mitsubishi Electric Corp Method for automatically programing of multiple systems and device therefor
US5960185A (en) 1996-06-24 1999-09-28 International Business Machines Corporation Method and apparatus for wafer disposition based on systematic error modeling
US5664990A (en) 1996-07-29 1997-09-09 Integrated Process Equipment Corp. Slurry recycling in CMP apparatus
JPH10112493A (en) 1996-08-13 1998-04-28 Sony Corp Surface-reformed thin plate holder, face adjusting means, and direction adjusting means
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5844554A (en) 1996-09-17 1998-12-01 Bt Squared Technologies, Inc. Methods and systems for user interfaces and constraint handling configurations software
US5667424A (en) 1996-09-25 1997-09-16 Chartered Semiconductor Manufacturing Pte Ltd. New chemical mechanical planarization (CMP) end point detection apparatus
US6041263A (en) 1996-10-01 2000-03-21 Aspen Technology, Inc. Method and apparatus for simulating and optimizing a plant model
JPH10106917A (en) 1996-10-02 1998-04-24 Toshiba Corp Production system for manufacturing semiconductor device
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
TW364956B (en) 1996-10-21 1999-07-21 Nxp Bv Method and system for assessing a measurement procedure and measurement-induced uncertainties on a batchwise manufacturing process of discrete products
US5859964A (en) * 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US6064759A (en) 1996-11-08 2000-05-16 Buckley; B. Shawn Computer aided inspection machine
US5874345A (en) * 1996-11-18 1999-02-23 International Business Machines Corporation Method for planarizing TEOS SiO2 filled shallow isolation trenches
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6078845A (en) * 1996-11-25 2000-06-20 Schlumberger Technologies, Inc. Apparatus for carrying semiconductor devices
US5889991A (en) 1996-12-06 1999-03-30 International Business Machines Corp. Method and system for customizing a palette using any java class
JPH10173021A (en) 1996-12-12 1998-06-26 Mitsubishi Electric Corp Method and apparatus for analyzing manufacturing line
US6128016A (en) 1996-12-20 2000-10-03 Nec Corporation Graphic user interface for managing a server system
US6094688A (en) 1997-01-08 2000-07-25 Crossworlds Software, Inc. Modular application collaboration including filtering at the source and proxy execution of compensating transactions to conserve server resources
US5982920A (en) 1997-01-08 1999-11-09 Lockheed Martin Energy Research Corp. Oak Ridge National Laboratory Automated defect spatial signature analysis for semiconductor manufacturing process
US5808303A (en) 1997-01-29 1998-09-15 Art Aerospace Research Technologies Inc. Infrared screening and inspection system
US5862054A (en) 1997-02-20 1999-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
US5978751A (en) 1997-02-25 1999-11-02 International Business Machines Corporation Variegated manufacturing process test method and apparatus
JPH10329015A (en) 1997-03-24 1998-12-15 Canon Inc Polishing device and polishing method
TW396308B (en) 1997-04-01 2000-07-01 Tumbleweed Software Corp Document delivery system
US5912678A (en) 1997-04-14 1999-06-15 Texas Instruments Incorporated Process flow design at the module effects level through the use of acceptability regions
KR100272252B1 (en) * 1997-04-17 2000-11-15 윤종용 Method for carrying wafer cassette
JP3393035B2 (en) 1997-05-06 2003-04-07 東京エレクトロン株式会社 Control device and semiconductor manufacturing device
US5910011A (en) * 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
US6219711B1 (en) * 1997-05-13 2001-04-17 Micron Electronics, Inc. Synchronous communication interface
TW331650B (en) 1997-05-26 1998-05-11 Taiwan Semiconductor Mfg Co Ltd Integrated defect yield management system for semiconductor manufacturing
US6240330B1 (en) * 1997-05-28 2001-05-29 International Business Machines Corporation Method for feedforward corrections for off-specification conditions
US6111634A (en) 1997-05-28 2000-08-29 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness using a multi-wavelength spectrometer during chemical-mechanical polishing
US6108091A (en) 1997-05-28 2000-08-22 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness during chemical-mechanical polishing
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6012048A (en) 1997-05-30 2000-01-04 Capital Security Systems, Inc. Automated banking system for dispensing money orders, wire transfer and bill payment
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
US5975994A (en) 1997-06-11 1999-11-02 Micron Technology, Inc. Method and apparatus for selectively conditioning a polished pad used in planarizng substrates
JPH118170A (en) 1997-06-13 1999-01-12 Canon Inc Semiconductor processing system and manufacture of device
US6148099A (en) 1997-07-03 2000-11-14 Neopath, Inc. Method and apparatus for incremental concurrent learning in automatic semiconductor wafer and liquid crystal display defect classification
SG66487A1 (en) 1997-07-11 1999-07-20 Tokyo Seimitsu Co Ltd Wafer polishing apparatus
US5923557A (en) 1997-08-01 1999-07-13 Hewlett-Packard Company Method and apparatus for providing a standard interface to process control devices that are adapted to differing field-bus protocols
US6345315B1 (en) * 1997-08-13 2002-02-05 Sudhindra N. Mishra Method for platform and protocol independent communication between client-server pairs
US6100184A (en) 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6161054A (en) 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
KR19990027324A (en) * 1997-09-29 1999-04-15 윤종용 Multi-chamber system with wafer recognition system and wafer processing method using the same
US5916016A (en) * 1997-10-23 1999-06-29 Vlsi Technology, Inc. Methods and apparatus for polishing wafers
US6097887A (en) 1997-10-27 2000-08-01 Kla-Tencor Corporation Software system and method for graphically building customized recipe flowcharts
US6041270A (en) * 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window
US6148239A (en) 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
US6113462A (en) 1997-12-18 2000-09-05 Advanced Micro Devices, Inc. Feedback loop for selective conditioning of chemical mechanical polishing pad
KR100251279B1 (en) * 1997-12-26 2000-04-15 윤종용 Method for controlling a thickness of a layer deposited in a semiconductor fabricating equipment
KR100258841B1 (en) 1997-12-26 2000-06-15 윤종용 Method for control units in semiconductor fabricating equipments using a control system
EP0932195A1 (en) 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ supervision
EP0932194A1 (en) 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
JPH11204523A (en) 1998-01-07 1999-07-30 Toshiba Corp Manufacture of semiconductor device
KR19990065486A (en) * 1998-01-14 1999-08-05 윤종용 Process Condition Management Method of Semiconductor Manufacturing Equipment Management System
KR100278600B1 (en) 1998-01-14 2001-01-15 윤종용 state management method of equipment unit for management system of a semiconductor process equipment
TW400621B (en) 1998-01-26 2000-08-01 United Microelectronics Corp Metallization structure and the manufacture method thereof
KR100297371B1 (en) * 1998-02-03 2001-10-25 윤종용 Method for integrally managing data of semiconductor process
US5985497A (en) 1998-02-03 1999-11-16 Advanced Micro Devices, Inc. Method for reducing defects in a semiconductor lithographic process
US6271670B1 (en) 1998-02-09 2001-08-07 Sandia Corporation Method and apparatus for detecting external cracks from within a metal tube
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6455937B1 (en) 1998-03-20 2002-09-24 James A. Cunningham Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects
US6123983A (en) 1998-04-23 2000-09-26 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6017771A (en) 1998-04-27 2000-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for yield loss analysis by yield management system
US6228280B1 (en) 1998-05-06 2001-05-08 International Business Machines Corporation Endpoint detection by chemical reaction and reagent
US6157864A (en) 1998-05-08 2000-12-05 Rockwell Technologies, Llc System, method and article of manufacture for displaying an animated, realtime updated control sequence chart
US6263255B1 (en) * 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6381564B1 (en) * 1998-05-28 2002-04-30 Texas Instruments Incorporated Method and system for using response-surface methodologies to determine optimal tuning parameters for complex simulators
US6292708B1 (en) 1998-06-11 2001-09-18 Speedfam-Ipec Corporation Distributed control system for a semiconductor wafer processing machine
US6230069B1 (en) * 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6074517A (en) * 1998-07-08 2000-06-13 Lsi Logic Corporation Method and apparatus for detecting an endpoint polishing layer by transmitting infrared light signals through a semiconductor wafer
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6127263A (en) 1998-07-10 2000-10-03 Applied Materials, Inc. Misalignment tolerant techniques for dual damascene fabrication
US6141660A (en) 1998-07-16 2000-10-31 International Business Machines Corporation Command line interface for creating business objects for accessing a hierarchical database
US6169931B1 (en) 1998-07-29 2001-01-02 Southwest Research Institute Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life
KR100292030B1 (en) * 1998-09-15 2001-08-07 윤종용 Thin Film Thickness Control Method in Semiconductor Thin Film Process
US6197604B1 (en) * 1998-10-01 2001-03-06 Advanced Micro Devices, Inc. Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication
US6366934B1 (en) * 1998-10-08 2002-04-02 International Business Machines Corporation Method and apparatus for querying structured documents using a database extender
US6277014B1 (en) 1998-10-09 2001-08-21 Applied Materials, Inc. Carrier head with a flexible membrane for chemical mechanical polishing
US6226792B1 (en) * 1998-10-14 2001-05-01 Unisys Corporation Object management system supporting the use of application domain knowledge mapped to technology domain knowledge
JP3019079B1 (en) 1998-10-15 2000-03-13 日本電気株式会社 Chemical mechanical polishing equipment
US6210983B1 (en) 1998-10-21 2001-04-03 Texas Instruments Incorporated Method for analyzing probe yield sensitivities to IC design
US6324481B1 (en) 1998-10-21 2001-11-27 Texas Instruments Incorporated Method for the calculation of wafer probe yield limits from in-line defect monitor data
TW434103B (en) 1998-10-23 2001-05-16 Taiwan Semiconductor Mfg Chemical mechanical polishing device with terminal point detection functions
US6173240B1 (en) 1998-11-02 2001-01-09 Ise Integrated Systems Engineering Ag Multidimensional uncertainty analysis
US6280289B1 (en) 1998-11-02 2001-08-28 Applied Materials, Inc. Method and apparatus for detecting an end-point in chemical mechanical polishing of metal layers
US6159073A (en) 1998-11-02 2000-12-12 Applied Materials, Inc. Method and apparatus for measuring substrate layer thickness during chemical mechanical polishing
US6176992B1 (en) 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
JP3487774B2 (en) 1998-11-19 2004-01-19 沖電気工業株式会社 Transport method in semiconductor device manufacturing process
US6214734B1 (en) 1998-11-20 2001-04-10 Vlsi Technology, Inc. Method of using films having optimized optical properties for chemical mechanical polishing endpoint detection
JP4365914B2 (en) 1998-11-25 2009-11-18 キヤノン株式会社 Semiconductor manufacturing apparatus and device manufacturing method
JP2000183001A (en) 1998-12-10 2000-06-30 Okamoto Machine Tool Works Ltd Polish end-point detecting method for wafer and chemical-mechanical polishing device used for the same
JP2000183002A (en) 1998-12-10 2000-06-30 Okamoto Machine Tool Works Ltd Method and device for detecting wafer polish end-point
US6172756B1 (en) 1998-12-11 2001-01-09 Filmetrics, Inc. Rapid and accurate end point detection in a noisy environment
JP2002533659A (en) 1998-12-18 2002-10-08 マイクロ−エプシロン・メステヒニク・ゲーエムベーハー・ウント・コンパニー・カー・ゲー Operation method of eddy current sensor and eddy current sensor
JP3202710B2 (en) 1998-12-18 2001-08-27 エヌイーシービューテクノロジー株式会社 Dichroic prism for LCD projector
US6339727B1 (en) * 1998-12-21 2002-01-15 Recot, Inc. Apparatus and method for controlling distribution of product in manufacturing process
US6100195A (en) 1998-12-28 2000-08-08 Chartered Semiconductor Manu. Ltd. Passivation of copper interconnect surfaces with a passivating metal layer
US6252412B1 (en) * 1999-01-08 2001-06-26 Schlumberger Technologies, Inc. Method of detecting defects in patterned substrates
US6212961B1 (en) 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6194231B1 (en) * 1999-03-01 2001-02-27 National Tsing Hua University Method for monitoring polishing pad used in chemical-mechanical planarization process
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
JP2000269286A (en) 1999-03-16 2000-09-29 Toshiba Microelectronics Corp Specifying method for defective point of semiconductor substrate
US6389491B1 (en) 1999-03-23 2002-05-14 Agilent Technologies, Inc. Test instrumentation I/O communication interface and method
US6253366B1 (en) 1999-03-31 2001-06-26 Unisys Corp. Method and system for generating a compact document type definition for data interchange among software tools
US6317643B1 (en) 1999-03-31 2001-11-13 Agere Systems Guardian Corp. Manufacturing and engineering data base
US7020537B2 (en) 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6298470B1 (en) 1999-04-15 2001-10-02 Micron Technology, Inc. Method for efficient manufacturing of integrated circuits
US6281127B1 (en) 1999-04-15 2001-08-28 Taiwan Semiconductor Manufacturing Company Self-passivation procedure for a copper damascene structure
US6259160B1 (en) 1999-04-21 2001-07-10 Advanced Micro Devices, Inc. Apparatus and method of encapsulated copper (Cu) Interconnect formation
US6268270B1 (en) 1999-04-30 2001-07-31 Advanced Micro Devices, Inc. Lot-to-lot rapid thermal processing (RTP) chamber preheat optimization
US6334807B1 (en) 1999-04-30 2002-01-01 International Business Machines Corporation Chemical mechanical polishing in-situ end point system
AU5442800A (en) 1999-05-28 2000-12-18 University Of South Florida Computer vision-based technique for objective assessment of material properties in non-rigid objects
US6303395B1 (en) 1999-06-01 2001-10-16 Applied Materials, Inc. Semiconductor processing techniques
US6360133B1 (en) * 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
KR100649387B1 (en) 1999-06-22 2006-11-27 브룩스 오토메이션 인코퍼레이티드 Run-to-run controller for use in microelectronic fabrication
US6204165B1 (en) 1999-06-24 2001-03-20 International Business Machines Corporation Practical air dielectric interconnections by post-processing standard CMOS wafers
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
KR100702741B1 (en) 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 Integrated critical dimension control for semiconductor device manufacturing
US6210745B1 (en) * 1999-07-08 2001-04-03 National Semiconductor Corporation Method of quality control for chemical vapor deposition
EP1067757A1 (en) 1999-07-09 2001-01-10 Hewlett-Packard Company Curled surface imaging system
US20030213772A9 (en) 1999-07-09 2003-11-20 Mok Yeuk-Fai Edwin Integrated semiconductor substrate bevel cleaning apparatus and method
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6763130B1 (en) 1999-07-21 2004-07-13 Applied Materials, Inc. Real time defect source identification
US7069101B1 (en) 1999-07-29 2006-06-27 Applied Materials, Inc. Computer integrated manufacturing techniques
US6232236B1 (en) * 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6368883B1 (en) 1999-08-10 2002-04-09 Advanced Micro Devices, Inc. Method for identifying and controlling impact of ambient conditions on photolithography processes
US6577914B1 (en) * 1999-08-10 2003-06-10 Advanced Micro Devices, Inc. Method and apparatus for dynamic model building based on machine disturbances for run-to-run control of semiconductor devices
US6405096B1 (en) 1999-08-10 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for run-to-run controlling of overlay registration
US6276989B1 (en) 1999-08-11 2001-08-21 Advanced Micro Devices, Inc. Method and apparatus for controlling within-wafer uniformity in chemical mechanical polishing
US6287879B1 (en) 1999-08-11 2001-09-11 Micron Technology, Inc. Endpoint stabilization for polishing process
US6217412B1 (en) 1999-08-11 2001-04-17 Advanced Micro Devices, Inc. Method for characterizing polish pad lots to eliminate or reduce tool requalification after changing a polishing pad
US6495452B1 (en) 1999-08-18 2002-12-17 Taiwan Semiconductor Manufacturing Company Method to reduce capacitance for copper interconnect structures
US6391780B1 (en) 1999-08-23 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to prevent copper CMP dishing
US6306008B1 (en) 1999-08-31 2001-10-23 Micron Technology, Inc. Apparatus and method for conditioning and monitoring media used for chemical-mechanical planarization
US6556881B1 (en) * 1999-09-09 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for integrating near real-time fault detection in an APC framework
US6424880B1 (en) 1999-09-10 2002-07-23 Applied Materials, Inc. Multi-computer chamber control system, method and medium
US6368879B1 (en) 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6560504B1 (en) 1999-09-29 2003-05-06 Advanced Micro Devices, Inc. Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
US6484064B1 (en) 1999-10-05 2002-11-19 Advanced Micro Devices, Inc. Method and apparatus for running metrology standard wafer routes for cross-fab metrology calibration
US6427093B1 (en) 1999-10-07 2002-07-30 Advanced Micro Devices, Inc. Method and apparatus for optimal wafer-by-wafer processing
US6439964B1 (en) 1999-10-12 2002-08-27 Applied Materials, Inc. Method of controlling a polishing machine
US6159075A (en) 1999-10-13 2000-12-12 Vlsi Technology, Inc. Method and system for in-situ optimization for semiconductor wafers in a chemical mechanical polishing process
US6417014B1 (en) 1999-10-19 2002-07-09 Advanced Micro Devices, Inc. Method and apparatus for reducing wafer to wafer deposition variation
KR100311077B1 (en) 1999-10-23 2001-11-02 윤종용 Lots dispatching method of variably arranging processing equipment and/or process condition in succeding process according to result of proceeding process and apparatus for the same
US6284622B1 (en) 1999-10-25 2001-09-04 Advanced Micro Devices, Inc. Method for filling trenches
US6096649A (en) 1999-10-25 2000-08-01 Taiwan Semiconductor Manufacturing Company Top metal and passivation procedures for copper damascene structures
US6546508B1 (en) * 1999-10-29 2003-04-08 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool in an advanced process control (APC) framework
US6532555B1 (en) * 1999-10-29 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
EP1245003A1 (en) 1999-10-31 2002-10-02 Insyst Ltd. A knowledge-engineering protocol-suite
US6248602B1 (en) * 1999-11-01 2001-06-19 Amd, Inc. Method and apparatus for automated rework within run-to-run control semiconductor manufacturing
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
US6355559B1 (en) 1999-11-18 2002-03-12 Texas Instruments Incorporated Passivation of inlaid metallization
IL133326A0 (en) 1999-12-06 2001-04-30 Nova Measuring Instr Ltd Method and system for endpoint detection
US6340602B1 (en) 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6449524B1 (en) 2000-01-04 2002-09-10 Advanced Micro Devices, Inc. Method and apparatus for using equipment state data for run-to-run control of manufacturing tools
US6470230B1 (en) 2000-01-04 2002-10-22 Advanced Micro Devices, Inc. Supervisory method for determining optimal process targets based on product performance in microelectronic fabrication
US6465263B1 (en) 2000-01-04 2002-10-15 Advanced Micro Devices, Inc. Method and apparatus for implementing corrected species by monitoring specific state parameters
US7051015B1 (en) 2000-01-10 2006-05-23 Wind River Systems, Inc. System and method for implementing a flexible data-driven target object model
US6477432B1 (en) 2000-01-11 2002-11-05 Taiwan Semiconductor Manufacturing Company Statistical in-process quality control sampling based on product stability through a systematic operation system and method
US6405144B1 (en) 2000-01-18 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for programmed latency for improving wafer-to-wafer uniformity
US6567717B2 (en) 2000-01-19 2003-05-20 Advanced Micro Devices, Inc. Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices
JP3506114B2 (en) 2000-01-25 2004-03-15 株式会社ニコン MONITOR DEVICE, POLISHING APPARATUS HAVING THE MONITOR DEVICE, AND POLISHING METHOD
US8028049B1 (en) 2000-02-01 2011-09-27 Peer Intellectual Property Inc. Apparatus and method for web-based tool management
US6584369B2 (en) 2000-02-02 2003-06-24 Texas Instruments Incorporated Method and system for dispatching semiconductor lots to manufacturing equipment for fabrication
US7059948B2 (en) 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
JP3979791B2 (en) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
US6517414B1 (en) 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
TW436383B (en) 2000-03-16 2001-05-28 Taiwan Semiconductor Mfg The end-point detection method of CMP polishing using the principle of optical confocal feedback
US6290572B1 (en) 2000-03-23 2001-09-18 Micron Technology, Inc. Devices and methods for in-situ control of mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies
TW478101B (en) 2000-03-23 2002-03-01 Ibm Structure for protecting copper interconnects in low dielectric constant materials from oxidation
JP4874465B2 (en) 2000-03-28 2012-02-15 株式会社東芝 Eddy current loss measurement sensor
WO2001075534A2 (en) 2000-04-03 2001-10-11 Speedfam-Ipec Corporation System and method for predicting software models using material-centric process instrumentation
US6616513B1 (en) 2000-04-07 2003-09-09 Applied Materials, Inc. Grid relief in CMP polishing pad to accurately measure pad wear, pad profile and pad wear profile
US6368884B1 (en) 2000-04-13 2002-04-09 Advanced Micro Devices, Inc. Die-based in-fab process monitoring and analysis system for semiconductor processing
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
JP2001305108A (en) 2000-04-21 2001-10-31 Daido Steel Co Ltd Eddy current flaw detector
JP2001326151A (en) 2000-05-16 2001-11-22 Nec Corp Semiconductor integrated circuit manufacturing system
US6291367B1 (en) 2000-06-01 2001-09-18 Atmel Corporation Method for depositing a selected thickness of an interlevel dielectric material to achieve optimum global planarity on a semiconductor wafer
US6479902B1 (en) 2000-06-29 2002-11-12 Advanced Micro Devices, Inc. Semiconductor catalytic layer and atomic layer deposition thereof
US6435952B1 (en) 2000-06-30 2002-08-20 Lam Research Corporation Apparatus and method for qualifying a chemical mechanical planarization process
WO2002004887A1 (en) 2000-07-08 2002-01-17 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6878038B2 (en) 2000-07-10 2005-04-12 Applied Materials Inc. Combined eddy current sensing and optical monitoring for chemical mechanical polishing
US6609946B1 (en) 2000-07-14 2003-08-26 Advanced Micro Devices, Inc. Method and system for polishing a semiconductor wafer
US6400162B1 (en) 2000-07-21 2002-06-04 Ade Corporation Capacitive displacement sensor for measuring thin targets
US6379980B1 (en) 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6725402B1 (en) * 2000-07-31 2004-04-20 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool and control thereof using an advanced process control (APC) framework
US6442496B1 (en) * 2000-08-08 2002-08-27 Advanced Micro Devices, Inc. Method and apparatus for dynamic sampling of a production line
TW455976B (en) 2000-08-11 2001-09-21 Taiwan Semiconductor Mfg Endpoint detection method of chemical mechanical polishing process
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6625513B1 (en) 2000-08-15 2003-09-23 Applied Materials, Inc. Run-to-run control over semiconductor processing tool based upon mirror image target
US6307628B1 (en) 2000-08-18 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Method and apparatus for CMP end point detection using confocal optics
US6711731B2 (en) 2000-08-23 2004-03-23 Pri Automation, Inc. Web based tool control in a semiconductor fabrication facility
US6593737B2 (en) 2000-08-24 2003-07-15 Shell Oil Company Method for measuring the wall thickness of an electrically conductive object
US6537912B1 (en) 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
KR100824443B1 (en) * 2000-09-15 2008-04-23 어드밴스드 마이크로 디바이시즈, 인코포레이티드 Adaptive sampling method for improved control in semiconductor manufacturing
JP2002093761A (en) 2000-09-19 2002-03-29 Sony Corp Polishing method, polishing system, plating method and plating system
US6618692B2 (en) 2000-09-20 2003-09-09 Hitachi, Ltd. Remote diagnostic system and method for semiconductor manufacturing equipment
KR100366630B1 (en) 2000-09-20 2003-01-09 삼성전자 주식회사 Method of controlling wafer polishing time using sample-skip algorithm and method of wafer polishing using the same
US6492281B1 (en) 2000-09-22 2002-12-10 Advanced Micro Devices, Inc. Method of fabricating conductor structures with metal comb bridging avoidance
JP3634734B2 (en) 2000-09-22 2005-03-30 株式会社日立製作所 Plasma processing apparatus and processing method
US6766283B1 (en) 2000-10-13 2004-07-20 Insyst Ltd. System and method for monitoring process quality control
US6432728B1 (en) 2000-10-16 2002-08-13 Promos Technologies, Inc. Method for integration optimization by chemical mechanical planarization end-pointing technique
US6805613B1 (en) 2000-10-17 2004-10-19 Speedfam-Ipec Corporation Multiprobe detection system for chemical-mechanical planarization tool
JP2002124496A (en) 2000-10-18 2002-04-26 Hitachi Ltd Method and equipment for detecting and measuring end point of polishing process, and method and equipment for manufacturing semiconductor device using the same for detecting and measuring end point of polishing process
US6304999B1 (en) 2000-10-23 2001-10-16 Advanced Micro Devices, Inc. Method and apparatus for embedded process control framework in tool systems
US6517413B1 (en) 2000-10-25 2003-02-11 Taiwan Semiconductor Manufacturing Company Method for a copper CMP endpoint detection system
US6669782B1 (en) 2000-11-15 2003-12-30 Randhir P. S. Thakur Method and apparatus to control the formation of layers useful in integrated circuits
US6346426B1 (en) 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6625497B2 (en) 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6819963B2 (en) 2000-12-06 2004-11-16 Advanced Micro Devices, Inc. Run-to-run control method for proportional-integral-derivative (PID) controller tuning for rapid thermal processing (RTP)
US7092863B2 (en) 2000-12-26 2006-08-15 Insyst Ltd. Model predictive control (MPC) system using DOE based model
US6728587B2 (en) 2000-12-27 2004-04-27 Insyst Ltd. Method for global automated process control
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6482660B2 (en) 2001-03-19 2002-11-19 International Business Machines Corporation Effective channel length control using ion implant feed forward
US6336841B1 (en) * 2001-03-29 2002-01-08 Macronix International Co. Ltd. Method of CMP endpoint detection
US6549279B2 (en) 2001-04-09 2003-04-15 Speedfam-Ipec Corporation Method and apparatus for optical endpoint calibration in CMP
US6540591B1 (en) 2001-04-18 2003-04-01 Alexander J. Pasadyn Method and apparatus for post-polish thickness and uniformity control
US6582277B2 (en) * 2001-05-01 2003-06-24 Speedfam-Ipec Corporation Method for controlling a process in a multi-zonal apparatus
US7089075B2 (en) 2001-05-04 2006-08-08 Tokyo Electron Limited Systems and methods for metrology recipe and model generation
JP4858798B2 (en) 2001-05-15 2012-01-18 株式会社ニコン Polishing apparatus, polishing method, and semiconductor device manufacturing method using the polishing apparatus
US7079996B2 (en) 2001-05-30 2006-07-18 Ford Global Technologies, Llc System and method for design of experiments using direct surface manipulation of a mesh model
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
JP2002373843A (en) 2001-06-14 2002-12-26 Nec Corp Coating system and method for controlling thickness of coating film
US7082345B2 (en) 2001-06-19 2006-07-25 Applied Materials, Inc. Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US7160739B2 (en) * 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6910947B2 (en) 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US6913938B2 (en) 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US7047099B2 (en) 2001-06-19 2006-05-16 Applied Materials Inc. Integrating tool, module, and fab level control
US6678570B1 (en) * 2001-06-26 2004-01-13 Advanced Micro Devices, Inc. Method and apparatus for determining output characteristics using tool state data
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US7006955B2 (en) 2001-10-15 2006-02-28 General Electric Company System and method for statistical design of ultrasound probe and imaging system
US6708075B2 (en) 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6630741B1 (en) 2001-12-07 2003-10-07 Advanced Micro Devices, Inc. Method of reducing electromigration by ordering zinc-doping in an electroplated copper-zinc interconnect and a semiconductor device thereby formed
US6515368B1 (en) 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6774998B1 (en) 2001-12-27 2004-08-10 Advanced Micro Devices, Inc. Method and apparatus for identifying misregistration in a complimentary phase shift mask process
US6660633B1 (en) 2002-02-26 2003-12-09 Advanced Micro Devices, Inc. Method of reducing electromigration in a copper line by electroplating an interim copper-zinc alloy thin film on a copper surface and a semiconductor device thereby formed
US6528409B1 (en) 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6751518B1 (en) * 2002-04-29 2004-06-15 Advanced Micro Devices, Inc. Dynamic process state adjustment of a processing tool to reduce non-uniformity
US6735492B2 (en) * 2002-07-19 2004-05-11 International Business Machines Corporation Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5546312A (en) * 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
US6002989A (en) * 1996-04-02 1999-12-14 Hitachi, Ltd. System for quality control where inspection frequency of inspection apparatus is reset to minimize expected total loss based on derived frequency function and loss value
WO1999059200A1 (en) * 1998-05-11 1999-11-18 Applied Materials, Inc. Fab yield enhancement system
WO2000054325A1 (en) * 1999-03-10 2000-09-14 Nova Measuring Instruments Ltd. Method and apparatus for monitoring a chemical mechanical planarization process applied to metal-based patterned objects
WO2001011679A1 (en) * 1999-08-10 2001-02-15 Advanced Micro Devices, Inc. Method and apparatus for performing run-to-run control in a batch manufacturing environment
WO2001052319A1 (en) * 2000-01-07 2001-07-19 Advanced Micro Devices, Inc. Method and apparatus for determining measurement frequency based on hardware age and usage
WO2001080306A2 (en) * 2000-04-13 2001-10-25 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
EL CHEMALI C AND AL: "Multizone uniformity control of a chemical mechanical polishing process utilizing a pre- and postmeasurement strategy", JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART A, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 18, no. 4, pt 1-2, July 2000 (2000-07-01), pages 1287 - 1296, XP002217674, ISSN: 0734-2101 *
WILLIAMS R ET AL: "Optimized sample planning for wafer defect inspection", SEMICONDUCTOR MANUFACTURING CONFERENCE PROCEEDINGS, 1999 IEEE INTERNATIONAL SYMPOSIUM ON SANTA CLARA, CA, USA 11-13 OCT. 1999, PISCATAWAY, NJ, USA,IEEE, US, PAGE(S) 43-46, ISBN: 0-7803-5403-6, XP010360683 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8694145B2 (en) 2001-06-19 2014-04-08 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles

Also Published As

Publication number Publication date
US20020193899A1 (en) 2002-12-19
JP4418669B2 (en) 2010-02-17
CN1602547A (en) 2005-03-30
JP2005505124A (en) 2005-02-17
CN100399525C (en) 2008-07-02
US7698012B2 (en) 2010-04-13
WO2002103778A2 (en) 2002-12-27
KR100934627B1 (en) 2009-12-31
KR20040063086A (en) 2004-07-12
EP1399961A2 (en) 2004-03-24
US20080109089A1 (en) 2008-05-08
US7783375B2 (en) 2010-08-24
US7725208B2 (en) 2010-05-25
US20080133163A1 (en) 2008-06-05

Similar Documents

Publication Publication Date Title
WO2002103778A3 (en) Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
CN100526871C (en) Inspection device for humidity sensor and method for adjusting sensor characteristics of humidity sensor
US5528153A (en) Method for non-destructive, non-contact measurement of dielectric constant of thin films
TW200643669A (en) Quality control system, quality control method, and method of lot-to-lot wafer processing
WO2006107985A3 (en) Method for accurate high-resolution measurements of aspheric surfaces
AU2002349831A1 (en) System and process for measuring, compensating and testing numerically controlled machine tool heads and/or tables
NO20035662D0 (en) Method and system for calibrating wireless location systems
TW200508630A (en) Method and equipment for inspecting electric characteristics of specimen
CN201166564Y (en) Non-contact test system for solar wafer
WO2007117738A3 (en) Dynamic metrology sampling with wafer uniformity control
CN101657729A (en) Device including a contact detector
CN100505238C (en) Integrated circuit and method for manufacturing and judging same
WO2020146116A3 (en) Systems and methods for determining a height of a mobile device above a surface
CN101236914A (en) Wafer appearance detection device
US20140318213A1 (en) Test apparatus and test method for acoustic micro-device
US6220080B1 (en) Extended range and ultra precision non contact dimensional gauge for ultra thin wafers and work pieces
US8044668B2 (en) Method and system for calibrating measurement tools for semiconductor device manufacturing
CN101546129B (en) Method for monitoring exposure device by adopting side wall angles
JP5149490B2 (en) Calibration standards
WO2004032174A3 (en) Method of measuring incidence angles of an ion beam on a wafer
US6989682B1 (en) Test key on a wafer
US20220319884A1 (en) Temperature calibration sheets and application methods thereof
CN101726273B (en) Measuring instrument
US7924030B2 (en) Test pattern for analyzing capacitance of interconnection line
TW371718B (en) Measuring method for detecting a short circuit between the turns of a coil integrated on a chip, and integrated circuit structure adapted to such a measuring method

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SI SK SL TJ TM TN TR TT TZ UA UG UZ VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 028122887

Country of ref document: CN

Ref document number: 2003505994

Country of ref document: JP

Ref document number: 1020037016659

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2002739908

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2002739908

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642