WO2003009360B1 - Method and apparatus for depositing tungsten after surface treatment to improve film characteristics - Google Patents

Method and apparatus for depositing tungsten after surface treatment to improve film characteristics

Info

Publication number
WO2003009360B1
WO2003009360B1 PCT/US2002/022487 US0222487W WO03009360B1 WO 2003009360 B1 WO2003009360 B1 WO 2003009360B1 US 0222487 W US0222487 W US 0222487W WO 03009360 B1 WO03009360 B1 WO 03009360B1
Authority
WO
WIPO (PCT)
Prior art keywords
tungsten
layer
pulses
substrate
over
Prior art date
Application number
PCT/US2002/022487
Other languages
French (fr)
Other versions
WO2003009360A2 (en
WO2003009360A3 (en
Inventor
Jeong Soo Byun
Original Assignee
Applied Materials Inc
Jeong Soo Byun
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc, Jeong Soo Byun filed Critical Applied Materials Inc
Priority to JP2003514605A priority Critical patent/JP4705325B2/en
Publication of WO2003009360A2 publication Critical patent/WO2003009360A2/en
Publication of WO2003009360A3 publication Critical patent/WO2003009360A3/en
Publication of WO2003009360B1 publication Critical patent/WO2003009360B1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers

Abstract

A method and system to form a refractory metal layer over a substrate includes introduction of a reductant, such as PH3 or B2H6, followed by introduction of a tungsten containing compound, such as WF6, to form a tungsten layer. It is believed that the reductant reduces the fluorine content of the tungsten layer while improving the step coverage and resistivity of the tungsten layer. It is believed that the improved characteristics of the tungsten film are attributable to the chemical affinity between the reductants and the tungsten containing compound. The chemical affinity provides better surface mobility of the adsorbed chemical species and better reduction of WF6 at the nucleation stage of the tungsten layer. The method can further include sequentially introducing a reductant, such as PH3 or B2H6, and a tungsten containing compound to deposit a tungsten layer. The formed tungsten layer can be used as a nucleation layer followed by bulk deposition of a tungsten layer utilizing standard CVD techniques. Alternatively, the formed tungsten layer can be used to fill an aperture.

Claims

AMENDED CLAIMS[Received by the International Bureau on 13 May 2003 (13.05.03); original claims 1-18 replaced by amended claims 1-32 (5 pages)]
1. A method of forming a tungsten layer over a substrate in a processing chamber, comprising: forming a tungsten nucleation layer, comprising: sequentially introducing pulses of a reductant selected from a group including PH3 and B2He and pulses of a tungsten containing compound; and introducing a purge gas at least partially between the pulses of the reductant and the pulses of the tungsten containing compound.
2. The method of claim 1 , wherein the reductant comprises B2HG.
3. The method of claim 1 , wherein the substrate comprises a titanium-containing layer and the tungsten nucleation layer is formed over the titanium-containing layer.
4. The method of claim 3, wherein the titanium-containing layer is selected from a group including Ti and TiN.
5. The method of claim 3, wherein the titanium-containing layer is formed by sequential deposition.
6. The method of claim 1 , further comprising forming a bulk tungsten layer over the nucleation layer.
7. The method of claim 6, wherein the bulk tungsten layer is formed over the tungsten nucleation layer by sequential deposition.
8. The method of claim 6, wherein the bulk tungsten layer is formed over the tungsten nucleation layer by chemical vapor deposition.
9. The method of claim 6, wherein the tungsten nucleation layer and the bulk tungsten layer are formed in the same processing chamber.
10. The method of claim 6, wherein the tungsten nucleation layer and the bulk tungsten layer are formed in separate processing chambers.
11. The method of claim 6, wherein the tungsten nucleation layer and the bulk tungsten layer are formed using the same reducing agent.
12. The method of claim 6, wherein the tungsten nucleation layer and the bulk tungsten layer are formed using different reducing agents.
13. A method of forming a tungsten layer over a substrate in a processing chamber, comprising: presoakiπg the substrate with a presoak compound selected from a group including PH3 or B2H6 to form a presoak layer of the presoak compound over the substrate; forming a tungsten layer over the presoak layer by sequentially introducing pulses of a reductant and pulses of a tungsten containing compound.
14. The method of claim 13, wherein the substrate is presoaked and the tungsten layer is formed in the same processing chamber.
15. The method of claim 13, wherein the reductant is selected from a group including PH3 and B2H@.
16. The method of claim 13, wherein the reductant and the presoak compound comprise B2HS.
17. The method of claim 13, further comprising introducing a purge gas at least partially between the pulses of the reductant and the pulses of the tungsten containing compound.
18. The method of claim 13, wherein the substrate comprises a titanium- containing layer and the presoak layer is formed over the titanium-containing layer.
19. The method of claim 13, wherein the tungsten layer comprises a tungsten nucleation layer.
20. The method of claim 19, further comprising forming a bulk tungsten layer over the tungsten nucleation layer.
21. A processing system for a substrate comprising: a first processing chamber, comprising: a body; a holder disposed within the body to support the substrate; a gas delivery system in fluid communication with the body; and a controller in electrical communication with the gas delivery system; and a memory in data communication with the controller, the memory comprising a computer-readable medium having a computer-readable program embodied therein, the computer readable program including a set of instructions for forming a tungsten nucleation layer over the substrate by sequentially introducing pulses of a reductant selected from a group including PH3 and B2He and pulses of a tungsten containing compound and by introducing a purge gas at least partially between the pulses of the reductant and the pulses of the tungsten containing compound.
22. The processing system of claim 21 , wherein the computer readable program of the first processing chamber further comprises a second set of instructions to presoak the substrate prior to forming a tungsten nucleation layer.
23. The processing system of claim 21 , wherein the computer readable program of the first processing chamber further comprises a second set of instructions to form a bulk tungsten layer over the tungsten nucleation layer.
24. The processing system of claim 21 , further comprising a second processing chamber, comprising: a body; a holder disposed within the body to support the substrate; a gas delivery system in fluid communication with the body; wherein the controller is in electrical communication with the gas delivery system of the second processing chamber and wherein the memory is in data communication with the controller, the computer readable program including a second set of instructions for forming a bulk tungsten layer over the tungsten nucleation layer.
25. A method of forming a tungsten feature over a substrate in a processing chamber, comprising: presoaking the substrate with BaHe to form a presoak layer of B2H6 over the substrate; forming a tungsten nucleation layer over the presoak layer by sequentially introducing pulses of B2Heand pulses of a tungsten containing compound; and forming a bulk tungsten layer over the tungsten nucleation layer,
26. The method of claim 25, wherein the bulk tungsten layer is formed over the tungsten nucleation layer by chemical vapor deposition.
27. The method of claim 26, wherein the bulk tungsten layer is formed over the tungsten nucleation layer by chemical vapor deposition utilizing silane and a tungsten containing compound.
28. The method of claim 27, wherein the tungsten nucleation layer and the bulk tungsten layer are formed in separate processing chambers of a common processing system.
29. The method of claim 28, wherein the presoak layer and the tungsten nucleation layer are formed in the same processing chamber.
30. The method of claim 25, wherein the presoak layer is formed over a titanium- containing layer. '
31. A method of forming a tungsten layer over a substrate in a processing chamber, comprising; sequentially introducing pulses of a reductant with a hydrogen carrier gas, the reductant selected from a group including PH3 and B2HB and pulses of a tungsten containing compound; and introducing a purge gas at least partially between the pulses of the reductant and the pulses of the tungsten containing compound.
32. The method of claim 31 , wherein the purge gas comprises hydrogen gas.
PCT/US2002/022487 2001-07-16 2002-07-16 Method and apparatus for depositing tungsten after surface treatment to improve film characteristics WO2003009360A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003514605A JP4705325B2 (en) 2001-07-16 2002-07-16 Method for improving film properties by depositing tungsten after surface treatment

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US30576501P 2001-07-16 2001-07-16
US60/305,765 2001-07-16

Publications (3)

Publication Number Publication Date
WO2003009360A2 WO2003009360A2 (en) 2003-01-30
WO2003009360A3 WO2003009360A3 (en) 2003-10-30
WO2003009360B1 true WO2003009360B1 (en) 2003-12-04

Family

ID=23182239

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/022487 WO2003009360A2 (en) 2001-07-16 2002-07-16 Method and apparatus for depositing tungsten after surface treatment to improve film characteristics

Country Status (4)

Country Link
US (3) US6936538B2 (en)
JP (1) JP4705325B2 (en)
TW (1) TW567544B (en)
WO (1) WO2003009360A2 (en)

Families Citing this family (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7589017B2 (en) * 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) * 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
JP4032872B2 (en) * 2001-08-14 2008-01-16 東京エレクトロン株式会社 Method for forming tungsten film
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040074438A1 (en) * 2002-10-22 2004-04-22 Taiwan Semiconductor Manufacturing Company Novel method to reduce resistivity of atomic layer tungsten chemical vapor depositon
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
JP3956049B2 (en) * 2003-03-07 2007-08-08 東京エレクトロン株式会社 Method for forming tungsten film
KR101191222B1 (en) * 2003-04-23 2012-10-16 아익스트론 인코포레이티드 Transient enhanced atomic layer deposition
KR20060079144A (en) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 Atomic layer deposition of barrier materials
US7754604B2 (en) * 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
KR100528069B1 (en) * 2003-09-02 2005-11-15 동부아남반도체 주식회사 Semiconductor Device And Method For Manufacturing The Same
US20050069641A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method for depositing metal layers using sequential flow deposition
US6924223B2 (en) * 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
KR100578221B1 (en) * 2004-05-06 2006-05-12 주식회사 하이닉스반도체 Method for manufacturing semiconductor device having diffusion barrier
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
KR100602087B1 (en) * 2004-07-09 2006-07-14 동부일렉트로닉스 주식회사 Semiconductor device and method of manufacturing the same
US7138337B2 (en) * 2004-11-30 2006-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming tungsten contacts by chemical vapor deposition
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7335594B1 (en) * 2005-04-27 2008-02-26 Spansion Llc Method for manufacturing a memory device having a nanocrystal charge storage region
JP4945937B2 (en) * 2005-07-01 2012-06-06 東京エレクトロン株式会社 Tungsten film forming method, film forming apparatus, and storage medium
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US7850779B2 (en) * 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
WO2008005892A2 (en) * 2006-06-30 2008-01-10 Applied Materials, Inc. Nanocrystal formation
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US20080273410A1 (en) * 2007-05-04 2008-11-06 Jaydeb Goswami Tungsten digitlines
JP2009024252A (en) * 2007-05-15 2009-02-05 Applied Materials Inc Atomic layer deposition process for tungsten material
KR100890047B1 (en) * 2007-06-28 2009-03-25 주식회사 하이닉스반도체 Method for fabricating interconnection in semicondutor device
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US20090087550A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Sequential flow deposition of a tungsten silicide gate electrode film
KR100939777B1 (en) * 2007-11-30 2010-01-29 주식회사 하이닉스반도체 Method for forming tungsten layer and method for forming wiring of semiconductor device using the same
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8071478B2 (en) * 2008-12-31 2011-12-06 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
CN113862634A (en) 2012-03-27 2021-12-31 诺发系统公司 Tungsten feature fill
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
KR101971547B1 (en) * 2013-01-03 2019-04-24 주식회사 원익아이피에스 Metal Layer Fabrication Method for Semiconductor Device
US8859417B2 (en) 2013-01-03 2014-10-14 Globalfoundries Inc. Gate electrode(s) and contact structure(s), and methods of fabrication thereof
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9728584B2 (en) * 2013-06-11 2017-08-08 Micron Technology, Inc. Three dimensional memory array with select device
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
JP6222880B2 (en) * 2014-09-24 2017-11-01 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, semiconductor device, and program
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9613818B2 (en) * 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
KR102397797B1 (en) * 2015-05-27 2022-05-12 램 리써치 코포레이션 Deposition of low fluorine tungsten by sequential cvd process
US9754824B2 (en) * 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9972694B2 (en) 2015-10-20 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition methods and structures thereof
US9978601B2 (en) 2015-10-20 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for pre-deposition treatment of a work-function metal layer
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
CN111095488A (en) 2017-08-14 2020-05-01 朗姆研究公司 Metal filling process for three-dimensional vertical NAND word lines
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN109576672A (en) * 2017-09-28 2019-04-05 北京北方华创微电子装备有限公司 A kind of Atomic layer deposition method
JP7018748B2 (en) * 2017-11-28 2022-02-14 東京エレクトロン株式会社 Film formation method and calculation method of film formation conditions
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
KR20200140391A (en) 2018-05-03 2020-12-15 램 리써치 코포레이션 Method of depositing tungsten and other metals on 3D NAND structures
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
JP7138518B2 (en) 2018-08-31 2022-09-16 東京エレクトロン株式会社 Film forming method and film forming system
JP7233188B2 (en) * 2018-09-20 2023-03-06 東京エレクトロン株式会社 Film forming method and film forming apparatus
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
TW202124757A (en) * 2019-10-29 2021-07-01 美商應用材料股份有限公司 Methods to grow low resistivity metal containing films
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
US11798845B2 (en) 2020-10-28 2023-10-24 Applied Materials, Inc. Methods and apparatus for low resistivity and stress tungsten gap fill
WO2023037452A1 (en) * 2021-09-08 2023-03-16 株式会社Kokusai Electric Semiconductor device production method, substrate processing method, substrate processing device, and recording medium
US20230134230A1 (en) * 2021-11-01 2023-05-04 Applied Materials, Inc. Methods and apparatus for tungsten gap fill
CN114958036B (en) * 2022-06-30 2023-12-01 丰田自动车株式会社 Pearlescent pigment and preparation method thereof

Family Cites Families (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI64878C (en) 1982-05-10 1984-01-10 Lohja Ab Oy KOMBINATIONSFILM FOER ISYNNERHET TUNNFILMELEKTROLUMINENSSTRUKTURER
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPH0666287B2 (en) 1988-07-25 1994-08-24 富士通株式会社 Method for manufacturing semiconductor device
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
JPH0474865A (en) * 1990-07-12 1992-03-10 Fujitsu Ltd Production of semiconductor
JPH05206081A (en) * 1992-01-28 1993-08-13 Sony Corp Dry etching method
JP3415207B2 (en) * 1992-07-24 2003-06-09 東京エレクトロン株式会社 Metal thin film formation method by chemical vapor deposition
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5526244A (en) * 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
JPH0794425A (en) * 1993-09-24 1995-04-07 Toshiba Corp Method and device for forming metallic thin film
JP3358328B2 (en) * 1994-10-27 2002-12-16 ソニー株式会社 Method of forming high melting point metal film
FI100409B (en) * 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
FI97731C (en) * 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
KR0167248B1 (en) 1995-07-24 1999-02-01 문정환 Heat treatment of substrate
US5804488A (en) 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
JPH10308283A (en) * 1997-03-04 1998-11-17 Denso Corp El element and its manufacture
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) * 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
KR100385946B1 (en) * 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
JPH11195621A (en) 1997-11-05 1999-07-21 Tokyo Electron Ltd Barrier metal, its formation, gate electrode, and its formation
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (en) * 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
KR100269328B1 (en) * 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
KR100319888B1 (en) * 1998-06-16 2002-01-10 윤종용 Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
KR100278657B1 (en) 1998-06-24 2001-02-01 윤종용 Metal line structure for semiconductor device & manufacturing method thereof
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
KR100287180B1 (en) * 1998-09-17 2001-04-16 윤종용 Method for manufacturing semiconductor device including metal interconnection formed using interface control layer
JP3511577B2 (en) 1998-10-06 2004-03-29 株式会社日立製作所 Throttle device for internal combustion engine
KR100327328B1 (en) * 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
KR100297719B1 (en) * 1998-10-16 2001-08-07 윤종용 Method for manufacturing thin film
JP3580159B2 (en) 1998-12-18 2004-10-20 東京エレクトロン株式会社 Method of forming tungsten film
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR100347379B1 (en) * 1999-05-01 2002-08-07 주식회사 피케이엘 Atomic layer deposition apparatus for depositing multi substrate
FI118342B (en) * 1999-05-10 2007-10-15 Asm Int Apparatus for making thin films
US6218298B1 (en) * 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
US6555183B2 (en) * 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
US6524952B1 (en) 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
KR20010017820A (en) 1999-08-14 2001-03-05 윤종용 Semiconductor device and manufacturing method thereof
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6326297B1 (en) 1999-09-30 2001-12-04 Novellus Systems, Inc. Method of making a tungsten nitride barrier layer with improved adhesion and stability using a silicon layer
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (en) 1999-10-20 2001-11-02 윤종용 Method for fabricating metal layer of semiconductor device using metal-halide gas
US6534404B1 (en) 1999-11-24 2003-03-18 Novellus Systems, Inc. Method of depositing diffusion barrier for copper interconnect in integrated circuit
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (en) * 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6274484B1 (en) 2000-03-17 2001-08-14 Taiwan Semiconductor Manufacturing Company Fabrication process for low resistivity tungsten layer with good adhesion to insulator layers
FI117979B (en) * 2000-04-14 2007-05-15 Asm Int Process for making oxide thin films
KR100363088B1 (en) * 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
EP1282911B1 (en) * 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
KR100403611B1 (en) 2000-06-07 2003-11-01 삼성전자주식회사 Metal-insulator-metal capacitor and manufacturing method thereof
KR100647442B1 (en) 2000-06-07 2006-11-17 주성엔지니어링(주) Method of forming a thin film using atomic layer deposition
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
US7253076B1 (en) * 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
KR100387255B1 (en) * 2000-06-20 2003-06-11 주식회사 하이닉스반도체 Method of forming a metal wiring in a semiconductor device
KR100332313B1 (en) * 2000-06-24 2002-04-12 서성기 Apparatus and method for depositing thin film on wafer
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
KR100444149B1 (en) * 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
KR100630666B1 (en) * 2000-08-09 2006-10-02 삼성전자주식회사 Method of manufacturing semiconductor device including metal contact and capacitor
KR100396879B1 (en) * 2000-08-11 2003-09-02 삼성전자주식회사 Semiconductor memory device having capacitor encapsulated by multi-layer which includes double layeres being made of same material and method of manufacturing thereof
US6903005B1 (en) 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
EP1340269B1 (en) * 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
KR100386034B1 (en) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 Method of Fabricating Semiconductor Device Employing Copper Interconnect Structure Having Diffusion Barrier Stuffed with Metal Oxide
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
KR20020049875A (en) * 2000-12-20 2002-06-26 윤종용 Ferroelectric capacitor in semiconductor memory device and method for manufacturing the same
KR20020056260A (en) * 2000-12-29 2002-07-10 박종섭 Method for forming metal gate of semiconductor devoie
US20020086111A1 (en) * 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100400033B1 (en) 2001-02-08 2003-09-29 삼성전자주식회사 Semiconductor device having multi-interconnection structure and manufacturing method thereof
WO2002080244A2 (en) * 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
KR100395766B1 (en) 2001-02-12 2003-08-25 삼성전자주식회사 Ferroelectric memory device and method of forming the same
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
FI109770B (en) 2001-03-16 2002-10-15 Asm Microchemistry Oy Growing transition metal nitride thin films by using compound having hydrocarbon, amino or silyl group bound to nitrogen as nitrogen source material
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100447031B1 (en) * 2001-03-23 2004-09-07 삼성전자주식회사 Method of forming tungsten silicide film
US6369430B1 (en) * 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US6420189B1 (en) 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6447933B1 (en) 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US20070009658A1 (en) 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) * 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2005504885A (en) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド Barrier formation using a novel sputter deposition method
US6548906B2 (en) 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
EP1425435A2 (en) * 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (en) 2001-09-21 2003-03-29 삼성전자주식회사 Semiconductor device having contact between ruthenium layer and metal layer and method for manufacturing the same
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
JP3891817B2 (en) * 2001-10-19 2007-03-14 本田技研工業株式会社 transmission
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6423619B1 (en) * 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) * 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6576565B1 (en) * 2002-02-14 2003-06-10 Infineon Technologies, Ag RTCVD process and reactor for improved conformality and step-coverage
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100476926B1 (en) 2002-07-02 2005-03-17 삼성전자주식회사 Method for forming dual gate of semiconductor device
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
WO2004106584A1 (en) 2003-05-27 2004-12-09 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US7524374B2 (en) 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
JP4188033B2 (en) * 2002-08-30 2008-11-26 本田技研工業株式会社 Hydraulic shock absorber mounting structure
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
KR20060079144A (en) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 Atomic layer deposition of barrier materials
JP2008521261A (en) 2004-11-22 2008-06-19 アプライド マテリアルズ インコーポレイテッド Substrate processing apparatus using batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition

Also Published As

Publication number Publication date
TW567544B (en) 2003-12-21
JP4705325B2 (en) 2011-06-22
US6936538B2 (en) 2005-08-30
JP2004536225A (en) 2004-12-02
US7238552B2 (en) 2007-07-03
US20050208763A1 (en) 2005-09-22
WO2003009360A2 (en) 2003-01-30
WO2003009360A3 (en) 2003-10-30
US20030013300A1 (en) 2003-01-16
US7749815B2 (en) 2010-07-06
US20080014724A1 (en) 2008-01-17

Similar Documents

Publication Publication Date Title
WO2003009360B1 (en) Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US8211799B2 (en) Atomic layer deposition of tungsten materials
US7745333B2 (en) Methods for depositing tungsten layers employing atomic layer deposition techniques
US20090081866A1 (en) Vapor deposition of tungsten materials
US7241686B2 (en) Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
WO2003031679B1 (en) Method for depositing metal layers employing sequential deposition techniques
US6821572B2 (en) Method of cleaning a chemical vapor deposition chamber
US7741173B2 (en) Method for forming a metal oxide film
KR20040058239A (en) Integration of ald tantalum nitride and alpha-phase tantalum for copper metallization application
JP2004536225A5 (en)
WO2013063260A1 (en) High temperature tungsten metallization process
JP2008537979A5 (en)
US7358188B2 (en) Method of forming conductive metal silicides by reaction of metal with silicon
US20080107825A1 (en) Film-Forming Method and Recording Medium
WO2009042713A1 (en) Vapor deposition of tungsten materials
US6734051B2 (en) Plasma enhanced chemical vapor deposition methods of forming titanium silicide comprising layers over a plurality of semiconductor substrates
JP3801923B2 (en) Method for forming tungsten silicide
JP4009034B2 (en) Barrier film manufacturing method
JP2002217133A (en) Method for forming barrier metal film
KR100805694B1 (en) Method for forming tungsten layer
KR19990005993A (en) Metal wiring formation method of semiconductor device
US20040191562A1 (en) Method of forming refractory metal contact in an opening, and resulting structure
KR19990006085A (en) Polysilicon Layer Formation Method of Semiconductor Device
JP2002217134A (en) Forming method of barrier metal film
JP2002203813A (en) Method for forming barrier metal film

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SI SK SL TJ TM TN TR TT TZ UA UG US UZ VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG US

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
B Later publication of amended claims

Free format text: 20030513

WWE Wipo information: entry into national phase

Ref document number: 2003514605

Country of ref document: JP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase