WO2003025993A1 - Plasma curing process for porous low-k materials - Google Patents

Plasma curing process for porous low-k materials Download PDF

Info

Publication number
WO2003025993A1
WO2003025993A1 PCT/US2002/026158 US0226158W WO03025993A1 WO 2003025993 A1 WO2003025993 A1 WO 2003025993A1 US 0226158 W US0226158 W US 0226158W WO 03025993 A1 WO03025993 A1 WO 03025993A1
Authority
WO
WIPO (PCT)
Prior art keywords
plasma
dielectric material
porous dielectric
cured
porous
Prior art date
Application number
PCT/US2002/026158
Other languages
French (fr)
Inventor
Ralph Albano
Cory Bargeron
Iii Ivan L. Berry
Jeff Bremmer
Phil Dembowski
Orlando Escorcia
Qinyuan Han
Nick Sbrockey
Carlo Waldfried
Original Assignee
Axcelis Technologies, Inc.
Dow Corning Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Axcelis Technologies, Inc., Dow Corning Corporation filed Critical Axcelis Technologies, Inc.
Priority to JP2003529516A priority Critical patent/JP2005503672A/en
Priority to EP20020761403 priority patent/EP1428253A1/en
Priority to KR10-2004-7003787A priority patent/KR20040064691A/en
Publication of WO2003025993A1 publication Critical patent/WO2003025993A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • C01B33/126Preparation of silica of undetermined type
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Definitions

  • This invention relates generally to a process which is employed in manufacturing semiconductor chips. More particularly, the invention relates to a process for improving the structural properties of certain porous materials that are utilized as integrated circuit (IC) dielectrics.
  • IC integrated circuit
  • low-k dielectrics New materials with low dielectric constants (known in the art as "low-k dielectrics") are being investigated for their potential use as insulators in semiconductor chip designs.
  • a low dielectric constant material aids in enabling further reductions in integrated circuit feature dimensions.
  • Thin film dielectric coatings on electric devices are known in the art.
  • U.S. Patent Nos. 4,749,631 and 4,756,977, to Haluska et al. disclose silica based coatings produced by applying solutions of silicon alkoxides or hydrogen silsesquioxane, respectively, to substrates and then heating the coated substrates to a temperature between 200 and 1000°C.
  • the dielectric constant of these coatings is often too high for certain electronic devices and circuits.
  • U.S. Patent No. 5,547,703 to Camilletti et al. teaches a method for forming low dielectric constant Si-O containing coatings on substrates comprising heating a hydrogen silsesquioxane resin successively under wet ammonia, dry ammonia, and oxygen.
  • the resultant coatings have dielectric constants as low as 2.42 at 1 MHz.
  • This reference teaches the removal of solvent before converting the coating to a ceramic.
  • U.S. Patent No. 5,523,163, to Ballance et al. teaches a method for forming Si-O containing coatings on substrates comprising heating a hydrogen silsesquioxane resin to convert it to a Si-O containing ceramic coating and then exposing the coating to an annealing atmosphere containing hydrogen gas.
  • the resultant coatings have dielectric constants as low as 2.773.
  • the reference teaches the removal of solvent before converting the coating to a ceramic.
  • U.S. Patent No. 5,618,878, to Syktich et al. discloses coating compositions containing hydrogen silsesquioxane resin dissolved in saturated alkyl hydrocarbons useful for forming thick ceramic coatings.
  • alkyl hydrocarbons disclosed are those up to dodecane.
  • the reference does not teach exposure of the coated substrates to basic catalysts before solvent removal.
  • COATINGS discloses a method of making porous network coatings with low dielectric constants.
  • the method comprises depositing a coating on a substrate with a solution comprising a resin containing at least 2 Si-H groups and a solvent in a manner in which at least 5 volume % of the solvent remains in the coating after deposition.
  • the coating is then exposed to an environment comprising a basic catalyst and water.
  • the solvent is evaporated from the coating to form a porous network.
  • the coating can be cured by heating to form a ceramic.
  • Films made by this process have dielectric constants in the range of 1.5 to 2.4 with an elastic modulus between about 2 and about 3 GPa.
  • porous network coatings produced from a resin containing at least 2 Si-H groups can be plasma cured, eliminating the need for prior furnace curing.
  • the present invention meets that need by providing a process that produces materials having a low dielectric constant and an improved elastic modulus.
  • the process involves providing a porous dielectric material having a first dielectric constant, having a first elastic modulus, and having a first film hardness, wherein the porous dielectric material is not a porous methylsilsesquioxane-based dielectric material.
  • methylsilsesquioxane-based dielectric material we mean a dielectric material that comprises 100% methylsilsesquioxane resins, and not a mixed methylsilsesquioxane/hydrogen silsesquioxane dielectric material, or any other mixed dielectric material which includes less than 100% methylsilsesquioxane resins.
  • the porous dielectric material is plasma cured to produce a plasma cured porous dielectric material having a second dielectric constant which is comparable to or greater than the first dielectric constant, having a second elastic modulus which is greater than the first elastic modulus, and having a second film hardness which is greater than the first film hardness.
  • a second dielectric constant which is 0.05 less than the first dielectric constant.
  • the increase in elastic modulus is typically greater than or about 100%, and more typically greater than or about 200%.
  • the plasma cured porous dielectric material can optionally be post-plasma treated to provide a post-plasma treated, plasma cured porous dielectric material having a third dielectric constant, having a third elastic modulus, and having a third film hardness.
  • Post-plasma treatment of the plasma cured porous dielectric material in some cases reduces the dielectric constant of the material while maintaining the increase in the elastic modulus and film hardness, as compared to the elastic modulus and film hardness before the post-plasma treatment.
  • porous dielectric materials having improved elastic modulus and material hardness, and a low dielectric constant.
  • the present invention is based on the discovery that plasma curing virtually any porous dielectric material, without the necessity of thermally curing the material, increases the elastic modulus (Young's modulus) and material hardness of the material while maintaining its low dielectric constant properties.
  • the porous dielectric material can include, but is not limited to, hydrogen silsesquioxane (HSQ) dielectric materials, mixed HSQ/methylsilsesquioxane (MSQ) dielectric materials, organic dielectric materials, inorganic dielectric materials, and combinations thereof, which can be produced by spin-on or chemical vapor deposition (CVD) processes.
  • the porous dielectric materials can have porogen-generated, solvent-based, or molecular engineered pores, which may be distributed random or ordered, such as vertical pores.
  • Plasma curing can for some materials generate a notable amount of polar species in the porous dielectric material, which can be undesirable in some applications.
  • the present invention is also based on the discovery that applying thermal, UV, or a second plasma treatment can remove these generated polar species resulting in a material with a low dielectric constant, and of equal or further improved elastic modulus and film hardness.
  • the process of the present invention is particularly applicable to the deposition of coatings on electronic devices or electronic circuits where they can serve as interievel dielectric layers, doped dielectric layers to produce transistor-like devices, pigment loaded binder systems containing silicon to produce capacitor and capacitor-like devices, multilayer devices, 3-D devices, silicon on insulator devices, super lattice devices, and the like.
  • the choice of substrates and devices to be coated by the instant invention is limited only by the need for thermal and chemical stability of the substrate at the temperature and pressure used in the present invention.
  • porous dielectric materials of the present invention can be used on substrates such as plastics including, for example, polyimides, epoxies, polytetrafluoroethylene and copolymers thereof, polycarbonates, acrylics and polyesters, ceramics, leather, textiles, metals, and the like.
  • plastics including, for example, polyimides, epoxies, polytetrafluoroethylene and copolymers thereof, polycarbonates, acrylics and polyesters, ceramics, leather, textiles, metals, and the like.
  • the expression “ceramic” includes ceramics such as amorphous silica and ceramic-like materials such as amorphous silica-like materials that are not fully free of carbon and/or hydrogen but are otherwise ceramic in character.
  • the expressions “electronic device” or “electronic circuit” include, but are not limited to, silica-based devices, gallium arsenide based devices, silicon carbide based devices, focal plane arrays, opto-electronic devices, photovoltaic cells, and optical devices. A porous dielectric material is needed as a starting material for the present invention.
  • Typical HSQ-based dielectric materials for use with the present invention include FOx HSQ-based dielectric material and XLK porous HSQ-based dielectric material available from Dow Corning Corporation (Midland, Ml).
  • typical ultra low-k porous dielectric MSQ-based materials, made by spin-on processing, for use with the present invention are available from Chemat Technology, Inc. (Northridge, CA) and JSR Corporation (Tokyo, Japan).
  • One method of making such a porous dielectric material is the porous network coating disclosed in U.S. Patent No. 6,231 ,989, which is incorporated herein by reference for its teaching on how to produce porous dielectric materials having ultra low dielectric constants.
  • the patent describes the manufacture of ultra low dielectric constant coatings having a dielectric constant of between about 1.5 and about 2.4, in which pores are introduced into HSQ-based films.
  • HSQ-based films produced according to the method taught in U.S. Patent No. 6,231 ,989, which have been cured under thermal conditions, contain about 20 to about 60% Si-H bonds density.
  • the dielectric constant of the coating is about 2.0, the coating has an elastic modulus of between about 2 and about 3 GPa.
  • the following method of producing a porous network coating is provided as an example of the production of a typical porous dielectric material. It is not the inventors' intent to limit their invention to only HSQ-based films.
  • the process of the present invention is applicable to virtually any porous dielectric material.
  • the method of producing the HSQ-based porous network coating starts with depositing a coating on a substrate with a solution comprising a resin containing at least 2 Si-H groups and a solvent.
  • the resins containing at least 2 Si-H groups are not particularly limited, as long as the Si-H bonds can be hydrolyzed and at least partially condensed by the basic catalyst and water to form a cross-linked network that serves as the structure for the porous network.
  • such materials have the formula:
  • each R is independently selected from the group consisting of hydrogen, alkyl, alkenyl, or aryl groups, or alkyl, alkenyl, or aryl groups substituted with a hetero atom such as a halogen, nitrogen, sulfur, oxygen, or silicon, and a, b, c, and d are mole fractions of the particular unit and their total is 1 , with the proviso that at least 2 R groups per molecule are hydrogen and the material is sufficiently resinous in structure to form the desired network.
  • alkyl groups are methyl, ethyl, propyl, butyl, and the like, with alkyls of 1-6 carbons being typical.
  • alkenyl groups include vinyl, allyl, and hexenyl.
  • aryls include phenyl.
  • each R' is independently selected from the group consisting of alkyl, alkenyl, or aryl groups, or alkyl, alkenyl, or aryl groups substituted with a hetero atom such as a halogen, nitrogen, sulfur, oxygen, or silicon.
  • alkyl groups are methyl, ethyl, propyl, butyl, and the like, with alkyls of 1-6 carbons being typical.
  • alkenyl groups include vinyl, allyl, and hexenyl.
  • aryls include phenyl.
  • R' groups When these R' groups are bonded to silicon through the oxygen atom, they form a hydrolyzable substituent.
  • x 0 to 2
  • y 0 to 2
  • z 1 to 3
  • x + y + z 3.
  • These resins may be essentially fully condensed (HSi ⁇ 3/ 2) n where n is 8 or greater, or they may be only partially hydrolyzed (i.e., containing some Si-OR'), and/or partially condensed (i.e., containing some Si-OH).
  • the structure of the resin containing at least 2 Si-H groups is not limited.
  • the structure may be what is generally known as ladder-type, cage-type, or mixtures thereof.
  • the HSQ resins may contain endgroups such as hydroxyl groups, triorganosiloxy groups, diorganohydrogensiloxy groups, trialkoxy groups, dialkoxy groups, and others.
  • the HSQ resin may also contain a small number (e.g., less than 10%) of the silicon atoms, which have either 0 or 2 hydrogen atoms attached thereto and/or a small number of Si-C groups, such as CH3Si ⁇ 3 /2 or HCH 3 Si0 2/2 groups.
  • the resins containing at least 2 Si-H groups and methods for their production are known in the art.
  • U.S. Patent No. 3,615,272, to Collins et al. teaches the production of an essentially fully condensed hydrogen silsesquioxane resin (which may contain up to 100-300 ppm silanol) by a process comprising hydrolyzing trichlorosilane in a benzenesulfonic acid hydrate hydrolysis medium, and then washing the resulting resin with water or aqueous sulfuric acid.
  • U.S. Patent No. 5,010,159, to Bank et al. teaches a method comprising hydrolyzing hydridosilanes in an arylsulfonic acid hydrate hydrolysis medium to form a resin which is then contacted with a neutralizing agent.
  • hydridosiloxane resins such as those described in U.S. Patent No. 4,999,397, to Weiss et al., and U.S. Patent No. 5,210,160, to Saive et al., those produced by hydrolyzing an alkoxy or acyloxy silane in an acidic, alcoholic hydrolysis medium, those described in Japanese Kokai Patent Nos. 59-178749, 60-86017, and 63-107122, or any other equivalent hydridosiloxanes, will also function herein.
  • a typical fraction comprises material wherein at least 75% of the polymeric species have a number average molecular weight above about 1200, and a more typical fraction comprises material wherein at least 75% of the polymeric species have a number average molecular weight between about 1200 and about 100,000.
  • the Si-H containing resins may contain other components as long as these components do not interfere with the integrity of the coating. It should be noted, however, that certain materials may increase the dielectric constant of the coating. Ceramic oxide precursors may also be used in combination with the Si-H containing resins.
  • the ceramic oxide precursors useful herein include compounds of various metals such as aluminum, titanium, zirconium, tantalum, niobium and/or vanadium, as well as various non-metallic compounds, such as those of boron or phosphorus, which may be dissolved in solution, hydrolyzed, and subsequently pyrolyzed at relatively low temperature to form ceramic oxides. Ceramic oxide precursors useful herein are described in U.S. Patent Nos. 4,808,653 and 5,008,320 to Haluska et al., and 5,290,394 to Sasaki.
  • Si-H containing resins are applied to the substrates as solvent dispersions to form a coating on the substrate ("SiH resin coating").
  • Solvents that may be used include any agent or mixture of agents that will dissolve or disperse the resin to form a homogeneous liquid mixture without affecting the resulting coating or the substrate.
  • solvents can include alcohols, such as ethyl alcohol or isopropyl alcohol; aromatic hydrocarbons, such as benzene or toluene; branched or linear alkanes, such as n-heptane, dodecane, or nonane; branched or linear alkenes, such as n-heptene, dodecene, or tetradecene; ketones, such as methyl isobutyl ketone; esters; ethers, such as glycol ethers; or linear or cyclic siloxanes, such as hexamethyldisiloxane, octamethyldisiloxane, and mixtures thereof, or cyclic dimethylpolysiloxanes; or mixtures of any of the above solvents.
  • alcohols such as ethyl alcohol or isopropyl alcohol
  • aromatic hydrocarbons such as benzene or toluene
  • branched or linear alkanes such
  • the solvent is generally present in an amount sufficient to dissolve/disperse the resin to the concentration desired for application. Typically, the solvent is present in an amount of about 20 to about 99.9 wt%, and more typically from about 70 to about 95 wt%, based on the weight of the resin and solvent.
  • the dispersion can include fillers, colorants, adhesion promoters, and the like.
  • Specific methods for application of the resin dispersion to the substrate include, but are not limited to, spin coating, dip coating, spray coating, flow coating, screen printing, or others. A typical method is spin coating.
  • At least about 5 volume % of the solvent should remain in the SiH resin coating until the resin is contacted with the basic catalyst and water. This solvent forms the pores of the porous network coating as the Si-H bonds are hydrolyzed and condensed. In some embodiments, it may be typical that at least about 10 volume % solvent remains, while in others, it may be typical that at least about 15 volume % solvent remains, and in still others, it may be typical that at least about 25 volume % solvent remains.
  • a high boiling point solvent can be used alone or as a co-solvent with one of the solvents described above. In this manner, processing the resin dispersion as described above under normal conditions allows for at least about 5% residual solvent remaining.
  • Typical high boiling solvents in this embodiment are those with boiling points above about 175°C including hydrocarbons, aromatic hydrocarbons, esters, ethers, and the like.
  • the above processing i.e., primarily deposition of the SiH resin coating solution
  • the spin coating can be performed in a closed environment such that the subsequent steps (i.e., contact with the basic catalyst and water) can occur before the solvent is completely evaporated.
  • the SiH resin coating containing at least about 5 volume % solvent is then contacted with a basic catalyst and water.
  • basic catalysts include ammonia, ammonium hydroxide, as well as amines.
  • the amines useful herein may include primary amines (RNH ), secondary amines (R NH), and/or tertiary amines (R 3 N) in which R is independently a saturated or unsaturated aliphatic, such as methyl, ethyl, propyl, vinyl, allyl, ethynyl, etc.; an alicyclic, such as cyclohexylmethyl; an aromatic, such as phenyl; a substituted hetero atom, such as oxygen, nitrogen, sulfur, etc.; or compounds in which the nitrogen atom is a member of a heterocyclic ring such as quinoline, pyrrolidine, or pyridine.
  • RNH primary amines
  • R NH secondary amines
  • R 3 N tertiary amines
  • any of the above amine compounds may be substituted with other hydrocarbon and/or hetero containing groups to form compounds such as diamines, amides, etc.
  • compounds, which are converted to amines under the reactions conditions used would function in an equivalent manner.
  • a compound such as an ammonium salt that yields an amine upon dissolution would provide the desired catalytic effect.
  • amines examples include methylamine, ethylamine, butylamine, allylamine, cyclohexylamine, aniline, dimethylamine, diethylamide, dioctylamine, dibutylamine, methylethylamine, saccharin, piperidine, trimethylamine, triethylamine, pyridine, diethyl toluidene ethylmethylpropylamine, imidazole, choline acetate, triphenyl phosphene analine, trimethylsilylimidazole, ethylenediamine, diethylhydroxylamine, triethylenediamine, n-methylpyrolidone, etc.
  • the basic catalyst can generally be used at any concentration sufficient to catalyze hydrolysis of the Si-H bonds. Generally, concentrations of the basic catalyst can be from about 1 ppm to about 100 wt% based on the weight of the resin, depending on the basic catalyst.
  • the water used can be that present in the ambient environment (e.g., > about 25% relative humidity), the ambient environment can be supplemented with additional water vapor (e.g., relative humidity up to about 100%), water can be used as a liquid, or a compound which generates water under the reaction conditions can be used.
  • the SiH resin coating can be contacted with vapors of the basic catalyst and water vapor.
  • the SiH resin coating can be contacted with the basic catalyst and water in the liquid state, such as by immersing the coating in an ammonium hydroxide solution.
  • the SiH resin coating is typically exposed to an environment comprising the basic catalyst and water in the vapor state, more typically ammonia and water vapor.
  • the SiH resin coated substrate may be placed in a container and the appropriate environment introduced therein, or a stream of the basic catalyst and water may be directed at the SiH resin coating.
  • the method used to generate the basic catalyst and water environment is generally not significant in the present embodiment.
  • Methods such as bubbling the basic catalyst (e.g., ammonia gas) through water or ammonium hydroxide solutions (to control the amount of water vapor present), heating a basic catalyst and water, or heating water and introducing the basic catalyst gas (e.g., ammonia gas) are all functional herein. It is also contemplated that methods, which generate basic catalyst vapors in situ, such as the addition of water to amine salts, or the addition of water to a silazane, such as hexamethyldisilazane, will also be effective.
  • the basic catalyst e.g., ammonia gas
  • a silazane such as hexamethyldisilazane
  • the basic catalyst used may be at any concentration desired.
  • the concentration may be from about 1 ppm up to a saturated atmosphere.
  • the exposure can be at any temperature desired from room temperature up to about 300°C.
  • a temperature in the range of from about 20°C to about 200°C is typical, with a range of from about 20°C to about 100°C being more typical.
  • the SiH resin coating should be exposed to the basic catalyst and water environment for the time necessary to hydrolyze the Si-H groups to form silanols (Si- OH) and for the silanols to at least partially condense to form Si-O-Si bonds. Generally, exposures of up to about 20 minutes are typical, with exposures of at least about 1 second up to about 5 minutes being more typical. If the coatings are to be used as a dielectric layer, it is generally typical to have a shorter exposure, as longer exposures tend to increase the dielectric constant of the coating. When the coating is exposed to the basic catalyst and water in the liquid state, the exposure is usually conducted by immersing the coated substrate in a solution. Other equivalent methods can be used, such as flushing the coating with a basic catalyst and water solution. In addition, vacuum infiltration may also be used to increase penetration of the basic catalyst and water into the coating.
  • the basic catalyst solution used in this embodiment may be at any concentration desired. Generally when ammonium hydroxide is used, a concentrated aqueous solution of between about 28 and about 30% is typical since the duration of exposure is thereby shortened. When dilute solutions are used, the diluent is generally water.
  • Exposure to the basic catalyst and water solution in this embodiment may be conducted at any temperature and pressure desired. Temperatures from about room temperature (20-30°C) up to about the boiling point of the basic catalyst solution, and pressures from below to above atmospheric are all contemplated herein. From a practical standpoint, it is typical that the exposure occur at about room temperature and at about atmospheric pressure.
  • the resin coating is exposed to the basic catalyst solution in this embodiment for the time necessary to hydrolyze the Si-H groups to form silanols (Si-OH) and for the silanols to at least partially condense to form Si-O-Si bonds.
  • exposures of up to about 2 hours are typical, with exposures of at least about 1 second up to about 15 minutes being more typical.
  • the coating may be exposed to both a liquid basic catalyst and water environment (e.g., ammonium hydroxide) and a gaseous basic catalyst and water vapor environment (ammonia gas and water vapor).
  • a liquid basic catalyst and water environment e.g., ammonium hydroxide
  • a gaseous basic catalyst and water vapor environment ammonia gas and water vapor.
  • the exposures may be either sequential or simultaneous, and are generally under the same conditions as those described above.
  • the solvent is then removed from the coating. This can be accomplished by any desired means, including but not limited to, heating the coating, and by vacuum. When the solvent is removed by heating the coating, condensation of the remaining silanols may be facilitated.
  • porous network coating The coating produced by this process can be used as the starting material ("porous network coating") in the present invention.
  • a substrate is coated with the Si-H containing resin and solvent in a manner which ensures that at least about 5 volume % of the solvent remains in the coating.
  • the coating is then exposed to the basic catalyst and water, and the solvent is evaporated.
  • Another method of making such a porous network coating is to thermally cure a siloxane resin containing large alkyl groups and to thermally decompose the alkyl groups to create porosity in the coating.
  • hydridosilicon containing resin was allowed to contact with a 1-alkene comprising about 8 to about 28 carbon atoms in the presence of a platinum group metal- containing hydrosilation catalyst, effecting formation of an alkylhydridosiloxane resin where at least about 5 percent of the silicon atoms are substituted with at least one hydrogen atom, and the resulting resin was heated at a temperature sufficient to effect curing of the resin and thermolysis of alkyl groups from the silicon atoms, thereby forming a nanoporous silicone resin.
  • the silicone resins are made from a mixture compromising 15 to 70 mol % of tetraalkoxysilane, 12 to 60 mol % of an organosilane described by formula R'SiX3, where R' is an hydrogen or alkyl group containing 1 to 6 carbon atoms, and 15 to 70 mol % of an organotrialkyoxysilane described by formula R"Si(OR'")3, where R" is a hydrocarbon group compromising about 8 to 24 carbon atoms or a substituted hydrocarbon group compromising a hydrocarcon chain having about 8 to 24 carbon atoms.
  • Step 1 A resin sample was prepared by combining components (A), (B), (C), (D), (E), and (F) as described below in the amounts described in Table 1 of the above-referenced U.S. patent application:
  • R' is a branched alkoxy group and R" is a substituted or un-substituted linear, branched, or cyclic monovalent organic group having 6 to 30 carbon atoms.
  • porous dielectric materials having low dielectric constants are desirable, it would be advantageous to have a porous dielectric material with a higher elastic modulus and film hardness.
  • the plasma cure can be done by radio frequency (RF), inductive coupled, RF capacitive coupled, helical resinator, microwave downstream, and microwave electron cyclotron resonance (ECR) plasma.
  • RF radio frequency
  • ECR microwave electron cyclotron resonance
  • the wafer is quickly heated in a rapid temperature ramp-up step to the desired temperature, and the wafer is plasma cured.
  • the porous dielectric material is plasma cured at a process pressure between about 1 Torr and about 10 Torr. Examples of typical microwave plasma cure conditions for 200 mm and 300 mm wafers are shown below.
  • Microwave Plasma Power 500 W - 3000 W 500 W - 3000 W
  • Wafer Temperature 80°C - 350°C 80°C - 350°C
  • Plasma Cure Time ⁇ 120 seconds ⁇ 120 seconds
  • Plasma Gases H 2 /N 2 /CF 4 /0 2 H 2 /N 2 CF 4 /O 2
  • the elastic modulus and film hardness of the plasma cured porous dielectric materials are increased as compared to a furnace (thermally) cured porous dielectric material.
  • the furnace cured elastic modulus is between about 0.5 GPa and about 3.5 GPa when the dielectric constant is between 1.6 and 2.4. This increase in the elastic modulus is typically greater than or about 100%, and more typically greater than or about 200%.
  • the elastic modulus of the plasma cured porous dielectric material is greater than or about 2.5 GPa, and more typically between about 2.5 GPa and about 10 GPa.
  • the film hardness of the furnace cured porous films is about 0.1 GPa and the increase in the film hardness is typically greater than or about 50%.
  • the film hardness of the plasma cured porous dielectric material is greater than or about 0.25 GPa, and more typically between about 0.25 GPa and 0.8 GPa.
  • the plasma cured porous dielectric materials of the present invention have improved chemical stability and improved dimensional stability.
  • improved chemical stability we mean that the porous dielectric materials are more resistant to chemicals, such as cleaning solutions and chemical polishing solutions, and plasma damaging during photoresist ashing and dry etching processes.
  • plasma cure significantly reduces or eliminates the outgassing of oliomeric polysilica and other substances from the porous films.
  • plasma cure can for some low-k materials, generate a notable amount of polar species in the film.
  • the plasma cured porous dielectric materials can optionally be post-plasma treated using any type of thermal and/or UV and/or plasma exposure to reduce the dielectric constant and/or further increase the elastic modulus and film hardness, if desired.
  • the plasma cured porous dielectric materials can be annealed by placing the materials in a conventional oven until the polar species are removed, such as at a temperature of between about 400°C and about 450°C for between about 30 and about 60 minutes.
  • An alternative process for annealing the materials involves annealing the plasma cured porous dielectric materials in a Rapid Anneal
  • RAP Plasma Processing
  • Wafer Temperature 150 - 450°C
  • a third type of post-plasma treatment that can be used involves the exposure of the plasma cured porous dielectric materials to UV radiation at elevated temperatures.
  • a UV radiator tool is utilized, which is first purged with nitrogen or argon to allow the UV radiation to enter the process chamber with minimal spectral absorption.
  • the process chamber is purged separately and process gases, such as 0 2 , N 2 , H 2 , Ar, He, C x H y , air, and mixtures thereof, may be utilized for different applications.
  • process gases such as 0 2 , N 2 , H 2 , Ar, He, C x H y , air, and mixtures thereof, may be utilized for different applications.
  • UV generating bulbs with different spectral distributions may be selected depending on the application.
  • the wafer temperature may be controlled ranging from room temperature to 450°C, and the process pressure can be less than, greater than, or equal to atmospheric pressure.
  • UV Power 0 mW - 320 mW Wafer Temperature: 100°C - 450°C
  • UV Cure Time ⁇ 300 seconds
  • a fourth type of post-plasma treatment that can be used involves the exposure of the plasma cured porous dielectric materials to a second plasma condition at elevated temperatures.
  • process gases such as 0 2 , N 2 , H 2 , Ar, He, C x H y , fluorine-containing gas, and mixtures thereof, may be utilized for different applications.
  • the wafer temperature may be controlled ranging from room temperature to 450°C.
  • the plasma cured porous dielectric material is plasma treated at a process pressure between about 1 Torr and about 10 Torr. Examples of typical plasma-assisted post- plasma treatment conditions for 200 mm and 300 mm wafers are shown below.
  • Microwave Plasma Power 500 W - 3000 W 500 W - 3000 W Wafer Temperature: 80°C - 350°C 80°C - 350°C Process Pressure: 1.0 Torr - 3.0 Torr 1.0 Torr - 4.0 Torr Plasma Treatment Time: ⁇ 90 seconds ⁇ 90 seconds Plasma Gases: H 2 /N 2 /CF 4 /0 2 /Ar/He/C x H y H 2 N 2 CF 4 ⁇ 2 /Ar He/C x H y N 2 H 2 Flow Rate: > 0 - 4000 seem > 0 - 10,000 seem 0 2 Flow Rate: > 0 - 4000 seem > 0 - 10,000 seem CF 4 Flow Rate: > 0 - 400 seem > 0 - 1000 seem Ar Flow Rate: > 0 - 4000 seem > 0 - 10,000 seem He Flow Rate: > 0 - 4000 seem > 0 - 10,000 seem
  • the dielectric constant of the post-plasma treated, plasma cured porous dielectric materials is reduced as compared to the plasma cured porous dielectric materials.
  • the dielectric constant of the post-plasma treated, plasma cured porous dielectric materials is typically between about 1.1 and about 3.5, and more typically between about 1.8 and about 2.4.
  • the plasma for a partial conversion of the porous low-k films.
  • the partial conversion process allows to control the material properties of the porous low-k films, such as Young's modulus, film hardness, hydrophobicity, and dielectric constant, as well as the Si-H, Si-OH, and/or Si-CH 3 contents of the porous low-k film.
  • Different partial conversion conditions are achieved by utilizing different plasma cure conditions, such as time, pressure, temperature, and plasma gas composition.
  • typical partial plasma conversion processes result in films that have a Si-H content of between more than 0% and less than or about 70%, and more typical between about 1% and about 30%.
  • a porous low-k dielectric material with a composition of approximately 5% MSQ resin and 95% HSQ resin from Dow Corning Corporation (Midland, Ml) has been plasma cured.
  • An Axcelis Fusion (ES) downstream plasma asher was utilized, but is not limiting to the plasma curing applicability of this invention.
  • Typical process conditions for the plasma cure in the 200 mm wafer platform tool range from forming gas flows of 2000 to 3000 seem, CF 4 flows of 0 to 100 seem, pressures of 1.0 to 4.0 Torr, microwave powers of 500 to 3000 W, and temperatures ranging from 150 to 300°C.
  • the rapid anneal process (RAP) step was performed at temperatures ranging from 400 to 450°C in a nitrogen environment for up to 120 seconds.
  • Successful plasma curing results have been obtained from (i) blanket porous low-k thin films with thickness ranging from 4000 to 15000 A, and (ii) patterned porous low-k structures.
  • a porous low-k dielectric material with inorganic-organic hybrid resins from Dow Corning Corporation (Midland, Ml) that is synthesized with the porogen method has been plasma cured.
  • An Axcelis Fusion (ES) downstream plasma asher was utilized, but is not limiting to the plasma curing applicability of this invention.
  • Typical process conditions for the plasma cure in the 200 mm wafer platform tool range from forming gas flows of 2000 to 3000 seem, CF 4 flows of 0 to 100 seem, pressures of 1.0 to 4.0 Torr, microwave powers of 500 to 3000 W, and temperatures ranging from 150 to 350°C.
  • Successful plasma curing results have been obtained from blanket porous low-k thin films with thickness of approximately 12,000 A.
  • Typical material properties of the porous low dielectric constant films that have been plasma cured are shown in Table 2 below.
  • Table 2 Inorganic-Organic Hybrid Porogen Generated Porous Low-K Material Plasma Process Conditions and Material Characteristics.
  • Subsequent annealing of the films may further increase the elastic modulus and film hardness.
  • Different anneal conditions including (i) Rapid Anneal Processing (RAP) at 450°C for 2 minutes, (ii) furnace anneal at 200°C for 30 minutes, and (iii) furnace anneal at 400°C for 30 minutes have been tested.
  • RAP Rapid Anneal Processing
  • furnace anneal at 200°C for 30 minutes
  • furnace anneal at 400°C for 30 minutes have been tested.
  • Typical material properties of the porous low dielectric constant films that have been plasma cured and annealed are shown in Table 3 below.
  • a porous HSQ-resin-based low-k dielectric from Dow Corning Corporation has been plasma cured with different partial conversion conditions.
  • An Axcelis Fusion (ES) downstream plasma asher was utilized, but is not limiting to the plasma curing applicability of this invention.
  • Typical process conditions for the plasma cure in the 300 mm wafer platform tool range from forming gas flows of 4000 to 8000 seem, CF 4 flows of 0 to 500 seem, pressures of 1.0 to 5.0 Torr, microwave powers of 500 to 3000 W, and temperatures ranging from 150 to 300°C.
  • the rapid anneal process (RAP) step was performed at temperatures ranging from 400 to 450°C in a nitrogen environment for up to 120 seconds.
  • Successful partial conversion plasma curing results have been obtained from (i) blanket porous low-k thin films with thickness ranging from 4000 to 15000 A, and (ii) patterned porous low-k structures.

Abstract

Low dielectric constant porous materials with improved elastic modulus and film hardness. The process of making such porous materials involves providing a porous dielectric material and plasma curing the porous dielectric material to produce a plasma cured porous dielectric material. Plasma curing of the porous dielectric material yields a material with improved modulus and hardness, but with a higher dielectric constant. The improvement in elastic modulus is typically greater than or about 100 %, and more typically greater than or about 200 %. The improvement in film hardness is typically greater than or about 50 %. The porous dielectric material is plasma cured for a time between about 15 and about 120 seconds at a temperature less than about 350 °C. The plasma cured porous dielectric material can optionally be post-plasma treated. The post-plasma treatment of the plasma cured porous dielectric material reduces the dielectric constant of the material while maintaining an improved elastic modulus and film hardness as compared to the plasma cured porous dielectric material. The post-plasma treated, plasma cured porous dielectric material has a dielectric constant between about 1.1 and about 3.5 and an improved elastic modulus and film hardness.

Description

PLASMA CURING PROCESS FOR POROUS LOW-K MATERIALS
This invention relates generally to a process which is employed in manufacturing semiconductor chips. More particularly, the invention relates to a process for improving the structural properties of certain porous materials that are utilized as integrated circuit (IC) dielectrics.
New materials with low dielectric constants (known in the art as "low-k dielectrics") are being investigated for their potential use as insulators in semiconductor chip designs. A low dielectric constant material aids in enabling further reductions in integrated circuit feature dimensions. The substance with the lowest dielectric constant is air (k=1.0). Therefore, porous dielectrics are very promising candidates since they have the potential to provide very low dielectric constants. Unfortunately, such porous low-k dielectrics typically have the problem of insufficient mechanical strength.
Thin film dielectric coatings on electric devices are known in the art. For instance, U.S. Patent Nos. 4,749,631 and 4,756,977, to Haluska et al., disclose silica based coatings produced by applying solutions of silicon alkoxides or hydrogen silsesquioxane, respectively, to substrates and then heating the coated substrates to a temperature between 200 and 1000°C. The dielectric constant of these coatings is often too high for certain electronic devices and circuits.
U.S. Patent Nos. 4,847,162 and 4,842,888, to Haluska et al., teach the formation of nitrided silica coatings by heating hydrogen silsesquioxane resin and silicate esters, respectively, to a temperature between 200 and 1000°C in the presence of ammonia.
Glasser et al., Journal of Non-Crystalline Solids, 64 (1984) pp. 209-221 , teaches the formation of ceramic coatings by heating tetraethoxysilane in the presence of ammonia. This reference teaches the use of anhydrous ammonia and that the resulting silica coatings are nitrided. U.S. Patent No. 4,636,440, to Jada, discloses a method of reducing the drying time for a sol-gel coated substrate comprising exposing the substrate to aqueous quaternary ammonium hydroxide and/or alkanol amine compounds. Jada requires that the coating be dried prior to heating. It is specifically limited to hydrolyzed or partially hydrolyzed silicon alkoxides.
U.S. Patent Nos. 5,262,201 , to Chandra et al., and 5,116,637, to Baney et al., teach the use of basic catalysts to lower the temperature necessary for the conversion of various preceramic materials, all involving hydrogen silsesquioxane, to ceramic coatings. These references teach the removal of solvent before the coating is exposed to the basic catalysts.
U.S. Patent No. 5,547,703, to Camilletti et al., teaches a method for forming low dielectric constant Si-O containing coatings on substrates comprising heating a hydrogen silsesquioxane resin successively under wet ammonia, dry ammonia, and oxygen. The resultant coatings have dielectric constants as low as 2.42 at 1 MHz. This reference teaches the removal of solvent before converting the coating to a ceramic.
U.S. Patent No. 5,523,163, to Ballance et al., teaches a method for forming Si-O containing coatings on substrates comprising heating a hydrogen silsesquioxane resin to convert it to a Si-O containing ceramic coating and then exposing the coating to an annealing atmosphere containing hydrogen gas. The resultant coatings have dielectric constants as low as 2.773. The reference teaches the removal of solvent before converting the coating to a ceramic. U.S. Patent No. 5,618,878, to Syktich et al., discloses coating compositions containing hydrogen silsesquioxane resin dissolved in saturated alkyl hydrocarbons useful for forming thick ceramic coatings. The alkyl hydrocarbons disclosed are those up to dodecane. The reference does not teach exposure of the coated substrates to basic catalysts before solvent removal. U.S. Patent No. 6,231 ,989, to Chung et al., entitled "METHOD OF FORMING
COATINGS", discloses a method of making porous network coatings with low dielectric constants. The method comprises depositing a coating on a substrate with a solution comprising a resin containing at least 2 Si-H groups and a solvent in a manner in which at least 5 volume % of the solvent remains in the coating after deposition. The coating is then exposed to an environment comprising a basic catalyst and water. Finally, the solvent is evaporated from the coating to form a porous network. If desired, the coating can be cured by heating to form a ceramic. Films made by this process have dielectric constants in the range of 1.5 to 2.4 with an elastic modulus between about 2 and about 3 GPa.
As was described in U.S. Patent Application Serial No. 09/681 ,332, entitled "PLASMA CURING PROCESS FOR POROUS SILICA THIN FILM" and incorporated herein by reference, instead of thermally curing and plasma treating, porous network coatings produced from a resin containing at least 2 Si-H groups can be plasma cured, eliminating the need for prior furnace curing.
However, there remains a need for a process for making a porous low-k material with improved structural properties, such as an improved elastic modulus, without compromising or deteriorating its electrical properties.
The present invention meets that need by providing a process that produces materials having a low dielectric constant and an improved elastic modulus. The process involves providing a porous dielectric material having a first dielectric constant, having a first elastic modulus, and having a first film hardness, wherein the porous dielectric material is not a porous methylsilsesquioxane-based dielectric material. By "methylsilsesquioxane-based dielectric material" we mean a dielectric material that comprises 100% methylsilsesquioxane resins, and not a mixed methylsilsesquioxane/hydrogen silsesquioxane dielectric material, or any other mixed dielectric material which includes less than 100% methylsilsesquioxane resins. The porous dielectric material is plasma cured to produce a plasma cured porous dielectric material having a second dielectric constant which is comparable to or greater than the first dielectric constant, having a second elastic modulus which is greater than the first elastic modulus, and having a second film hardness which is greater than the first film hardness. By "comparable to" we mean both equal to and slightly less than, such as a second dielectric constant which is 0.05 less than the first dielectric constant. The increase in elastic modulus is typically greater than or about 100%, and more typically greater than or about 200%.
The plasma cured porous dielectric material can optionally be post-plasma treated to provide a post-plasma treated, plasma cured porous dielectric material having a third dielectric constant, having a third elastic modulus, and having a third film hardness. Post-plasma treatment of the plasma cured porous dielectric material in some cases reduces the dielectric constant of the material while maintaining the increase in the elastic modulus and film hardness, as compared to the elastic modulus and film hardness before the post-plasma treatment.
Accordingly, it is an object of the present invention to produce porous dielectric materials having improved elastic modulus and material hardness, and a low dielectric constant.
The present invention is based on the discovery that plasma curing virtually any porous dielectric material, without the necessity of thermally curing the material, increases the elastic modulus (Young's modulus) and material hardness of the material while maintaining its low dielectric constant properties. The porous dielectric material can include, but is not limited to, hydrogen silsesquioxane (HSQ) dielectric materials, mixed HSQ/methylsilsesquioxane (MSQ) dielectric materials, organic dielectric materials, inorganic dielectric materials, and combinations thereof, which can be produced by spin-on or chemical vapor deposition (CVD) processes. The porous dielectric materials can have porogen-generated, solvent-based, or molecular engineered pores, which may be distributed random or ordered, such as vertical pores.
Plasma curing can for some materials generate a notable amount of polar species in the porous dielectric material, which can be undesirable in some applications. The present invention is also based on the discovery that applying thermal, UV, or a second plasma treatment can remove these generated polar species resulting in a material with a low dielectric constant, and of equal or further improved elastic modulus and film hardness.
The process of the present invention is particularly applicable to the deposition of coatings on electronic devices or electronic circuits where they can serve as interievel dielectric layers, doped dielectric layers to produce transistor-like devices, pigment loaded binder systems containing silicon to produce capacitor and capacitor-like devices, multilayer devices, 3-D devices, silicon on insulator devices, super lattice devices, and the like. However, the choice of substrates and devices to be coated by the instant invention is limited only by the need for thermal and chemical stability of the substrate at the temperature and pressure used in the present invention. As such, the porous dielectric materials of the present invention can be used on substrates such as plastics including, for example, polyimides, epoxies, polytetrafluoroethylene and copolymers thereof, polycarbonates, acrylics and polyesters, ceramics, leather, textiles, metals, and the like.
As used in the present invention, the expression "ceramic" includes ceramics such as amorphous silica and ceramic-like materials such as amorphous silica-like materials that are not fully free of carbon and/or hydrogen but are otherwise ceramic in character. The expressions "electronic device" or "electronic circuit" include, but are not limited to, silica-based devices, gallium arsenide based devices, silicon carbide based devices, focal plane arrays, opto-electronic devices, photovoltaic cells, and optical devices. A porous dielectric material is needed as a starting material for the present invention. Typical HSQ-based dielectric materials for use with the present invention include FOx HSQ-based dielectric material and XLK porous HSQ-based dielectric material available from Dow Corning Corporation (Midland, Ml). In addition, typical ultra low-k porous dielectric MSQ-based materials, made by spin-on processing, for use with the present invention are available from Chemat Technology, Inc. (Northridge, CA) and JSR Corporation (Tokyo, Japan).
The production of typical porous dielectric materials for use with the present invention is well known in the art. One method of making such a porous dielectric material is the porous network coating disclosed in U.S. Patent No. 6,231 ,989, which is incorporated herein by reference for its teaching on how to produce porous dielectric materials having ultra low dielectric constants. The patent describes the manufacture of ultra low dielectric constant coatings having a dielectric constant of between about 1.5 and about 2.4, in which pores are introduced into HSQ-based films. HSQ-based films produced according to the method taught in U.S. Patent No. 6,231 ,989, which have been cured under thermal conditions, contain about 20 to about 60% Si-H bonds density. When the dielectric constant of the coating is about 2.0, the coating has an elastic modulus of between about 2 and about 3 GPa.
The following method of producing a porous network coating is provided as an example of the production of a typical porous dielectric material. It is not the inventors' intent to limit their invention to only HSQ-based films. The process of the present invention is applicable to virtually any porous dielectric material. The method of producing the HSQ-based porous network coating starts with depositing a coating on a substrate with a solution comprising a resin containing at least 2 Si-H groups and a solvent. The resins containing at least 2 Si-H groups are not particularly limited, as long as the Si-H bonds can be hydrolyzed and at least partially condensed by the basic catalyst and water to form a cross-linked network that serves as the structure for the porous network. Generally, such materials have the formula:
{R3Si01/2}a{R2Siθ2/2}b{RSi03/2}c{Siθ4/2}d wherein each R is independently selected from the group consisting of hydrogen, alkyl, alkenyl, or aryl groups, or alkyl, alkenyl, or aryl groups substituted with a hetero atom such as a halogen, nitrogen, sulfur, oxygen, or silicon, and a, b, c, and d are mole fractions of the particular unit and their total is 1 , with the proviso that at least 2 R groups per molecule are hydrogen and the material is sufficiently resinous in structure to form the desired network. Examples of alkyl groups are methyl, ethyl, propyl, butyl, and the like, with alkyls of 1-6 carbons being typical. Examples of alkenyl groups include vinyl, allyl, and hexenyl. Examples of aryls include phenyl. Examples of substituted groups include CF3(CF2)nCH2CH2) where n = 0-6.
Useful in the present invention are various hydridosiloxane resins, known as hydrogen silsesquioxane resins, comprising units of the formula HSi(OH)x(OR')yOz/2. In this formula, each R' is independently selected from the group consisting of alkyl, alkenyl, or aryl groups, or alkyl, alkenyl, or aryl groups substituted with a hetero atom such as a halogen, nitrogen, sulfur, oxygen, or silicon. Examples of alkyl groups are methyl, ethyl, propyl, butyl, and the like, with alkyls of 1-6 carbons being typical. Examples of alkenyl groups include vinyl, allyl, and hexenyl. Examples of aryls include phenyl. Examples of substituted groups include CF3(CF2)nCH2CH2, where n = 0-6. When these R' groups are bonded to silicon through the oxygen atom, they form a hydrolyzable substituent. In the above formula, x = 0 to 2, y = 0 to 2, z = 1 to 3, and x + y + z = 3. These resins may be essentially fully condensed (HSiθ3/2)n where n is 8 or greater, or they may be only partially hydrolyzed (i.e., containing some Si-OR'), and/or partially condensed (i.e., containing some Si-OH).
The structure of the resin containing at least 2 Si-H groups is not limited. The structure may be what is generally known as ladder-type, cage-type, or mixtures thereof. The HSQ resins may contain endgroups such as hydroxyl groups, triorganosiloxy groups, diorganohydrogensiloxy groups, trialkoxy groups, dialkoxy groups, and others. The HSQ resin may also contain a small number (e.g., less than 10%) of the silicon atoms, which have either 0 or 2 hydrogen atoms attached thereto and/or a small number of Si-C groups, such as CH3Siθ3/2 or HCH3Si02/2 groups.
The resins containing at least 2 Si-H groups and methods for their production are known in the art. For example, U.S. Patent No. 3,615,272, to Collins et al., teaches the production of an essentially fully condensed hydrogen silsesquioxane resin (which may contain up to 100-300 ppm silanol) by a process comprising hydrolyzing trichlorosilane in a benzenesulfonic acid hydrate hydrolysis medium, and then washing the resulting resin with water or aqueous sulfuric acid. Similarly, U.S. Patent No. 5,010,159, to Bank et al., teaches a method comprising hydrolyzing hydridosilanes in an arylsulfonic acid hydrate hydrolysis medium to form a resin which is then contacted with a neutralizing agent.
Other hydridosiloxane resins, such as those described in U.S. Patent No. 4,999,397, to Weiss et al., and U.S. Patent No. 5,210,160, to Saive et al., those produced by hydrolyzing an alkoxy or acyloxy silane in an acidic, alcoholic hydrolysis medium, those described in Japanese Kokai Patent Nos. 59-178749, 60-86017, and 63-107122, or any other equivalent hydridosiloxanes, will also function herein.
Specific molecular weight fractions of the Si-H containing resins may also be used. Such fractions and methods for their preparation are taught in U.S. Patent No. 5,063,267, to Hanneman et al., and U.S. Patent No. 5,416,190, to Mine et al. A typical fraction comprises material wherein at least 75% of the polymeric species have a number average molecular weight above about 1200, and a more typical fraction comprises material wherein at least 75% of the polymeric species have a number average molecular weight between about 1200 and about 100,000.
The Si-H containing resins may contain other components as long as these components do not interfere with the integrity of the coating. It should be noted, however, that certain materials may increase the dielectric constant of the coating. Ceramic oxide precursors may also be used in combination with the Si-H containing resins. The ceramic oxide precursors useful herein include compounds of various metals such as aluminum, titanium, zirconium, tantalum, niobium and/or vanadium, as well as various non-metallic compounds, such as those of boron or phosphorus, which may be dissolved in solution, hydrolyzed, and subsequently pyrolyzed at relatively low temperature to form ceramic oxides. Ceramic oxide precursors useful herein are described in U.S. Patent Nos. 4,808,653 and 5,008,320 to Haluska et al., and 5,290,394 to Sasaki.
The Si-H containing resins are applied to the substrates as solvent dispersions to form a coating on the substrate ("SiH resin coating"). Solvents that may be used include any agent or mixture of agents that will dissolve or disperse the resin to form a homogeneous liquid mixture without affecting the resulting coating or the substrate. These solvents can include alcohols, such as ethyl alcohol or isopropyl alcohol; aromatic hydrocarbons, such as benzene or toluene; branched or linear alkanes, such as n-heptane, dodecane, or nonane; branched or linear alkenes, such as n-heptene, dodecene, or tetradecene; ketones, such as methyl isobutyl ketone; esters; ethers, such as glycol ethers; or linear or cyclic siloxanes, such as hexamethyldisiloxane, octamethyldisiloxane, and mixtures thereof, or cyclic dimethylpolysiloxanes; or mixtures of any of the above solvents. The solvent is generally present in an amount sufficient to dissolve/disperse the resin to the concentration desired for application. Typically, the solvent is present in an amount of about 20 to about 99.9 wt%, and more typically from about 70 to about 95 wt%, based on the weight of the resin and solvent.
If desired, other materials can be included in the resin dispersion. For instance, the dispersion can include fillers, colorants, adhesion promoters, and the like. Specific methods for application of the resin dispersion to the substrate include, but are not limited to, spin coating, dip coating, spray coating, flow coating, screen printing, or others. A typical method is spin coating.
At least about 5 volume % of the solvent should remain in the SiH resin coating until the resin is contacted with the basic catalyst and water. This solvent forms the pores of the porous network coating as the Si-H bonds are hydrolyzed and condensed. In some embodiments, it may be typical that at least about 10 volume % solvent remains, while in others, it may be typical that at least about 15 volume % solvent remains, and in still others, it may be typical that at least about 25 volume % solvent remains.
The method of retaining the solvent is not particularly restricted. In a typical embodiment, a high boiling point solvent can be used alone or as a co-solvent with one of the solvents described above. In this manner, processing the resin dispersion as described above under normal conditions allows for at least about 5% residual solvent remaining. Typical high boiling solvents in this embodiment are those with boiling points above about 175°C including hydrocarbons, aromatic hydrocarbons, esters, ethers, and the like. Examples of specific solvents which can be used in this embodiment include saturated hydrocarbons, such as dodecane, tetradecane, hexadecane, etc., unsaturated hydrocarbons, such as dodecene, tetradecene, etc., xylenes, mesitylene, 1-heptanol, dipentene, d-limonene, tetrahydrofurfuryl alcohol, mineral spirits, 2-octanol, stoddard solvent, Isopar H™, diethyl oxalate, diamyl ether, tetrahydropyran-2-methanol, lactic acid butyl ester, isooctyl alcohol, propylene glycol, dipropylene glycol monomethyl ether, diethylene glycol diethyl ether, dimethyl sulfoxide, 2,5-hexanedione, 2-butoxyethanol acetate, diethylene glycol monomethyl ether, 1-octanol, ethylene glycol, Isopar L™, dipropylene glycol monomethyl ether acetate, diethylene glycol monoethyl ether, N- methylpyrrolidone, ethylene glycol dibutyl ether, gamma-butyrolactone, 1 ,3- butanediol, diethylene glycol monomethyl ether acetate, trimethylene glycol, triethylene glycol dimethyl ether, diethylene glycol monoethyl ether acetate, alpha- terpineol, n-hexyl ether, kerosene, 2-(2-n-butoxyethoxy)ethanol, dibutyl oxalate, propylene carbonate, propylene glycol monophenyl ether, diethylene glycol, catechol, diethylene glycol monobutyl ether acetate, ethylene glycol monophenyl ether, diethylene glycol dibutyl ether, diphenyl ether, ethylene glycol monobenzyl ether, hydroquinone, sulfolane, and triethylene glycol. Hydrocarbon solvents are particularly preferred.
The above processing (i.e., primarily deposition of the SiH resin coating solution) can be done in an environment that inhibits solvent evaporation prior to contact with the basic catalyst and water. For example, the spin coating can be performed in a closed environment such that the subsequent steps (i.e., contact with the basic catalyst and water) can occur before the solvent is completely evaporated. The SiH resin coating containing at least about 5 volume % solvent is then contacted with a basic catalyst and water. Examples of basic catalysts include ammonia, ammonium hydroxide, as well as amines. The amines useful herein may include primary amines (RNH ), secondary amines (R NH), and/or tertiary amines (R3N) in which R is independently a saturated or unsaturated aliphatic, such as methyl, ethyl, propyl, vinyl, allyl, ethynyl, etc.; an alicyclic, such as cyclohexylmethyl; an aromatic, such as phenyl; a substituted hetero atom, such as oxygen, nitrogen, sulfur, etc.; or compounds in which the nitrogen atom is a member of a heterocyclic ring such as quinoline, pyrrolidine, or pyridine. In addition, any of the above amine compounds may be substituted with other hydrocarbon and/or hetero containing groups to form compounds such as diamines, amides, etc. Finally, it is also contemplated that compounds, which are converted to amines under the reactions conditions used, would function in an equivalent manner. For example, a compound such as an ammonium salt that yields an amine upon dissolution would provide the desired catalytic effect.
Examples of the amines that may be used herein include methylamine, ethylamine, butylamine, allylamine, cyclohexylamine, aniline, dimethylamine, diethylamide, dioctylamine, dibutylamine, methylethylamine, saccharin, piperidine, trimethylamine, triethylamine, pyridine, diethyl toluidene ethylmethylpropylamine, imidazole, choline acetate, triphenyl phosphene analine, trimethylsilylimidazole, ethylenediamine, diethylhydroxylamine, triethylenediamine, n-methylpyrolidone, etc.
The basic catalyst can generally be used at any concentration sufficient to catalyze hydrolysis of the Si-H bonds. Generally, concentrations of the basic catalyst can be from about 1 ppm to about 100 wt% based on the weight of the resin, depending on the basic catalyst.
The water used can be that present in the ambient environment (e.g., > about 25% relative humidity), the ambient environment can be supplemented with additional water vapor (e.g., relative humidity up to about 100%), water can be used as a liquid, or a compound which generates water under the reaction conditions can be used.
Contact of the SiH resin coating with the basic catalyst and water can be accomplished by any means practical or desirable. For instance, the SiH resin coating can be contacted with vapors of the basic catalyst and water vapor. Alternatively, the SiH resin coating can be contacted with the basic catalyst and water in the liquid state, such as by immersing the coating in an ammonium hydroxide solution. The SiH resin coating is typically exposed to an environment comprising the basic catalyst and water in the vapor state, more typically ammonia and water vapor. For instance, the SiH resin coated substrate may be placed in a container and the appropriate environment introduced therein, or a stream of the basic catalyst and water may be directed at the SiH resin coating. The method used to generate the basic catalyst and water environment is generally not significant in the present embodiment. Methods such as bubbling the basic catalyst (e.g., ammonia gas) through water or ammonium hydroxide solutions (to control the amount of water vapor present), heating a basic catalyst and water, or heating water and introducing the basic catalyst gas (e.g., ammonia gas) are all functional herein. It is also contemplated that methods, which generate basic catalyst vapors in situ, such as the addition of water to amine salts, or the addition of water to a silazane, such as hexamethyldisilazane, will also be effective.
The basic catalyst used may be at any concentration desired. For example, the concentration may be from about 1 ppm up to a saturated atmosphere. The exposure can be at any temperature desired from room temperature up to about 300°C. A temperature in the range of from about 20°C to about 200°C is typical, with a range of from about 20°C to about 100°C being more typical.
The SiH resin coating should be exposed to the basic catalyst and water environment for the time necessary to hydrolyze the Si-H groups to form silanols (Si- OH) and for the silanols to at least partially condense to form Si-O-Si bonds. Generally, exposures of up to about 20 minutes are typical, with exposures of at least about 1 second up to about 5 minutes being more typical. If the coatings are to be used as a dielectric layer, it is generally typical to have a shorter exposure, as longer exposures tend to increase the dielectric constant of the coating. When the coating is exposed to the basic catalyst and water in the liquid state, the exposure is usually conducted by immersing the coated substrate in a solution. Other equivalent methods can be used, such as flushing the coating with a basic catalyst and water solution. In addition, vacuum infiltration may also be used to increase penetration of the basic catalyst and water into the coating.
The basic catalyst solution used in this embodiment may be at any concentration desired. Generally when ammonium hydroxide is used, a concentrated aqueous solution of between about 28 and about 30% is typical since the duration of exposure is thereby shortened. When dilute solutions are used, the diluent is generally water.
Exposure to the basic catalyst and water solution in this embodiment may be conducted at any temperature and pressure desired. Temperatures from about room temperature (20-30°C) up to about the boiling point of the basic catalyst solution, and pressures from below to above atmospheric are all contemplated herein. From a practical standpoint, it is typical that the exposure occur at about room temperature and at about atmospheric pressure.
The resin coating is exposed to the basic catalyst solution in this embodiment for the time necessary to hydrolyze the Si-H groups to form silanols (Si-OH) and for the silanols to at least partially condense to form Si-O-Si bonds. Generally, exposures of up to about 2 hours are typical, with exposures of at least about 1 second up to about 15 minutes being more typical.
Alternatively, the coating may be exposed to both a liquid basic catalyst and water environment (e.g., ammonium hydroxide) and a gaseous basic catalyst and water vapor environment (ammonia gas and water vapor). The exposures may be either sequential or simultaneous, and are generally under the same conditions as those described above.
After the resin is exposed to one of the above environments, the solvent is then removed from the coating. This can be accomplished by any desired means, including but not limited to, heating the coating, and by vacuum. When the solvent is removed by heating the coating, condensation of the remaining silanols may be facilitated.
The coating produced by this process can be used as the starting material ("porous network coating") in the present invention. In a typical procedure to produce a porous network coating, a substrate is coated with the Si-H containing resin and solvent in a manner which ensures that at least about 5 volume % of the solvent remains in the coating. The coating is then exposed to the basic catalyst and water, and the solvent is evaporated.
Another method of making such a porous network coating is to thermally cure a siloxane resin containing large alkyl groups and to thermally decompose the alkyl groups to create porosity in the coating. As disclosed in U.S. Patent Nos. 6,143,360 and 6,184,260, to Zhong, which are hereby incorporated herein by reference, hydridosilicon containing resin was allowed to contact with a 1-alkene comprising about 8 to about 28 carbon atoms in the presence of a platinum group metal- containing hydrosilation catalyst, effecting formation of an alkylhydridosiloxane resin where at least about 5 percent of the silicon atoms are substituted with at least one hydrogen atom, and the resulting resin was heated at a temperature sufficient to effect curing of the resin and thermolysis of alkyl groups from the silicon atoms, thereby forming a nanoporous silicone resin.
U.S. Patent Nos. 6,232,424, 6,359,096 and 6,313,045, and U.S. Patent Application Serial No. 425,901 to Zhong et al., which are hereby incorporated herein by reference, disclose silicone resins and porous coatings made from the silicone resins. The silicone resins are made from a mixture compromising 15 to 70 mol % of tetraalkoxysilane, 12 to 60 mol % of an organosilane described by formula R'SiX3, where R' is an hydrogen or alkyl group containing 1 to 6 carbon atoms, and 15 to 70 mol % of an organotrialkyoxysilane described by formula R"Si(OR'")3, where R" is a hydrocarbon group compromising about 8 to 24 carbon atoms or a substituted hydrocarbon group compromising a hydrocarcon chain having about 8 to 24 carbon atoms.
U.S. Patent Application Serial No. 09/951,819 entitled "SILICONE RESINS AND POROUS MATERIALS PRODUCED THEREFROM", to Zhong, filed
September 12, 2001 and hereby incorporated herein by reference, discloses porous coatings made from silicone resins having the general formula
1 1
(R Siθ3/2)x(HSiθ3/2)y where R is an alkyl group having 8 to 24 carbon atoms. The coatings produced therein have a dielectric constant between 1.5 and 2.3. The above-referenced patent application further provides the following description of a porous low-k dielectric coating made in two steps from a resin with a formula of
1 (R Siθ32)x(HSiθ3/2)y where R is 3,7,11 ,15-tetramethyl-3-hydroxy-hexadecyl.
U.S. Patent Application Serial No. 09/951 ,899 entitled "SILICONE RESINS AND POROUS MATERIALS PRODUCED THEREFROM", to Zhong, filed September 12, 2001 and hereby incorporated herein by reference, discloses porous coatings made from silicone resins having the general formula
1 1
(R Siθ3/2)u(HSiθ3/2)v(Siθ42)w(HOSiθ3/2)z where R is a branched alkyl group having 8 to 24 carbon atoms containing at least one electron-withdrawing group in a pendant position on the alkyl chain; u has a value of 0.1 to 0.7; v has a value of 0.12 to 0.6; z ≥ O.5; w + z has a value of 0.15 to 0.7; and u + v + w + z = 1.
Step 1. A resin sample was prepared by combining components (A), (B), (C), (D), (E), and (F) as described below in the amounts described in Table 1 of the above-referenced U.S. patent application:
(A) 0.45 mole parts of triethoxysilane,
(B) 0.25 mole parts of an organotriethoxysilane, RSi(OR')3 where R is 3,7,11 ,15-tetramethyl-3-hydroxy-hexadecyl,
(C) 0.30 mole parts of tetraethoxysilane, and (D) a mixture of methyl isobutyl ketone (MIBK) and isobutyl isobutyrate (6:4 weight ratio), enough to make the concentration of the resulting resin 9%.
To this mixture was added a mixture of (E) water and (F) hydrogen chloride in the amounts described in Table 1 of the above- referenced U.S. patent application. The resulting reaction product was stripped of volatiles under reduced pressure at 60°C until the solid content became 14 to 21 %. Isobutyl isobutyrate was added to make the solid content 14%. The solution was then heated to reflux for 2 hours and water produced was removed continuously. The solvent was then changed to cyclohexanone by stripping off isobutyl isobutyrate and adding cyclohexanone. Step 2. The resulting resin solution was spin-coated onto silicon wafers suitable for dielectric constant measurements and cured in a nitrogen flow at 440°C for 1 hour. The dielectric constant was measured as 1.9. Alternatively, the curing of the spin-coated films may be accelerated with plasma and/or UV assisted processes.
U.S. Patent Application Serial No. 09/915,899 to Albaugh et al., which is hereby incorporated herein by reference, discloses porous coatings from resins containing (RSiθ3/2)(R'Siθ3/2)(R"Siθ3/2) resins wherein R is an alkyl group having
1 to 5 carbon atoms or a hydrogen atom, R' is a branched alkoxy group and R" is a substituted or un-substituted linear, branched, or cyclic monovalent organic group having 6 to 30 carbon atoms.
U.S. Patent Application Serial Nos. 09/915,902 to Albaugh et al. and 09/915,903 to Boisvert et al., which are hereby incorporated herein by reference, disclose porous coatings made from resins of the formula TRTR' where R is either a methyl or hydrogen group and a R' is a branched alkoxy group.
Although porous dielectric materials having low dielectric constants are desirable, it would be advantageous to have a porous dielectric material with a higher elastic modulus and film hardness.
In order to raise the elastic modulus of the porous dielectric material, it is exposed to a plasma cure. The plasma cure can be done by radio frequency (RF), inductive coupled, RF capacitive coupled, helical resinator, microwave downstream, and microwave electron cyclotron resonance (ECR) plasma. The plasma curing process improves the mechanical properties of the porous low-k dielectric material, increasing material hardness while maintaining the dielectric pore, structure, density, and electrical properties.
In a typical plasma curing process, the wafer is quickly heated in a rapid temperature ramp-up step to the desired temperature, and the wafer is plasma cured.
The exact conditions for the plasma cure depend upon what type of plasma cure is being used. Typically, the porous dielectric material is plasma cured at a process pressure between about 1 Torr and about 10 Torr. Examples of typical microwave plasma cure conditions for 200 mm and 300 mm wafers are shown below.
Condition 200 mm system 300 mm system
Microwave Plasma Power: 500 W - 3000 W 500 W - 3000 W
Wafer Temperature: 80°C - 350°C 80°C - 350°C
Process Pressure: 1.0 Torr - 4.0 Torr 1.0 Torr - 5.0 Torr
Plasma Cure Time: < 120 seconds < 120 seconds Plasma Gases: H2/N2/CF4/02 H2/N2 CF4/O2
N2H2 Flow Rate: > 0 - 4000 seem > 0 - 10,000 seem
02 Flow Rate: > 0 - 4000 seem > 0 - 10,000 seem
CF4 Flow Rate: > 0 - 400 seem > 0 - 1000 seem The elastic modulus and film hardness of the plasma cured porous dielectric materials are increased as compared to a furnace (thermally) cured porous dielectric material. The furnace cured elastic modulus is between about 0.5 GPa and about 3.5 GPa when the dielectric constant is between 1.6 and 2.4. This increase in the elastic modulus is typically greater than or about 100%, and more typically greater than or about 200%. Typically, the elastic modulus of the plasma cured porous dielectric material is greater than or about 2.5 GPa, and more typically between about 2.5 GPa and about 10 GPa. The film hardness of the furnace cured porous films is about 0.1 GPa and the increase in the film hardness is typically greater than or about 50%. Typically, the film hardness of the plasma cured porous dielectric material is greater than or about 0.25 GPa, and more typically between about 0.25 GPa and 0.8 GPa.
The plasma cured porous dielectric materials of the present invention have improved chemical stability and improved dimensional stability. By improved chemical stability, we mean that the porous dielectric materials are more resistant to chemicals, such as cleaning solutions and chemical polishing solutions, and plasma damaging during photoresist ashing and dry etching processes.
In addition, the plasma cure significantly reduces or eliminates the outgassing of oliomeric polysilica and other substances from the porous films. However, plasma cure can for some low-k materials, generate a notable amount of polar species in the film.
The plasma cured porous dielectric materials can optionally be post-plasma treated using any type of thermal and/or UV and/or plasma exposure to reduce the dielectric constant and/or further increase the elastic modulus and film hardness, if desired. For example, the plasma cured porous dielectric materials can be annealed by placing the materials in a conventional oven until the polar species are removed, such as at a temperature of between about 400°C and about 450°C for between about 30 and about 60 minutes. An alternative process for annealing the materials involves annealing the plasma cured porous dielectric materials in a Rapid Anneal
Processing (RAP) chamber in order to reduce the dielectric constant. The plasma cured porous dielectric material is annealed at a typical temperature for a sufficient time, and cooled to about 100°C. However, RAP may not be necessary in some applications.
Typical operating conditions for the RAP process are shown below.
Ramp rate: 15 - 150°C/sec
Wafer Temperature: 150 - 450°C
Annealing Time: < 120 seconds Process Pressure: atmospheric
A third type of post-plasma treatment that can be used involves the exposure of the plasma cured porous dielectric materials to UV radiation at elevated temperatures. In a typical post-plasma UV process, a UV radiator tool is utilized, which is first purged with nitrogen or argon to allow the UV radiation to enter the process chamber with minimal spectral absorption. The process chamber is purged separately and process gases, such as 02, N2, H2, Ar, He, CxHy, air, and mixtures thereof, may be utilized for different applications. UV generating bulbs with different spectral distributions may be selected depending on the application. The wafer temperature may be controlled ranging from room temperature to 450°C, and the process pressure can be less than, greater than, or equal to atmospheric pressure.
Examples of typical post-plasma UV treatment conditions for a 200 mm wafer are shown below.
UV Power: 0 mW - 320 mW Wafer Temperature: 100°C - 450°C
Process Pressure: <, >, or = to atmospheric
UV Cure Time: < 300 seconds
Purge Gases: H2/N2/CχHy/θ2 A fourth type of post-plasma treatment that can be used involves the exposure of the plasma cured porous dielectric materials to a second plasma condition at elevated temperatures. In a typical plasma-assisted post-plasma treatment, process gases, such as 02, N2, H2, Ar, He, CxHy, fluorine-containing gas, and mixtures thereof, may be utilized for different applications. The wafer temperature may be controlled ranging from room temperature to 450°C. Typically, the plasma cured porous dielectric material is plasma treated at a process pressure between about 1 Torr and about 10 Torr. Examples of typical plasma-assisted post- plasma treatment conditions for 200 mm and 300 mm wafers are shown below.
Condition 200 mm system 300 mm system
Microwave Plasma Power: 500 W - 3000 W 500 W - 3000 W Wafer Temperature: 80°C - 350°C 80°C - 350°C Process Pressure: 1.0 Torr - 3.0 Torr 1.0 Torr - 4.0 Torr Plasma Treatment Time: < 90 seconds < 90 seconds Plasma Gases: H2/N2/CF4/02/Ar/He/CxHy H2 N2 CF4 θ2/Ar He/CxHy N2H2 Flow Rate: > 0 - 4000 seem > 0 - 10,000 seem 02 Flow Rate: > 0 - 4000 seem > 0 - 10,000 seem CF4 Flow Rate: > 0 - 400 seem > 0 - 1000 seem Ar Flow Rate: > 0 - 4000 seem > 0 - 10,000 seem He Flow Rate: > 0 - 4000 seem > 0 - 10,000 seem
The dielectric constant of the post-plasma treated, plasma cured porous dielectric materials is reduced as compared to the plasma cured porous dielectric materials. The dielectric constant of the post-plasma treated, plasma cured porous dielectric materials is typically between about 1.1 and about 3.5, and more typically between about 1.8 and about 2.4.
For some applications it is desirable to utilize the plasma for a partial conversion of the porous low-k films. The partial conversion process allows to control the material properties of the porous low-k films, such as Young's modulus, film hardness, hydrophobicity, and dielectric constant, as well as the Si-H, Si-OH, and/or Si-CH3 contents of the porous low-k film. Different partial conversion conditions are achieved by utilizing different plasma cure conditions, such as time, pressure, temperature, and plasma gas composition. For HSQ-based porous low-k films, typical partial plasma conversion processes result in films that have a Si-H content of between more than 0% and less than or about 70%, and more typical between about 1% and about 30%. ln order that the invention may be more readily understood, reference is made to the following examples, which are intended to illustrate the invention, but not limit the scope thereof.
Example 1
A porous low-k dielectric material with a composition of approximately 5% MSQ resin and 95% HSQ resin from Dow Corning Corporation (Midland, Ml) has been plasma cured. An Axcelis Fusion (ES) downstream plasma asher was utilized, but is not limiting to the plasma curing applicability of this invention. Typical process conditions for the plasma cure in the 200 mm wafer platform tool range from forming gas flows of 2000 to 3000 seem, CF4 flows of 0 to 100 seem, pressures of 1.0 to 4.0 Torr, microwave powers of 500 to 3000 W, and temperatures ranging from 150 to 300°C.
The rapid anneal process (RAP) step was performed at temperatures ranging from 400 to 450°C in a nitrogen environment for up to 120 seconds. Successful plasma curing results have been obtained from (i) blanket porous low-k thin films with thickness ranging from 4000 to 15000 A, and (ii) patterned porous low-k structures.
Typical material properties of the porous low-k dielectric constant films that have been plasma cured are shown in Table 1 below.
Table 1. 5% MSQ / 95% HSQ Porous Low-K Material Plasma Process Conditions and
Figure imgf000020_0001
Figure imgf000020_0002
Example 2
A porous low-k dielectric material with inorganic-organic hybrid resins from Dow Corning Corporation (Midland, Ml) that is synthesized with the porogen method has been plasma cured. An Axcelis Fusion (ES) downstream plasma asher was utilized, but is not limiting to the plasma curing applicability of this invention. Typical process conditions for the plasma cure in the 200 mm wafer platform tool range from forming gas flows of 2000 to 3000 seem, CF4 flows of 0 to 100 seem, pressures of 1.0 to 4.0 Torr, microwave powers of 500 to 3000 W, and temperatures ranging from 150 to 350°C. Successful plasma curing results have been obtained from blanket porous low-k thin films with thickness of approximately 12,000 A. Typical material properties of the porous low dielectric constant films that have been plasma cured are shown in Table 2 below.
Table 2: Inorganic-Organic Hybrid Porogen Generated Porous Low-K Material Plasma Process Conditions and Material Characteristics.
Figure imgf000022_0001
Figure imgf000022_0002
Example 3
Subsequent annealing of the films may further increase the elastic modulus and film hardness. Different anneal conditions, including (i) Rapid Anneal Processing (RAP) at 450°C for 2 minutes, (ii) furnace anneal at 200°C for 30 minutes, and (iii) furnace anneal at 400°C for 30 minutes have been tested. Typical material properties of the porous low dielectric constant films that have been plasma cured and annealed are shown in Table 3 below.
Table 3.
Figure imgf000022_0003
Example 4
A porous HSQ-resin-based low-k dielectric from Dow Corning Corporation (Midland, Ml) has been plasma cured with different partial conversion conditions. An Axcelis Fusion (ES) downstream plasma asher was utilized, but is not limiting to the plasma curing applicability of this invention. Typical process conditions for the plasma cure in the 300 mm wafer platform tool range from forming gas flows of 4000 to 8000 seem, CF4 flows of 0 to 500 seem, pressures of 1.0 to 5.0 Torr, microwave powers of 500 to 3000 W, and temperatures ranging from 150 to 300°C.
The rapid anneal process (RAP) step was performed at temperatures ranging from 400 to 450°C in a nitrogen environment for up to 120 seconds. Successful partial conversion plasma curing results have been obtained from (i) blanket porous low-k thin films with thickness ranging from 4000 to 15000 A, and (ii) patterned porous low-k structures.
Typical material properties of the porous low dielectric constant films that have been plasma cured are shown in Table 4 below.
Table 4. HSQ Porous Low-K Material Plasma Partial Conversion Process Conditions and Material Characteristics
Figure imgf000023_0001
Figure imgf000023_0002
While certain representative embodiments and details have been shown for purposes of illustrating the invention, it will be apparent to those skilled in the art that various changes in the compositions and methods disclosed herein may be made without departing from the scope of the invention, which is defined in the appended claims.

Claims

1. A process for making a plasma cured material comprising: providing a porous dielectric material having a first dielectric constant, having a first elastic modulus, and having a first film hardness, wherein the porous dielectric material is not a porous methylsilsesquioxane-based dielectric material; and plasma curing the porous dielectric material to produce a plasma cured porous dielectric material having a second dielectric constant which is comparable to or greater than the first dielectric constant, having a second elastic modulus which is greater than the first elastic modulus, and having a second film hardness which is greater than the first film hardness.
2. The process of claim 1 wherein the porous dielectric material is selected from a hydrogen silsesquioxane dielectric material, a mixed hydrogen silsesquioxane/methylsilsesquioxane dielectric material, an organic dielectric material, an inorganic dielectric material, or a combination thereof.
3. The process of claim 1 wherein the porous dielectric material is produced by a spin-on process or a chemical vapor deposition process.
4. The process of claim 1 wherein the porous dielectric material is selected from a porogen-generated porous dielectric material, a solvent-based porous dielectric material, or a molecular engineered porous dielectric material, or combinations thereof.
5. The process of claim 1 wherein the porous dielectric material is plasma cured at a temperature less than or about 350°C.
6. The process of claim 1 wherein the porous dielectric material is plasma cured at a process pressure between about 1 Torr and about 10 Torr.
7. The process of claim 1 wherein the porous dielectric material is plasma cured for a time between about 15 and about 120 seconds.
8. The process of claim 1 wherein the porous dielectric material is plasma cured with a plasma gas.
9. The process of claim 8 wherein the plasma gas is selected from H2 plasma gas, N2 plasma gas, fluorine-containing plasma gas, 02 plasma gas, or combinations thereof.
10. The process of claim 1 wherein the increase in elastic modulus between the first elastic modulus of the porous dielectric material and the second elastic modulus of the plasma cured porous dielectric material is greater than or about 100%.
11. The process of claim 1 wherein the second elastic modulus of the plasma cured porous dielectric material is greater than or about 2.5 GPa.
12. The process of claim 1 wherein the increase in film hardness between the first film hardness of the porous dielectric material and the second film hardness of the plasma cured porous dielectric material is greater than or about 50%.
13. The process of claim 1 wherein the second film hardness of the plasma cured porous dielectric material is greater than or about 0.25 GPa.
14. The process of claim 1 further comprising post-plasma treating the plasma cured porous dielectric material to provide a post-plasma treated, plasma cured porous dielectric material having a third dielectric constant which is less than or equal to the second dielectric constant, having a third elastic modulus which is comparable to or greater than the second elastic modulus, and having a third film hardness which is comparable to or greater than the second film hardness.
15. The process of claim 14 wherein the third dielectric constant of the post- plasma treated, plasma cured porous dielectric material is between about 1.1 and about 3.5.
16. The process of claim 14 wherein the post-plasma treating is annealing.
17, The process of claim 16 wherein the plasma cured porous dielectric material is annealed at a temperature less than or about 450°C.
18. The process of claim 16 wherein the plasma cured porous dielectric material is annealed for no more than or about 60 minutes.
19. The process of claim 14 wherein the post-plasma treating is UV treating by exposing the plasma cured porous dielectric material to UV radiation at elevated temperatures.
20. The process of claim 19 wherein the plasma cured porous dielectric material is UV treated at a UV power between about 0 and about 1000 mW/cm2.
21. The process of claim 19 wherein the plasma cured porous dielectric material is UV treated at a temperature between about 100°C and about 300°C.
22. The process of claim 19 wherein the plasma cured porous dielectric material is UV treated for no more than or about 300 seconds.
23. The process of claim 19 wherein the plasma cured porous dielectric material is UV treated with a gas purge, wherein the gas is selected from the group consisting of H2, N2, 02, Ar, He, CxHy, air, or combinations thereof.
24. The process of claim 14 wherein the post-plasma treating is plasma treating by exposing the plasma cured porous dielectric material to a second plasma condition at elevated temperatures.
25. The process of claim 24 wherein the plasma cured porous dielectric material is plasma treated at a plasma power between about 500 W and about 3000 W.
26. The process of claim 24 wherein the plasma cured porous dielectric material is plasma treated at a temperature between about 100°C and about 450°C.
27. The process of claim 24 wherein the plasma cured porous dielectric material is plasma treated for no more than or about 90 seconds.
28. The process of claim 24 wherein the plasma cured porous dielectric material is plasma treated at a process pressure between about 1 Torr and about 10 Torr.
29. The process of claim 24 wherein the plasma cured porous dielectric material is plasma treated with a plasma gas, wherein the plasma gas is selected from the group consisting of 02, N2, H2, Ar, He, CxHy, fluorine-containing gas, or a combination thereof.
30. A plasma cured porous dielectric material having a dielectric constant between about 1.1 and about 3.5 and an elastic modulus between about 100 and about 300% greater than a non-plasma cured porous dielectric material.
PCT/US2002/026158 2001-09-14 2002-08-16 Plasma curing process for porous low-k materials WO2003025993A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2003529516A JP2005503672A (en) 2001-09-14 2002-08-16 Plasma curing method for porous low dielectric constant materials
EP20020761403 EP1428253A1 (en) 2001-09-14 2002-08-16 Plasma curing process for porous low-k materials
KR10-2004-7003787A KR20040064691A (en) 2001-09-14 2002-08-16 Plasma curing process for porous low-k materials

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/952,649 US6913796B2 (en) 2000-03-20 2001-09-14 Plasma curing process for porous low-k materials
US09/952,649 2001-09-14

Publications (1)

Publication Number Publication Date
WO2003025993A1 true WO2003025993A1 (en) 2003-03-27

Family

ID=25493106

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/026158 WO2003025993A1 (en) 2001-09-14 2002-08-16 Plasma curing process for porous low-k materials

Country Status (6)

Country Link
US (1) US6913796B2 (en)
EP (1) EP1428253A1 (en)
JP (1) JP2005503672A (en)
KR (1) KR20040064691A (en)
CN (1) CN100361280C (en)
WO (1) WO2003025993A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004066374A1 (en) * 2003-01-17 2004-08-05 Axcelis Technologies, Inc. Fluorine-free plasma curing process for porous low-k-materials
EP1457583A2 (en) 2003-03-04 2004-09-15 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
JP2006528426A (en) * 2003-07-21 2006-12-14 アクセリス テクノロジーズ インコーポレーテッド UV curing method for the latest Low-k materials
US7468290B2 (en) 2003-03-04 2008-12-23 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7994069B2 (en) 2005-03-31 2011-08-09 Freescale Semiconductor, Inc. Semiconductor wafer with low-K dielectric layer and process for fabrication thereof

Families Citing this family (383)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US20040058090A1 (en) * 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US6936309B2 (en) * 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US6734118B2 (en) * 2002-09-23 2004-05-11 Intel Corporation Dielectric material treatment
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6838300B2 (en) 2003-02-04 2005-01-04 Texas Instruments Incorporated Chemical treatment of low-k dielectric films
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US20050260420A1 (en) * 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
KR100645682B1 (en) * 2003-04-17 2006-11-13 주식회사 엘지화학 Organic Siloxane Resins and Insulating Film Using the Same
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
DE20321548U1 (en) * 2003-09-19 2007-11-29 Gallus Druckmaschinen Gmbh Rotary press
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US20050196974A1 (en) * 2004-03-02 2005-09-08 Weigel Scott J. Compositions for preparing low dielectric materials containing solvents
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7094713B1 (en) * 2004-03-11 2006-08-22 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US7622400B1 (en) * 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
WO2006135369A1 (en) * 2005-06-10 2006-12-21 Axcelis Technologies, Inc. Ultraviolet assisted propgen removal and/or curing processes for forming porous low k dielectrics
KR20080033542A (en) * 2005-08-12 2008-04-16 미쓰이 가가쿠 가부시키가이샤 Method and apparatus for producing porous silica
JP5006203B2 (en) * 2005-10-19 2012-08-22 パナソニック株式会社 Method for forming metal oxide film, metal oxide film and optical electronic device
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
CL2009000560A1 (en) 2008-03-11 2010-02-19 Univ Duke A method of hardening a radiation hardenable medium comprising placing a composition within an object to be hardened, applying at least one chosen from x-ray, gamma ray, or electron beam through the object and within the composition.
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100151206A1 (en) * 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20110006406A1 (en) * 2009-07-08 2011-01-13 Imec Fabrication of porogen residues free and mechanically robust low-k materials
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
CN102347206B (en) * 2010-07-29 2014-01-15 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor device
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
GB201110117D0 (en) * 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8551892B2 (en) * 2011-07-27 2013-10-08 Asm Japan K.K. Method for reducing dielectric constant of film using direct plasma of hydrogen
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN104314841B (en) * 2014-10-16 2016-05-11 泸州北方化学工业有限公司 A kind of liquid ring vacuum pump technique of producing for organic silicon monomer
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
CN105990226B (en) * 2015-02-16 2019-04-09 中芯国际集成电路制造(上海)有限公司 A kind of production method of interconnection structure, semiconductor devices and electronic device
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102328108B1 (en) 2015-05-08 2021-11-17 삼성전자주식회사 Wiring structures, methods of forming wiring structures and methods of manufacturing semiconductor devices
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210078405A (en) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6054206A (en) * 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
EP1037275A1 (en) * 1999-03-17 2000-09-20 Canon Sales Co., Inc. Method for forming a porous silicon oxide film
US6149987A (en) * 1998-04-07 2000-11-21 Applied Materials, Inc. Method for depositing low dielectric constant oxide films
WO2001070628A2 (en) * 2000-03-20 2001-09-27 Dow Corning Corporation Plasma processing for porous silica thin film
EP1189267A1 (en) * 2000-04-03 2002-03-20 Ulvac, Inc. Method for preparing porous sog film

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3615272A (en) * 1968-11-04 1971-10-26 Dow Corning Condensed soluble hydrogensilsesquioxane resin
JPS59178749A (en) 1983-03-30 1984-10-11 Fujitsu Ltd Wiring structure
JPS6086017A (en) * 1983-10-17 1985-05-15 Fujitsu Ltd Production of polyhydrogen silsesquioxane
US4636440A (en) * 1985-10-28 1987-01-13 Manville Corporation Novel process for coating substrates with glass-like films and coated substrates
JPS63107122A (en) 1986-10-24 1988-05-12 Fujitsu Ltd Flattening method for irregular substrate
US4756977A (en) * 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US4822697A (en) * 1986-12-03 1989-04-18 Dow Corning Corporation Platinum and rhodium catalysis of low temperature formation multilayer ceramics
US5008320A (en) * 1986-12-04 1991-04-16 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US4808653A (en) * 1986-12-04 1989-02-28 Dow Corning Corporation Coating composition containing hydrogen silsesquioxane resin and other metal oxide precursors
US4749631B1 (en) * 1986-12-04 1993-03-23 Multilayer ceramics from silicate esters
US4847162A (en) * 1987-12-28 1989-07-11 Dow Corning Corporation Multilayer ceramics coatings from the ceramification of hydrogen silsequioxane resin in the presence of ammonia
US4842888A (en) * 1988-04-07 1989-06-27 Dow Corning Corporation Ceramic coatings from the pyrolysis in ammonia of mixtures of silicate esters and other metal oxide precursors
DE3834088A1 (en) * 1988-10-07 1990-04-12 Basf Ag METHOD FOR PRODUCING HOMOPOLYMERISATES OF ETHEN AND COPOLYMERISATES OF ETHEN WITH HIGHER (ALPHA) MONOOLEFINES BY MEANS OF A ZIEGLER CATALYST SYSTEM
US4999397A (en) * 1989-07-28 1991-03-12 Dow Corning Corporation Metastable silane hydrolyzates and process for their preparation
JPH0832304B2 (en) * 1989-08-18 1996-03-29 株式会社日立製作所 Method for forming inorganic polymer thin film
US5010159A (en) * 1989-09-01 1991-04-23 Dow Corning Corporation Process for the synthesis of soluble, condensed hydridosilicon resins containing low levels of silanol
US5116637A (en) * 1990-06-04 1992-05-26 Dow Corning Corporation Amine catalysts for the low temperature conversion of silica precursors to silica
US5262201A (en) * 1990-06-04 1993-11-16 Dow Corning Corporation Low temperature process for converting silica precursor coatings to ceramic silica coatings by exposure to ammonium hydroxide or an environment to which water vapor and ammonia vapor have been added
US5063267A (en) * 1990-11-28 1991-11-05 Dow Corning Corporation Hydrogen silsesquioxane resin fractions and their use as coating materials
JP2795002B2 (en) * 1991-09-19 1998-09-10 日本電気株式会社 Method for producing HgCdTe thin film
JP3153367B2 (en) * 1992-11-24 2001-04-09 ダウ・コ−ニング・コ−ポレ−ション Molecular weight fractionation method for polyhydrogensilsesquioxane
US5441765A (en) * 1993-09-22 1995-08-15 Dow Corning Corporation Method of forming Si-O containing coatings
US5855953A (en) * 1994-03-31 1999-01-05 The Regents, University Of California Aerogel composites and method of manufacture
US5547703A (en) * 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US5618878A (en) * 1995-04-07 1997-04-08 Dow Corning Corporation Hydrogen silsesquioxane resin coating composition
WO1997010282A1 (en) * 1995-09-12 1997-03-20 Gelest, Inc. Beta-substituted organosilsesquioxanes and use thereof
US5961851A (en) * 1996-04-02 1999-10-05 Fusion Systems Corporation Microwave plasma discharge device
US5935646A (en) * 1996-08-23 1999-08-10 Gas Research Institute Molecular sieving silica membrane fabrication process
US6080526A (en) * 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
JP3226021B2 (en) * 1997-09-02 2001-11-05 日本電気株式会社 Method for manufacturing semiconductor device
US5866945A (en) * 1997-10-16 1999-02-02 Advanced Micro Devices Borderless vias with HSQ gap filled patterned metal layers
US6284050B1 (en) * 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
US6231989B1 (en) * 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
JP3204316B2 (en) * 1998-12-28 2001-09-04 日本電気株式会社 Method for manufacturing semiconductor device
US6159842A (en) * 1999-01-11 2000-12-12 Taiwan Semiconductor Manufacturing Company Method for fabricating a hybrid low-dielectric-constant intermetal dielectric (IMD) layer with improved reliability for multilevel interconnections
US6156743A (en) * 1999-10-18 2000-12-05 Whitcomb; John E. Method of decreasing fatigue
US6359096B1 (en) * 1999-10-25 2002-03-19 Dow Corning Corporation Silicone resin compositions having good solution solubility and stability
US6313045B1 (en) * 1999-12-13 2001-11-06 Dow Corning Corporation Nanoporous silicone resins having low dielectric constants and method for preparation
US6232424B1 (en) * 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
US6143360A (en) * 1999-12-13 2000-11-07 Dow Corning Corporation Method for making nanoporous silicone resins from alkylydridosiloxane resins
JP2001223269A (en) * 2000-02-10 2001-08-17 Nec Corp Semiconductor device and manufacturing method therefor
US6759098B2 (en) * 2000-03-20 2004-07-06 Axcelis Technologies, Inc. Plasma curing of MSQ-based porous low-k film materials
JP2001291427A (en) * 2000-04-06 2001-10-19 Dow Corning Toray Silicone Co Ltd Electrically insulating thin film forming resin composition and method of forming electrically insulating thin film
US6287979B1 (en) * 2000-04-17 2001-09-11 Chartered Semiconductor Manufacturing Ltd. Method for forming an air gap as low dielectric constant material using buckminsterfullerene as a porogen in an air bridge or a sacrificial layer
CN1100162C (en) * 2000-09-26 2003-01-29 复旦大学 Alpha-SiCoF film as insulating dielectric with low dielectric constant and its preparation
US6979654B2 (en) * 2001-07-03 2005-12-27 United Microelectronics Corp. Method of avoiding dielectric layer deterioation with a low dielectric constant during a stripping process
JP2004296476A (en) * 2003-03-25 2004-10-21 Semiconductor Leading Edge Technologies Inc Method of manufacturing semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6149987A (en) * 1998-04-07 2000-11-21 Applied Materials, Inc. Method for depositing low dielectric constant oxide films
US6054206A (en) * 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
EP1037275A1 (en) * 1999-03-17 2000-09-20 Canon Sales Co., Inc. Method for forming a porous silicon oxide film
WO2001070628A2 (en) * 2000-03-20 2001-09-27 Dow Corning Corporation Plasma processing for porous silica thin film
EP1189267A1 (en) * 2000-04-03 2002-03-20 Ulvac, Inc. Method for preparing porous sog film

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
HAN Q ET AL: "ULTRA LOW-K POROUS SILICON DIOXIDE FILMS FROM A PLASMA PROCESS", PROCEEDINGS OF THE IEEE, INTERNATIONAL INTERCONNECT TECHNOLOGY CONFERENCE, XX, XX, 4 June 2001 (2001-06-04), pages 171 - 173, XP001112985 *
KIM J-J ET AL: "The effects of plasma treatment on SiO2 aerogel film using various reactive (O2, H2, N2) and non-reactive (He, Ar) gases", THIN SOLID FILMS, ELSEVIER-SEQUOIA S.A. LAUSANNE, CH, vol. 377-378, 1 December 2000 (2000-12-01), pages 525 - 529, XP004226746, ISSN: 0040-6090 *

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7011868B2 (en) 2000-03-20 2006-03-14 Axcelis Technologies, Inc. Fluorine-free plasma curing process for porous low-k materials
WO2004066374A1 (en) * 2003-01-17 2004-08-05 Axcelis Technologies, Inc. Fluorine-free plasma curing process for porous low-k-materials
EP1457583A2 (en) 2003-03-04 2004-09-15 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7468290B2 (en) 2003-03-04 2008-12-23 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7932188B2 (en) 2003-03-04 2011-04-26 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
JP2006528426A (en) * 2003-07-21 2006-12-14 アクセリス テクノロジーズ インコーポレーテッド UV curing method for the latest Low-k materials
US7994069B2 (en) 2005-03-31 2011-08-09 Freescale Semiconductor, Inc. Semiconductor wafer with low-K dielectric layer and process for fabrication thereof

Also Published As

Publication number Publication date
JP2005503672A (en) 2005-02-03
US20020106500A1 (en) 2002-08-08
CN1695235A (en) 2005-11-09
EP1428253A1 (en) 2004-06-16
KR20040064691A (en) 2004-07-19
CN100361280C (en) 2008-01-09
US6913796B2 (en) 2005-07-05

Similar Documents

Publication Publication Date Title
US6913796B2 (en) Plasma curing process for porous low-k materials
EP1265813B1 (en) Plasma processing for porous silica thin film
US6558755B2 (en) Plasma curing process for porous silica thin film
US20030054115A1 (en) Ultraviolet curing process for porous low-K materials
US20040058090A1 (en) Low temperature UV pretreating of porous low-k materials
US6231989B1 (en) Method of forming coatings
US6756085B2 (en) Ultraviolet curing processes for advanced low-k materials
US20030157267A1 (en) Fluorine-free plasma curing process for porous low-k materials
JP5592327B2 (en) Active chemical methods for enhancing the material properties of dielectric films
KR0176259B1 (en) Amine catalysts for the low temperature conversion of silicaprecursors to silica
EP0647965B1 (en) Method of forming Si-O containing coatings
JPH04227980A (en) Method for converting silica precursor into silica at low temperature
US20050173803A1 (en) Interlayer adhesion promoter for low k materials
EP2584593B1 (en) Formation method for silicon oxynitride film
US5906859A (en) Method for producing low dielectric coatings from hydrogen silsequioxane resin

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BY BZ CA CH CN CO CR CU CZ DE DM DZ EC EE ES FI GB GD GE GH HR HU ID IL IN IS JP KE KG KP KR LC LK LR LS LT LU LV MA MD MG MN MW MX MZ NO NZ OM PH PL PT RU SD SE SG SI SK SL TJ TM TN TR TZ UA UG UZ VN YU ZA ZM

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ UG ZM ZW AM AZ BY KG KZ RU TJ TM AT BE BG CH CY CZ DK EE ES FI FR GB GR IE IT LU MC PT SE SK TR BF BJ CF CG CI GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2003529516

Country of ref document: JP

Ref document number: 2002761403

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 20028178564

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 1020047003787

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2002761403

Country of ref document: EP