WO2003038892A2 - Atomic-layer-deposited tantalum nitride and alpha-phase tantalum as barrier layers for copper metallization - Google Patents

Atomic-layer-deposited tantalum nitride and alpha-phase tantalum as barrier layers for copper metallization Download PDF

Info

Publication number
WO2003038892A2
WO2003038892A2 PCT/US2002/034277 US0234277W WO03038892A2 WO 2003038892 A2 WO2003038892 A2 WO 2003038892A2 US 0234277 W US0234277 W US 0234277W WO 03038892 A2 WO03038892 A2 WO 03038892A2
Authority
WO
WIPO (PCT)
Prior art keywords
layer
metal
tantalum
containing compound
depositing
Prior art date
Application number
PCT/US2002/034277
Other languages
French (fr)
Other versions
WO2003038892A3 (en
Inventor
Ling Chen
Hua Chung
Sean M. Seutter
Michael X. Yang
Ming Yi
Vincent Ku
Dien-Yeh Wu
Alan Ouye
Norman Nakashima
Barry Chin
Hong Zhang
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/193,333 external-priority patent/US20030082307A1/en
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to CNB02821269XA priority Critical patent/CN1319146C/en
Priority to JP2003541048A priority patent/JP4711624B2/en
Priority to KR10-2004-7006190A priority patent/KR20040058239A/en
Publication of WO2003038892A2 publication Critical patent/WO2003038892A2/en
Publication of WO2003038892A3 publication Critical patent/WO2003038892A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers

Definitions

  • Embodiments of the present invention relate to a method for manufacturing integrated circuit devices. More particularly, embodiments of the invention relate to forming metal interconnect structures using one or more cyclical deposition processes.
  • Multilevel interconnect technology provides the conductive paths throughout an IC device, formed in high aspect ratio features, including contacts, plugs, vias, lines, wires, and other features.
  • a typical process for forming an interconnect on a substrate includes depositing one or more layers, etching at least one of the layer(s) to form one or more features, depositing a barrier layer in the feature(s) and depositing one or more layers to fill the feature.
  • a feature is formed within a dielectric material disposed between a lower conductive layer and an upper conductive layer.
  • the interconnect is formed within the feature to link the upper and lower conductive layers. Reliable formation of these interconnect features is important to the production of the circuits and to continued effort to increase circuit density and quality on individual substrates and die.
  • Copper has recently become a choice metal for filling sub-micron high aspect ratio interconnect features because copper and its alloys have lower resistivities than aluminum.
  • copper diffuses more readily into surrounding materials and can alter the electronic device characteristics of the adjacent layers and, for example, form a conductive path between layers, thereby reducing the reliability of the overall circuit and possibly resulting in device failure.
  • Barrier layers therefore, are deposited prior to copper metallization to prevent or impede the diffusion of copper atoms.
  • Barrier layers typically contain a refractory metal such as tungsten, titanium, tantalum, and nitrides thereof, all of which have a greater resistivity than copper.
  • the barrier layer must be deposited on the bottom of the feature as well as the sidewalls thereof. Therefore, the additional amount of the barrier layer on the bottom of the feature not only increases the overall resistance of the feature, but also forms an obstruction between higher and lower metal interconnects of a multi- layered interconnect structure.
  • a method for forming a metal interconnect on a substrate comprises depositing a refractory metal containing barrier layer having a thickness that exhibits a crystalline like structure and is sufficient to inhibit atomic migration on at least a portion of a metal layer.
  • the interconnect is produced by alternately introducing one or more pulses of a metal-containing compound and one or more pulses of a nitrogen-containing compound, depositing a seed layer on at least a portion of the barrier layer, and depositing a second metal layer on at least a portion of the seed layer.
  • the method comprises depositing a first metal layer on a substrate surface; depositing a titanium silicon nitride layer having a thickness less than about 20 angstroms over at least a portion of the first metal layer by alternately introducing one or more pulses of a titanium-containing compound, one or more pulses of a silicon-containing compound, and one or more pulses of a nitrogen- containing compound; depositing a dual alloy seed layer, and depositing a second metal layer on at least a portion of the dual alloy seed layer.
  • the method comprises depositing a bilayer barrier having a thickness less than about 20 angstroms on at least a portion of a metal layer, depositing a dual alloy seed layer, and depositing a second metal layer on at least a portion of the dual alloy seed layer.
  • the bilayer barrier comprises a first layer of tantalum nitride deposited by alternately introducing one or more pulses of a tantalum-containing compound and one or more pulses of a nitrogen-containing compound and a second layer of alpha phase tantalum;
  • the method includes depositing a first metal layer on a substrate surface; depositing a tantalum nitride barrier layer having a thickness less than about 20 angstroms on at least a portion of the first metal layer by alternately introducing one or more pulses of a tantalum-containing compound and one or more pulses of a nitrogen-containing compound depositing a dual alloy seed layer comprising copper and a metal selected from the group consisting of aluminum, magnesium, titanium, zirconium, tin, and combinations thereof and depositing a second metal layer on at least a portion of the dual alloy seed layer.
  • Figure 1 illustrates processing sequences according to various embodiments of the invention described herein.
  • Figures 2A-2D are schematic cross section views of an exemplary wafer at different stages of an interconnect fabrication sequence according to embodiments described herein.
  • Figure 3 illustrates a schematic, partial cross section of an exemplary processing chamber 200 for forming a thin barrier layer according to a cyclical deposition technique described herein.
  • Figure 4 illustrates a schematic plan view of an exemplary integrated cluster tool adaptable to perform the interconnect fabrication sequence described herein.
  • Figure 5 is a transmission electron microscope (TEM) image of a feature having a titanium nitride barrier layer deposited therein according to the deposition techniques described herein.
  • TEM transmission electron microscope
  • Figure 6 is a TEM image showing a partial cross sectional view of a multilevel, interconnect structure.
  • Interconnect structures formed according to embodiments described herein have an overall lower resistivity and better electrical properties than interconnects of the prior art, and are particularly useful for making memory and logic structures for use with the fabrication of integrated circuits.
  • the formation of the interconnect structures includes the formation of a thin barrier layer at least partially deposited on an underlying metal layer, a seed layer at least partially deposited on the barrier layer, and a bulk metal layer at least partially deposited on the seed layer.
  • interconnect refers to any conductive path formed within an integrated circuit.
  • bulk metal refers to a greater amount of metal deposited in relation to other metals deposited to form the interconnect structure.
  • FIG. 1 illustrates the process sequence according to embodiments of the invention.
  • a thin barrier layer is first deposited at least partially on an underlying substrate surface, such as a lower level metal interconnect or a metal gate, for example, as shown at step 480.
  • the barrier layer is deposited according to a cyclical layer deposition technique described herein to provide excellent barrier properties and permit the continuous growth of the underlying metal layer across the barrier layer, into an upper level metal interconnect or subsequently deposited metal layer.
  • the barrier layer is a refractory metal-containing layer, such as tantalum, titanium, and tungsten, for example, and may include a refractory metal nitride material, such as tantalum nitride (TaN).
  • the barrier layer is a thin bi-layer of TaN and alpha-phase tantalum.
  • the barrier layer may be a ternary material formed from a refractory metal containing compound, a silicon-containing compound and a nitrogen-containing compound.
  • the barrier layer may also act as a wetting layer, adhesion layer, or glue layer for subsequent metallization.
  • a "thin layer” as used herein refers to a layer of material deposited on a substrate surface having a thickness of about 20 angstroms (A) or less, such as about 10 A.
  • the thickness of the barrier layer is so thin that electrons of the adjacent metal interconnects can tunnel through the barrier layer. Accordingly, the barrier layer significantly enhances the metal interconnect electrical performance by lowering the overall electrical resistance and providing good device reliability.
  • the thin barrier layer deposited according to the cyclical deposition methods described herein shows evidence of an epitaxial growth phenomenon.
  • the barrier layer takes on the same or substantially the same crystallographic characteristics as the underlying layer.
  • a substantially single crystal is grown such that there is no void formation at an interface between the barrier layer and the underlying layer.
  • subsequent metal layers deposited over the barrier layer exhibit the same or substantially the same epitaxial growth characteristics that continue the formation of the single crystal. Accordingly, no void formation is produced at this interface.
  • the resulting structure resembling a single crystal eliminates voids formation, thereby substantially increasing device reliability.
  • the single crystal structure also reduces the overall resistance of the interconnect feature while still providing excellent barrier properties.
  • the single crystalline growth reduces the susceptibility of electromigration and stress migration due to the conformal and uniform crystalline orientation across the interconnect material interfaces.
  • Cyclical deposition refers to the sequential introduction of two or more reactive compounds to deposit a mono layer of material on a substrate surface.
  • the two or more reactive compounds are alternatively introduced into a reaction zone of a processing chamber.
  • Each reactive compound is separated by a time delay to allow each compound to adhere and/or react on the substrate surface.
  • a first precursor or compound A is pulsed into the reaction zone followed by a first time delay.
  • a second precursor or compound B is pulsed into the reaction zone followed by a second delay.
  • a third compound (C) is dosed/pulsed into the reaction zone followed by a third time delay.
  • an inert gas such as argon
  • the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compounds.
  • the reactive compounds are alternatively pulsed until a desired film or film thickness is formed on the substrate surface.
  • a “substrate surface”, as used herein, refers to any substrate surface upon which film processing is performed.
  • a substrate surface may include silicon, silicon oxide, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application.
  • a substrate surface may also include dielectric materials such as silicon dioxide and carbon doped silicon oxides.
  • a "pulse” or “dose” as used herein is intended to refer to a quantity of a particular compound that is intermittently or non-continuously introduced into a reaction zone of a processing chamber.
  • the quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse.
  • the duration of each pulse is variable depending upon a number of factors such as, for example, the volume capacity of the process chamber employed, the vacuum system coupled thereto, and the volatility/reactivity of the particular compound itself.
  • the term "compound” is intended to include one or more precursors, oxidants, reductants, reactants, and catalysts, or a combination thereof.
  • the term “compound” is also intended to include a grouping of compounds, such as when two or more compounds are introduced in a processing system at the same time.
  • a grouping of compounds may include one or more catalysts and one or more precursors.
  • the term “compound” is further intended to include one or more precursors, oxidants, reductants, reactants, and catalysts, or a combination thereof in an activated or otherwise energized state, such as by disassociation or ionization.
  • the surface attraction used to physisorb, adsorb, absorb, or chemisorb a monolayer of reactants on a substrate surface are self-limiting in that only one monolayer may be deposited onto the substrate surface during a given pulse because the substrate surface has a finite number of sites available for the reactants. Once the finite number of sites is occupied by the reactants, further deposition of the reactants will be blocked. The cycle may be repeated to a desired thickness of the layer.
  • a seed layer is at least partially deposited on the barrier layer, as shown at step 485.
  • the seed layer may be deposited using any conventional deposition technique, such as chemical vapor deposition (CVD), physical vapor deposition (PVD), electroplating, or electroless plating.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • electroplating electroless plating
  • the seed layer is deposited conformally on the underlying barrier layer to have a thickness between about 10 ⁇ A and about 50 ⁇ A.
  • the seed layer is a conventional copper seed layer.
  • the seed layer is a dual alloy seed layer.
  • Exemplary dual alloy seed layers include: 1 ) undoped copper deposited utilizing a target containing undoped copper, 2) a copper alloy containing aluminum in a concentration of about 2.0 atomic percent deposited utilizing a copper-aluminum target comprising aluminum in a concentration of about 2.0 atomic percent, 3) a copper alloy containing tin in a concentration of about 2.0 atomic percent deposited utilizing a copper-tin target comprising tin in a concentration of about 2.0 atomic percent, and 4) a copper alloy containing zirconium in a concentration of about 2.0 atomic percent deposited utilizing a copper-zirconium target comprising zirconium in a concentration of about 2.0 atomic percent.
  • the bulk metal layer is at least partially deposited on the seed layer, as shown at step 487.
  • the metal layer may also be deposited using any conventional deposition technique, such as chemical vapor deposition (CVD), physical vapor deposition (PVD), electroplating, or electroless plating.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • electroplating electroless plating.
  • the metal layer preferably includes any conductive material such as aluminum, copper, tungsten, or combinations thereof, for example.
  • Figures 2A-2D are schematic representations of an exemplary interconnect structure at different stages of fabrication.
  • Figure 2A shows an underlying metal layer 110 having a dielectric layer 112 formed thereon.
  • Figure 2B shows a barrier layer 130 at least partially deposited on the underlying metal layer 110.
  • the underlying metal layer 110 may contain any conductive metal such as aluminum, copper, tungsten, or combinations thereof, for example, and may form part of an interconnect feature such as a plug, via, contact, line, wire, and may also be part of a metal gate electrode.
  • Figure 2C shows a seed layer 140 at least partially deposited on the barrier layer 130
  • Figure 2D shows a bulk metal layer 142 at least partially deposited on the seed layer 140.
  • the dielectric layer 112 may be any dielectric material including a low k dielectric material (k ⁇ 4.0), whether presently known or yet to be discovered.
  • the dielectric layer 112 may be a silicon oxide or a carbon doped silicon oxide, for example.
  • the dielectric layer 112 has been etched to form a feature 114 therein using conventional and well-known techniques.
  • the feature 114 may be a plug, via, contact, line, wire, or any other interconnect component.
  • the feature 114 has vertical sidewalls 116 and a floor 118, having an aspect ratio of about 4:1 or greater, such as about 6:1.
  • the floor 118 exposes at least a portion of the lower level metal interconnect 110.
  • the barrier layer 130 is conformally deposited on the floor 118 as well as the side walls 116 of the feature 114.
  • the barrier layer contains tantalum nitride deposited to a thickness of about 2 ⁇ A or less, preferably about 10 A, by providing one or more pulses of a tantalum-containing compound at a flow rate between about 100 seem and about 1,000 seem for a time period of about 1.0 second or less and one or more pulses of a nitrogen-containing compound at a flow rate between about 100 seem and about 1,000 seem for a time period of about 1.0 second or less to a reaction zone having a substrate disposed therein.
  • Exemplary tantalum-containing compounds include: t-butylimino tris(diethylamino) tantalum (TBTDET); pentakis (ethylmethylamino); tantalum (PEMAT); pentakis (dimethylamino) tantalum (PDMAT); pentakis (diethylamino) tantalum (PDEAT); t-butylimino tris(diethyl methylamino) tantalum(TBTMET); t- butylimino tris(dimethyl amino) tantalum (TBTDMT); bis(cyclopentadienyl) tantalum trihydride ((Cp) 2 TaH 3 ); bis(methylcyclopentadienyl) tantalum trihydride ((CpMe) 2 TaH 3 ); derivatives thereof; and combinations thereof.
  • TBTDET t-butylimino tris(diethylamino) tantalum
  • PEMAT pentakis (ethy
  • Exemplary nitrogen- containing compounds include: ammonia; hydrazine; methylhydrazine; dimethylhydrazine; f-butylhydrazine; phenylhydrazine; azoisobutane; ethylazide; derivatives thereof; and combinations thereof.
  • these compounds or any other compound not listed above may be a solid, liquid, or gas at room temperature.
  • PDMAT is a solid at room temperature
  • TBTDET is a liquid at room temperature.
  • the non-gas phase precursors are subjected to a sublimation or vaporization step, which are both well known in the art, prior to introduction into the processing chamber.
  • a carrier gas such as argon, helium, nitrogen, hydrogen, or a mixture thereof, may also be used to help deliver the compound into the processing chamber, as is commonly known in the art.
  • Each pulse is performed sequentially, and is accompanied by a separate flow of non-reactive gas at a rate between about 200 seem and about 1 ,000 seem.
  • the separate flow of non-reactive gas may be pulsed between each pulse of the reactive compounds or the separate flow of non-reactive gas may be introduced continuously throughout the deposition process.
  • the separate flow of non-reactive gas serves to remove any excess reactants from the reaction zone to prevent unwanted gas phase reactions of the reactive compounds, and also serves to remove any reaction by-products from the processing chamber, similar to a purge gas.
  • the continuous separate flow of non-reactive gas helps deliver the pulses of reactive compounds to the substrate surface similar to a carrier gas.
  • non-reactive gas refers to a single gas or a mixture of gases that does not participate in the metal layer formation. Exemplary non-reactive gases include argon, helium, nitrogen, hydrogen, and combinations thereof.
  • reaction zone is intended to include any volume that is in fluid communication with a substrate surface being processed.
  • the reaction zone may include any volume within a processing chamber that is between a gas source and the substrate surface.
  • the reaction zone includes any volume downstream of a dosing valve in which a substrate is disposed.
  • the durations for each pulse/dose are variable and may be adjusted to accommodate, for example, the volume capacity of the processing chamber as well as the capabilities of a vacuum system coupled thereto. Additionally, the dose time of a compound may vary according to the flow rate of the compound, the pressure of the compound, the temperature of the compound, the type of dosing valve, the type of control system employed, as well as the ability of the compound to adsorb onto the substrate surface. Dose times may also vary based upon the type of layer being formed and the geometry of the device being formed.
  • the duration for each pulse or "dose time” is typically about 1.0 second or less.
  • a dose time can range from microseconds to milliseconds to seconds, and even to minutes.
  • a dose time should be long enough to provide a volume of compound sufficient to adsorb/chemisorb onto the entire surface of the substrate and form a layer of the compound thereon.
  • FIG. 3 illustrates a schematic, partial cross section of an exemplary processing chamber 200 capable of forming a barrier layer using cyclical layer deposition, atomic layer deposition, digital chemical vapor deposition, and rapid chemical vapor deposition techniques.
  • cyclical layer deposition atomic layer deposition
  • digital chemical vapor deposition and rapid chemical vapor deposition
  • gas phase deposition techniques whereby two or more compounds are sequentially introduced into a reaction zone of a processing chamber to deposit a thin layer of material on a substrate surface.
  • Such a processing chamber 200 is available from Applied Materials, Inc. located in Santa Clara, California, and a brief description thereof follows. A more detailed description may be found in commonly assigned U. S. Patent Application Serial No. 10/032,284, entitled “Gas Delivery Apparatus and Method For Atomic Layer Deposition", filed on December 21 , 2001 , which is incorporated herein by reference.
  • the processing chamber 200 may be integrated into an integrated processing platform, such as an EnduraTM platform also available from Applied Materials, Inc. Details of the EnduraTM platform are described in commonly assigned U. S. Patent Application Serial No. 09/451 ,628, entitled “Integrated Modular Processing Platform", filed on November 30, 1999, which is incorporated by reference herein.
  • the chamber 200 includes a chamber body 202 having a slit valve 208 formed in a sidewall 204 thereof and a substrate support 212 disposed therein.
  • the substrate support 212 is mounted to a lift motor 214 to raise and lower the substrate support 212 and a substrate 210 disposed thereon.
  • the substrate support 212 may also include a vacuum chuck, an electrostatic chuck, or a clamp ring for securing the substrate 212 to the substrate support 212 during processing.
  • the substrate support 212 may be heated using an embedded heating element, such as a resistive heater, or may be heated using radiant heat, such as heating lamps disposed above the substrate support 212.
  • a purge ring 222 may be disposed on the substrate support 212 to define a purge channel 224 that provides a purge gas to prevent deposition on a peripheral portion of the substrate 210.
  • a gas delivery apparatus 230 is disposed at an upper portion of the chamber body 202 to provide a gas, such as a process gas and/or a purge gas, to the chamber 200.
  • a vacuum system 278 is in communication with a pumping channel 279 to evacuate gases from the chamber 200 and to help maintain a desired pressure or a desired pressure range inside a pumping zone 266 of the chamber 200.
  • the gas delivery apparatus 230 includes a chamber lid 232 having an expanding channel 234 formed within a central portion thereof.
  • the chamber lid 232 also includes a bottom surface 260 extending from the expanding channel 234 to a peripheral portion of the chamber lid 232.
  • the bottom surface 260 is sized and shaped to substantially cover the substrate 210 disposed on the substrate support 212.
  • the expanding channel 234 has an inner diameter that gradually increases from an upper portion 237 to a lower portion 235 adjacent the bottom surface 260 of the chamber lid 232.
  • the velocity of a gas flowing therethrough decreases as the gas flows through the expanding channel 234 due to the expansion of the gas. The decreased gas velocity reduces the likelihood of blowing off reactants adsorbed on the surface of the substrate 210.
  • the gas delivery apparatus 230 also includes at least two high speed actuating valves 242 having one or more ports. At least one valve 242 is dedicated to each reactive compound. For example, a first valve is dedicated to a refractory metal-containing compound, such as tantalum and titanium, and a second valve is dedicated to a nitrogen-containing compound. When a ternary material is desired, a third valve is dedicated to an additional compound. For example, if a suicide is desired, the additional compound may be a silicon-containing compound.
  • the valves 242 may be any valve capable of precisely and repeatedly delivering short pulses of compounds into the chamber body 202. In some cases, the on/off cycles or pulses of the valves 242 may be as fast as about 100 msec or less.
  • the valves 242 can be directly controlled by a system computer, such as a mainframe for example, or controlled by a chamber/application specific controller, such as a programmable logic computer (PLC) which is described in more detail in the co-pending U.S. Patent Application Serial No. 09/800,881 , entitled "Valve Control System For ALD Chamber", filed on March 7, 2001 , which is incorporated by reference herein.
  • the valves 242 may be electronically controlled (EC) valves, which are commercially available from Fujikin of Japan as part number FR- 21-6.35 UGF—APD.
  • EC electronically controlled
  • the integrated processing system may include a controller 140 comprising a central processing unit (CPU) 142, memory 144, and support circuits 146.
  • the CPU 142 may be one of any form of computer processors that are used in industrial settings for controlling various drives and pressures.
  • the memory 144 is connected to the CPU 142, and may be one or more of a readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
  • Software instructions and data can be coded and stored within the memory 144 for instructing the CPU 142.
  • the support circuits 146 are also connected to the CPU 142 for supporting the processor 142 in a conventional manner.
  • the support circuits 146 may include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
  • a TaN barrier layer is formed by cyclically introducing PDMAT and ammonia to the substrate surface.
  • a carrier/inert gas such as argon is introduced into the processing chamber 200 to stabilize the pressure and temperature therein.
  • the carrier gas is allowed to flow continuously during the deposition process such that only the argon flows between pulses of each compound.
  • a first pulse of PDMAT is provided from the gas source 238 at a flow rate between about between about 100 seem and about 400 seem, with a pulse time of about 0.6 seconds or less after the chamber temperature and pressure have been stabilized at about 200°C to about 300°C and about 1 Torr to about 5 Torr.
  • a pulse of ammonia is then provided from the gas source 239 at a flow rate between about 200 seem and about 600 seem, with a pulse time of about 0.6 seconds or less.
  • a pause between pulses of PDMAT and ammonia is about 1.0 second or less, preferably about 0.5 seconds or less, more preferably about 0.1 seconds or less. In various aspects, a reduction in time between pulses at least provides higher throughput. As a result, a pause after the pulse of ammonia is also about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less.
  • Argon gas flowing between about 100 seem and about 1000 seem, such as between about 100 seem and about 400 seem, is continuously provided from the gas source 240 through each valve 242.
  • a pulse of PDMAT may still be in the chamber when a pulse of ammonia enters. In general, the duration of the carrier gas and/or pump evacuation should be long enough to prevent the pulses of PDMAT and ammonia from mixing together in the reaction zone.
  • the heater temperature is maintained between about 100°C and about 300°C at a chamber pressure between about 1.0 and about 5.0 Torr.
  • the deposition temperature is between about 200°C and about 250°C.
  • Each cycle consisting of a pulse of PDMAT, pause, pulse of ammonia, and pause provides a tantalum nitride layer having a thickness between about 0.3 A and about 1.0 A per cycle.
  • the alternating sequence may be repeated until the desired thickness is achieved, which is less than about 20 A, such as about 10 A. Accordingly, the deposition method requires between 10 and 70 cycles, more typically between 20 and 30 cycles.
  • a ternary barrier layer having a thickness less than about 2 ⁇ A, such as 10A is deposited by providing one or more pulses of a refractory metal-containing compound, one or more pulses of a nitrogen-containing compound, and one or more pulses of a silicon-containing compound. Each pulse is adjusted to provide a desirable composition, silicon incorporation level, thickness, density, and step coverage of the refractory metal silicon nitride layer.
  • a "ternary barrier layer” as used herein refers to a material having a composition comprising three major elements, such as titanium, nitrogen and silicon.
  • An exemplary “ternary barrier layer” may also include tantalum, nitrogen and silicon.
  • Each pulse is performed sequentially, and is accompanied by a separate flow of carrier/inert gas at the same process conditions described above.
  • the separate flow of carrier/inert gas may be pulsed between each pulse of reactive compound or the separate flow of carrier/inert gas may be introduced continuously throughout the deposition process.
  • the ternary barrier layer contains titanium silicon nitride.
  • each cycle consists of a pulse of a titanium-containing compound, a pause, a pulse of a silicon-containing compound, a pause, a pulse of a nitrogen- containing compound, and a pause.
  • Exemplary titanium-containing compound include tetrakis (dimethylamino) titanium (TDMAT), tetrakis (ethylmethylamino) titanium (TEMAT), tetrakis (diethylamino) titanium (TDEAT), titanium tetrachloride (TiCI 4 ), titanium iodide (Til ), titanium bromide (TiBr 4 ), and other titanium halides.
  • Exemplary silicon-containing compounds include silane, disilane, methylsilane, dimethylsilane, chlorosilane (SiH 3 CI), dichlorosilane (SiH 2 CI 2 ), and trichlorosilane (SiHCI 3 ).
  • Exemplary nitrogen-containing compounds include: ammonia; hydrazine; methylhydrazine; dimethylhydrazine; f-butylhydrazine; phenylhydrazine; azoisobutane; ethylazide; derivatives thereof; and combinations thereof.
  • argon is introduced into the processing chamber 200 to stabilize the pressure and temperature therein.
  • This separate flow of argon flows continuously during the deposition process such that only the argon flows between pulses of each compound.
  • the separate flow of argon flows between about 100 seem and about 1000 seem, such as between about 100 seem and about 400 seem.
  • a pulse of TDMAT is provided at a flow rate between about between about 10 seem and about 1000 seem, with a pulse time of about 0.6 seconds or less after the chamber pressure and temperature have been stabilized at about 250°C and 2 Torr.
  • a pulse of silane is then provided at a flow rate between about 5 seem and about 500 seem, with a pulse time of 1 second or less.
  • a pulse of ammonia is then provided at a flow rate between about 100 seem and about 5,000 seem, with a pulse time of about 0.6 seconds or less.
  • a pause between pulses of TDMAT and silane is about 1.0 second or less, preferably about 0.5 seconds or less, more preferably about 0.1 seconds or less.
  • a pause between pulses of silane and ammonia is about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less.
  • a pause after the pulse of ammonia is also about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less.
  • a pulse of TDMAT may still be in the chamber when a pulse of silane enters, and a pulse of silane may still be in the chamber when a pulse of ammonia enters.
  • the heater temperature is maintained between about 100°C and about 300°C at a chamber pressure between about 1.0 and about 5.0 Torr.
  • Each cycle consisting of a pulse of TDMAT, pause, pulse of silane, pause, pulse of ammonia, and pause provides a titanium silicon nitride layer having a thickness between about 0.3 A and about 1.0 A per cycle.
  • the alternating sequence may be repeated until the desired thickness is achieved, which is less than about 20 A, such as about 10 A. Accordingly, the deposition method requires between 10 and 70 cycles.
  • an alpha phase tantalum ( ⁇ -Ta) layer having a thickness of about 20A or less, such as about 1 ⁇ A may be deposited over at least a portion of the previously deposited binary (TaN) or ternary (TiSiN) layers.
  • the -Ta layer may be deposited using conventional techniques, such as PVD and CVD for example, to form a bilayer stack.
  • the bilayer stack may include a TaN portion deposited by cyclical layer deposition described above and an ⁇ -Ta portion deposited by high density plasma physical vapor deposition (HDP-PVD).
  • An alpha phase tantalum is preferred due to its lower resistance compared to a beta phase tantalum.
  • the ⁇ -Ta portion of the stack may be deposited using an ionized metal plasma (IMP) chamber, such as a VectraTM chamber, available from Applied Materials, Inc. of Santa Clara, California.
  • IMP ionized metal plasma
  • the IMP chamber includes a target, coil, and biased substrate support member, and may also be integrated into an EnduraTM platform, also available from Applied Materials, Inc.
  • a power between about 0.5 kW and about 5 kW is applied to the target, and a power between about 0.5 kW and 3kW is applied to the coil.
  • a power between about 200 W and about 500 W at a frequency of about 13.56 MHz is also applied to the substrate support member to bias the substrate.
  • Argon is flowed into the chamber at a rate of about 35 seem to about 85 seem, and nitrogen may be added to the chamber at a rate of about 5 seem to about 100 seem.
  • the pressure of the chamber is typically between about 5 mTorr to about 100 mTorr, while the temperature of the chamber is between about 20°C and about 300°C.
  • the barrier layer films described above may benefit from a post deposition treatment process, such as a plasma treatment process or a chemical treatment process, for example.
  • a plasma treatment process can decrease resistance and improve yield.
  • a typical plasma treatment may include an argon plasma, a nitrogen plasma, or a nitrogen and hydrogen plasma.
  • the plasma treatment may be performed in the same deposition chamber in which the barrier layer deposition occurs or in a different chamber. If the plasma treatment occurs in the same chamber, the plasma can be an in situ plasma or a plasma delivered from a remote plasma source, such as a remote inductively coupled source or a microwave source.
  • a plasma treatment of a tantalum nitride film reduces the nitrogen content of one or more sublayers by sputtering off nitrogen, which in turn reduces resistivity.
  • a plasma treatment is believed to make a tantalum-nitride layer more tantalum-rich as compared to a non-plasma treated tantalum-nitride layer.
  • a 1 :1 Ta:N film may be converted to a 2:1 Ta:N film using a plasma treatment process. Tantalum nitride films having a sheet resistance of approximately equal to or less than 1200 micro-ohms-cm for 0.004 micron (40 Angstrom) films may be achieved.
  • non-chemically reactive gases may be used for physically displacing nitrogen from the barrier layer, such as neon (Ne), xenon (Xe), helium (He), and hydrogen (H 2 ), for example.
  • nitrogen such as neon (Ne), xenon (Xe), helium (He), and hydrogen (H 2 )
  • a chemically reactive process may be used where a particular gas preferentially reacts for removal of N while leaving Ta.
  • a chemical treatment process can also decrease resistance and improve yield.
  • a typical chemical treatment may include exposure to aluminum compounds or silicon compounds. These compounds can include, but are not limited to, DMAH, TMA, silane, dimethylsilane, trimethylsilane and other organosilane compounds.
  • a chemical treatment is typically operated at a pressure between about/Torr and about 10 Torr at a temperature between about 200°C and about 400°C. Following a chemical treatment, it has been observed that a tantalum nitride film deposited according to the methods described above shows an improvement in dewetting compared with no chemical treatment.
  • the post deposition treatment processes may be performed after the formation of the barrier layer. Alternatively, the treatments may be performed between deposition of each monolayer or between deposition of each cycle. For example, a treatment process may take place after approximately every 0.003 to 0.005 microns (30 to 50 Angstroms) of layer or after approximately every 7 to 10 cycles.
  • the patterned or etched substrate dielectric layer 112 may be cleaned to remove native oxides or other contaminants from the surface thereof prior to depositing the barrier layer 130.
  • reactive gases are excited into a plasma within a remote plasma source chamber such as a Reactive Pre-clean chamber available from Applied Materials, Inc., located in Santa Clara, California.
  • Pre-cleaning may also be done within a metal CVD or PVD chamber by connecting the remote plasma source thereto.
  • metal deposition chambers having gas delivery systems could be modified to deliver the pre-cleaning gas plasma through existing gas inlets such as a gas distribution showerhead positioned above the substrate.
  • the reactive pre-clean process forms radicals from a plasma of one or more reactive gases such as argon, helium, hydrogen, nitrogen, fluorine-containing compounds, and combinations thereof.
  • a reactive gas may include a mixture of tetrafluorocarbon (CF ) and oxygen (O 2 ), or a mixture of helium (He) and nitrogen trifluoride (NF 3 ). More preferably, the reactive gas is a mixture of helium and nitrogen trifluoride.
  • the chamber pressure is increased to about 140 mTorr, and a processing gas consisting essentially of hydrogen and helium is introduced into the processing region.
  • the processing gas comprises about 5% hydrogen and about 95% helium.
  • the hydrogen plasma is generated by applying between about 50 watts and about 500 watts power. The hydrogen plasma is maintained for about 10 seconds to about 300 seconds.
  • the seed layer 140 may be deposited using high density plasma physical vapor deposition (HDP-PVD) to enable good conformal coverage.
  • HDP-PVD high density plasma physical vapor deposition
  • One example of a HDP-PVD chamber is the Self-Ionized Plasma SIPTM chamber, available from Applied Materials, Inc. of Santa Clara, California, which may be integrated into an EnduraTM platform, available from Applied Materials, Inc.
  • other techniques such as physical vapor deposition, chemical vapor deposition, electroless plating, and electroplating, may be used.
  • a typical SIPTM chamber includes a target, coil, and biased substrate support member.
  • a power between about 0.5 kW and about 5 kW is applied to the target, and a power between about 0.5 kW and 3kW is applied to the coil.
  • a power between about 200 and about 500 W at a frequency of about 13.56 MHz is applied to bias the substrate.
  • Argon is flowed into the chamber at a rate of about 35 seem to about 85 seem, and nitrogen may be added to the chamber at a rate of about 5 seem to about 100 seem.
  • the pressure of the chamber is typically between about 5 mTorr to about 100 mTorr.
  • a seed layer 140 containing a copper alloy may be deposited by any suitable technique such as physical vapor deposition, chemical vapor deposition, electroless deposition, or a combination of techniques.
  • the copper alloy seed layer 140 contains aluminum and is deposited using a PVD technique described above.
  • the process chamber is maintained at a pressure between about 0.1 mtorr and about 10 mtorr.
  • the target includes copper and between about 2 and about 10 atomic weight percent of aluminum.
  • the target may be DC-biased at a power between about 5 kW and about 100 kW.
  • the pedestal may be RF-biased at a power between about 10 W and about 1000 W.
  • the copper alloy seed layer 140 is deposited to a thickness of at least about 5 A, and between about 5A and about 50 ⁇ A.
  • the metal layer 142 may be formed, using chemical vapor deposition (CVD), physical vapor deposition (PVD), electroplating, or a combination thereof.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • electroplating or a combination thereof.
  • an aluminum (Al) layer may be deposited from a reaction of a gas mixture containing dimethyl aluminum hydride (DMAH) and hydrogen (H 2 ) or argon (Ar) or other DMAH containing mixtures
  • a CVD copper layer may be deposited from a gas mixture containing Cu +2 (hfac) 2 (copper hexafluoro acetylacetonate), Cu +2 (fod) 2 (copper heptafluoro dimethyl octanediene), Cu +1 hfac TMVS (copper hexafluoro acetylacetonate trimethylvinylsilane), or combinations thereof
  • the metal layer 142 may be a refractory metal compound including but not limited to titanium (Ti), tungsten (W), tantalum (Ta), zirconium (Zr), hafnium (Hf), molybdenum (Mo), niobium (Nb), vanadium (V), and chromium (Cr), among others.
  • a refractory metal is combined with reactive species, such as for example chlorine (Cl) or fluorine (F), and is provided with another gas to form a refractory metal compound.
  • titanium tetrachloride (TiCI 4 ), tungsten hexafluoride (VIF_), tantalum pentachloride (TaCIs), zirconium tetrachloride (ZrCI 4 ), hafnium tetrachloride (HfCI ), molybdenum pentachloride (M0CI 5 ), niobium pentachloride (NbCl 5 ), vanadium pentachloride (VCI 5 ), or chromium tetrachloride (CrCI 4 ) may be used as a refractory metal-containing compound gas.
  • the metal layer 142 is copper and is formed within an electroplating cell, such as the ElectraTM Cu ECP system, available from Applied Materials, Inc., of Santa Clara, California.
  • the ElectraTM Cu ECP system may also be integrated into an EnduraTM platform also available from Applied Materials, Inc.
  • a copper electrolyte solution and copper electroplating technique is described in commonly assigned U.S. Patent No. 6,113,771 , entitled “Electro- deposition Chemistry", which is incorporated by reference herein.
  • the electroplating bath has a copper concentration greater than about 0.7M, a copper sulfate concentration of about 0.85, and a pH of about 1.75.
  • the electroplating bath may also contain various additives as is well known in the art.
  • the temperature of the bath is between about 15°C and about 25°C.
  • the bias is between about -15 volts to about 15 volts. In one aspect, the positive bias ranges from about 0.1 volts to about 10 volts and the negatives bias ranges from about -0.1 to about -10 volts.
  • a thermal anneal process may be performed following the metal layer 142 deposition whereby the wafer is subjected to a temperature between about 100°C and about 400°C for about 10 minutes to about 1 hour, preferably about 30 minutes.
  • a carrier/purge gas such as helium, hydrogen, nitrogen, or a mixture thereof is introduced at a rate of about 100 seem to about 10,000 seem.
  • the chamber pressure is maintained between about 2 Torr and about 10 Torr.
  • the RF power is about 200 W to about 1 ,000 W at a frequency of about 13.56 MHz, and the preferable substrate spacing is between about 300 mils and about 800 mils.
  • the top portion of the resulting structure may be planarized.
  • a chemical mechanical polishing (CMP) apparatus may be used, such as the MirraTM System available from Applied Materials, Santa Clara, California, for example.
  • the intermediate surfaces of the structure may be planarized between the deposition of the subsequent layers described above.
  • FIG 4 is a schematic top-view diagram of an exemplary multi-chamber processing system 600 that may be adapted to perform the deposition sequence disclosed above.
  • a processing system 600 may be an EnduraTM system, commercially available from Applied Materials, Inc., of Santa Clara, California.
  • EnduraTM system commercially available from Applied Materials, Inc., of Santa Clara, California.
  • a similar multi-chamber processing system is disclosed in U.S. Patent No. 5,186,718, entitled “Stage Vacuum Wafer Processing System and Method," issued on February 16, 1993, which is incorporated by reference herein.
  • the system 600 generally includes load lock chambers 602, 604 for the transfer of substrates into and out from the system 600.
  • the load lock chambers 602, 604 may "pump down" the substrates introduced into the system 600.
  • a first robot 610 may transfer the substrates between the load lock chambers 602, 604, and a first set of one or more substrate processing chambers 612, 614, 616, 618 (four are shown).
  • Each processing chamber 612, 614, 616, 618 can be outfitted to perform a number of substrate processing operations such as cyclical layer deposition, chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, orientation and other substrate processes.
  • the first robot 610 also transfers substrates to/from one or more transfer chambers 622, 624.
  • the transfer chambers 622, 624 are used to maintain ultrahigh vacuum conditions while allowing substrates to be transferred within the system 600.
  • a second robot 630 may transfer the substrates between the. transfer chambers 622, 624 and a second set of one or more processing chambers 632, 634, 636, 638. Similar to processing chambers 612, 614, 616, 618, the processing chambers 632, 634, 636, 638 can be outfitted to perform a variety of substrate processing operations, such as cyclical layer deposition, chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, and orientation, for example. Any of the substrate processing chambers 612, 614, 616, 618, 632, 634, 636, 638 may be removed from the system 600 if not necessary for a particular process to be performed by the system 600.
  • each processing chamber 632 and 638 may be a physical vapor deposition chamber, a chemical vapor deposition chamber, or a cyclical deposition chamber adapted to deposit a seed layer; each processing chamber 634 and 636 may be a cyclical deposition chamber, a chemical vapor deposition chamber, or a physical vapor deposition chamber adapted to deposit a barrier layer; each processing chamber 612 and 614 may be a physical vapor deposition chamber, a chemical vapor deposition chamber, or a cyclical deposition chamber adapted to deposit a dielectric layer; and each processing chamber 616 and 618 may be an etch chamber outfitted to etch apertures or openings for interconnect features.
  • This one particular arrangement of the system 600 is provided to illustrate the invention and should not be used to limit the scope of the invention.
  • a refractory metal nitride layer having a thickness greater than about 20 angstroms will terminate the growth pattern of the lower level metal interconnect.
  • a refractory metal nitride layer having a thickness of about 20 angstroms or more will establish a distinct growth pattern of its own, which would be initially adopted by the higher interconnect until the higher interconnect reaches a particular thickness and establishes its own pattern, thereby forming a different crystal structure. This phenomenon occurs because a growth pattern of a subsequently deposited layer typically resembles a growth pattern of an underlying layer during its initial stages of deposition, but the subsequent layer then takes on its own, inherent pattern once the subsequent layer reaches a particular thickness.
  • Tantalum nitride for example, has a natural inclination to form an amorphous structure at about 20 angstroms or more. Below about 20 angstroms, TaN resembles the growth pattern of its underlying layer. Therefore, a subsequent copper interconnect layer was surprisingly grown across a barrier layer deposited according to the methods of the present invention exhibiting a similar growth pattern as the underlying copper interconnect. In other words, a 20 angstroms or less TaN barrier layer enables good grain growth of copper such that copper grains can extend growth across the TaN barrier layer, or simply stated, copper exhibits epitaxial growth on the tantalum nitride barrier layer.
  • Figure 5 is a transmission electron microscope (TEM) image of a feature 300 having a titanium nitride barrier layer 310 deposited therein according to the deposition techniques described above.
  • the feature 300 had an aspect ratio of 5:1 and was formed on a 200 mm wafer.
  • the barrier layer 310 consisted of tantalum nitride and was deposited at 250°C and 2 Torr. Each cycle lasted about 2 seconds and 30 cycles were performed.
  • the tantalum nitride barrier layer 310 had a thickness of about 15 angstroms. As shown, the barrier layer 310 is conformal and shows good step coverage throughout the entire feature 300.
  • FIG. 6 is a TEM image showing a partial cross sectional view of a multilevel, interconnect structure 400.
  • the multi-level, interconnect structure 400 included a lower level copper interconnect 405, a tantalum nitride barrier layer 410, and an upper level copper interconnect 420.
  • the copper grain growth of the lower level copper interconnect 405 extended across the barrier layer 410 into the upper level copper interconnect 420, showing epitaxial growth of the tantalum nitride barrier layer 410.
  • the barrier layer 410 consisted of tantalum nitride and was deposited at 250°C and 2 Torr. Each cycle lasted about 2 seconds and 30 cycles were performed.
  • the barrier layer 410 had a thickness of about 10 angstroms, which was sufficient to inhibit copper migration into the dielectric material.
  • the barrier layers 310, 410 shown and described with reference to Figures 3 and 4 were measured using a TEM instrument. It can be appreciated that a margin of error is present with this kind of measurement technique as well as any other measurement technique for determining a thickness of a deposited layer. Therefore, the thicknesses provided herein are approximate and quantified according to the best available known techniques and are not intended to limit the scope of the present invention.
  • a TaN layer was deposited over a lower level copper layer using cyclical deposition to a thickness of about 2 ⁇ A.
  • a copper alloy seed layer was deposited over the TaN layer by physical vapor deposition to a thickness of about 100 A.
  • the copper alloy seed layer contained aluminum in a concentration of about 2.0 atomic percent, and was deposited by PVD using a copper-aluminum target consisting of aluminum in a concentration of about 2.0 atomic percent.
  • a bulk copper layer was then deposited using ECP to fill the feature.
  • the substrate was then thermally annealed at a temperature of about 380°C for about 15 minutes in a nitrogen (N2) and hydrogen (H2) ambient.
  • the overall feature resistance was significantly reduced and the upper level copper layer surprisingly exhibited a grain growth similar to that of the lower level copper layer.
  • the barrier performance of the TaN layer exhibited longer time to failure (TTF) compared with 50 A PVD Ta. Further, the TaN layer showed low contact resistance and a tight spread distribution.
  • the TaN layer also exhibited excellent topography including a smooth morphology and pinhole free surface.
  • the TaN film deposited according to the PDMAT and ammonia process described herein demonstrated exceptional film uniformity.
  • the film thickness was linearly proportional to the number of deposition cycles, allowing accurate thickness control. Thickness uniformity was found to be 1.8 percent for a 10 angstrom film and 2.1 percent for a 100 angstrom film on a 200mm substrate.
  • the deposited films exhibited exceptionally conformal coverage, approaching 100 percent in at least some results.
  • the copper alloy seed layer showed excellent adhesion/wetting to the TaN layer.
  • the (PVD) copper seed layer exhibited a preferred ⁇ 111 ⁇ orientation on the deposited barrier layer.
  • the crystal orientation of ⁇ 111 ⁇ is preferred because this orientation provides large grain sizes and exhibits good electromigration resistance as a result of the larger grain sizes.

Abstract

A method for forming a metal interconnect on a substrate is provided. In one aspect, the method comprises depositing a refractory metal containing barrier layer having a thickness of less than 2nm so as to exhibit a crystalline like structure and is being sufficient to inhibit atomic migration on at least a portion of a metal layer by alternately introducing one or more pulses of a metal-containing compound and one or more pulses of a nitrogen-containing compound; depositing a seed layer on at least a portion of the barrier layer; and depositing a second metal layer on at least a portion of the seed layer.

Description

INTEGRATION OF ALD TANTALUM NITRIDE AND ALPHA-PHASE TANTALUM FOR COPPER METALLIZATION APPLICATION
BACKGROUND OF THE INVENTION
Field of the Invention
[0001] Embodiments of the present invention relate to a method for manufacturing integrated circuit devices. More particularly, embodiments of the invention relate to forming metal interconnect structures using one or more cyclical deposition processes.
Description of the Related Art
[0002] As the structure size of integrated circuit (IC) devices is scaled down to sub-quarter micron dimensions, electrical resistance and current densities have become an area for concern and improvement. Multilevel interconnect technology provides the conductive paths throughout an IC device, formed in high aspect ratio features, including contacts, plugs, vias, lines, wires, and other features. A typical process for forming an interconnect on a substrate includes depositing one or more layers, etching at least one of the layer(s) to form one or more features, depositing a barrier layer in the feature(s) and depositing one or more layers to fill the feature. Typically, a feature is formed within a dielectric material disposed between a lower conductive layer and an upper conductive layer. The interconnect is formed within the feature to link the upper and lower conductive layers. Reliable formation of these interconnect features is important to the production of the circuits and to continued effort to increase circuit density and quality on individual substrates and die.
[0003] Copper has recently become a choice metal for filling sub-micron high aspect ratio interconnect features because copper and its alloys have lower resistivities than aluminum. However, copper diffuses more readily into surrounding materials and can alter the electronic device characteristics of the adjacent layers and, for example, form a conductive path between layers, thereby reducing the reliability of the overall circuit and possibly resulting in device failure.
[0004] Barrier layers therefore, are deposited prior to copper metallization to prevent or impede the diffusion of copper atoms. Barrier layers typically contain a refractory metal such as tungsten, titanium, tantalum, and nitrides thereof, all of which have a greater resistivity than copper. To deposit a barrier layer within a feature, the barrier layer must be deposited on the bottom of the feature as well as the sidewalls thereof. Therefore, the additional amount of the barrier layer on the bottom of the feature not only increases the overall resistance of the feature, but also forms an obstruction between higher and lower metal interconnects of a multi- layered interconnect structure.
[0005] There is a need, therefore, for an improved method for forming metal interconnect structures which minimizes the electrical resistance of the interconnect.
SUMMARY OF THE INVENTION
[0006] A method for forming a metal interconnect on a substrate is provided. In one aspect, the method comprises depositing a refractory metal containing barrier layer having a thickness that exhibits a crystalline like structure and is sufficient to inhibit atomic migration on at least a portion of a metal layer. The interconnect is produced by alternately introducing one or more pulses of a metal-containing compound and one or more pulses of a nitrogen-containing compound, depositing a seed layer on at least a portion of the barrier layer, and depositing a second metal layer on at least a portion of the seed layer.
[0007] In another aspect, the method comprises depositing a first metal layer on a substrate surface; depositing a titanium silicon nitride layer having a thickness less than about 20 angstroms over at least a portion of the first metal layer by alternately introducing one or more pulses of a titanium-containing compound, one or more pulses of a silicon-containing compound, and one or more pulses of a nitrogen- containing compound; depositing a dual alloy seed layer, and depositing a second metal layer on at least a portion of the dual alloy seed layer.
[0008] In yet another aspect, the method comprises depositing a bilayer barrier having a thickness less than about 20 angstroms on at least a portion of a metal layer, depositing a dual alloy seed layer, and depositing a second metal layer on at least a portion of the dual alloy seed layer. The bilayer barrier comprises a first layer of tantalum nitride deposited by alternately introducing one or more pulses of a tantalum-containing compound and one or more pulses of a nitrogen-containing compound and a second layer of alpha phase tantalum;
[0009] In still yet another aspect, the method includes depositing a first metal layer on a substrate surface; depositing a tantalum nitride barrier layer having a thickness less than about 20 angstroms on at least a portion of the first metal layer by alternately introducing one or more pulses of a tantalum-containing compound and one or more pulses of a nitrogen-containing compound depositing a dual alloy seed layer comprising copper and a metal selected from the group consisting of aluminum, magnesium, titanium, zirconium, tin, and combinations thereof and depositing a second metal layer on at least a portion of the dual alloy seed layer.
BRIEF DESCRIPTION OF THE DRAWINGS
[0010] So that the manner in which the above recited features of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0011] Figure 1 illustrates processing sequences according to various embodiments of the invention described herein.
[0012] Figures 2A-2D are schematic cross section views of an exemplary wafer at different stages of an interconnect fabrication sequence according to embodiments described herein.
[0013] Figure 3 illustrates a schematic, partial cross section of an exemplary processing chamber 200 for forming a thin barrier layer according to a cyclical deposition technique described herein.
[0014] Figure 4 illustrates a schematic plan view of an exemplary integrated cluster tool adaptable to perform the interconnect fabrication sequence described herein.
[0015] Figure 5 is a transmission electron microscope (TEM) image of a feature having a titanium nitride barrier layer deposited therein according to the deposition techniques described herein.
[0016] Figure 6 is a TEM image showing a partial cross sectional view of a multilevel, interconnect structure.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
[0017] A process sequence for forming one or more interconnect structures is provided. Interconnect structures formed according to embodiments described herein have an overall lower resistivity and better electrical properties than interconnects of the prior art, and are particularly useful for making memory and logic structures for use with the fabrication of integrated circuits. The formation of the interconnect structures includes the formation of a thin barrier layer at least partially deposited on an underlying metal layer, a seed layer at least partially deposited on the barrier layer, and a bulk metal layer at least partially deposited on the seed layer. The term "interconnect" as used herein refers to any conductive path formed within an integrated circuit. The term "bulk metal" as used herein refers to a greater amount of metal deposited in relation to other metals deposited to form the interconnect structure.
[0018] Figure 1 illustrates the process sequence according to embodiments of the invention. A thin barrier layer is first deposited at least partially on an underlying substrate surface, such as a lower level metal interconnect or a metal gate, for example, as shown at step 480. The barrier layer is deposited according to a cyclical layer deposition technique described herein to provide excellent barrier properties and permit the continuous growth of the underlying metal layer across the barrier layer, into an upper level metal interconnect or subsequently deposited metal layer. In one aspect, the barrier layer is a refractory metal-containing layer, such as tantalum, titanium, and tungsten, for example, and may include a refractory metal nitride material, such as tantalum nitride (TaN). In another aspect, the barrier layer is a thin bi-layer of TaN and alpha-phase tantalum. In yet another aspect, the barrier layer may be a ternary material formed from a refractory metal containing compound, a silicon-containing compound and a nitrogen-containing compound. The barrier layer may also act as a wetting layer, adhesion layer, or glue layer for subsequent metallization.
[0019] A "thin layer" as used herein refers to a layer of material deposited on a substrate surface having a thickness of about 20 angstroms (A) or less, such as about 10 A. The thickness of the barrier layer is so thin that electrons of the adjacent metal interconnects can tunnel through the barrier layer. Accordingly, the barrier layer significantly enhances the metal interconnect electrical performance by lowering the overall electrical resistance and providing good device reliability.
[0020] The thin barrier layer deposited according to the cyclical deposition methods described herein shows evidence of an epitaxial growth phenomenon. In other words, the barrier layer takes on the same or substantially the same crystallographic characteristics as the underlying layer. As a result, a substantially single crystal is grown such that there is no void formation at an interface between the barrier layer and the underlying layer. Likewise, subsequent metal layers deposited over the barrier layer exhibit the same or substantially the same epitaxial growth characteristics that continue the formation of the single crystal. Accordingly, no void formation is produced at this interface. The resulting structure resembling a single crystal eliminates voids formation, thereby substantially increasing device reliability. The single crystal structure also reduces the overall resistance of the interconnect feature while still providing excellent barrier properties. Furthermore, it is believed that the single crystalline growth reduces the susceptibility of electromigration and stress migration due to the conformal and uniform crystalline orientation across the interconnect material interfaces.
[0021] "Cyclical deposition" as used herein refers to the sequential introduction of two or more reactive compounds to deposit a mono layer of material on a substrate surface. The two or more reactive compounds are alternatively introduced into a reaction zone of a processing chamber. Each reactive compound is separated by a time delay to allow each compound to adhere and/or react on the substrate surface. In one aspect, a first precursor or compound A is pulsed into the reaction zone followed by a first time delay. Next, a second precursor or compound B is pulsed into the reaction zone followed by a second delay. When a ternary material is desired, such as titanium silicon nitride, for example, a third compound (C), is dosed/pulsed into the reaction zone followed by a third time delay. During each time delay an inert gas, such as argon, is introduced into the processing chamber to purge the reaction zone or otherwise remove any residual reactive compound from the reaction zone. Alternatively, the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compounds. The reactive compounds are alternatively pulsed until a desired film or film thickness is formed on the substrate surface.
[0022] A "substrate surface", as used herein, refers to any substrate surface upon which film processing is performed. For example, a substrate surface may include silicon, silicon oxide, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. A substrate surface may also include dielectric materials such as silicon dioxide and carbon doped silicon oxides.
[0023] A "pulse" or "dose" as used herein is intended to refer to a quantity of a particular compound that is intermittently or non-continuously introduced into a reaction zone of a processing chamber. The quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse. The duration of each pulse is variable depending upon a number of factors such as, for example, the volume capacity of the process chamber employed, the vacuum system coupled thereto, and the volatility/reactivity of the particular compound itself.
[0024] The term "compound" is intended to include one or more precursors, oxidants, reductants, reactants, and catalysts, or a combination thereof. The term "compound" is also intended to include a grouping of compounds, such as when two or more compounds are introduced in a processing system at the same time. For example, a grouping of compounds may include one or more catalysts and one or more precursors. The term "compound" is further intended to include one or more precursors, oxidants, reductants, reactants, and catalysts, or a combination thereof in an activated or otherwise energized state, such as by disassociation or ionization.
[0025] It is believed that the surface attraction used to physisorb, adsorb, absorb, or chemisorb a monolayer of reactants on a substrate surface are self-limiting in that only one monolayer may be deposited onto the substrate surface during a given pulse because the substrate surface has a finite number of sites available for the reactants. Once the finite number of sites is occupied by the reactants, further deposition of the reactants will be blocked. The cycle may be repeated to a desired thickness of the layer.
[0026] Still referring to Figure 1 , a seed layer is at least partially deposited on the barrier layer, as shown at step 485. The seed layer may be deposited using any conventional deposition technique, such as chemical vapor deposition (CVD), physical vapor deposition (PVD), electroplating, or electroless plating. Preferably, the seed layer is deposited conformally on the underlying barrier layer to have a thickness between about 10θA and about 50θA. In one aspect, the seed layer is a conventional copper seed layer. In another aspect, the seed layer is a dual alloy seed layer. Exemplary dual alloy seed layers include: 1 ) undoped copper deposited utilizing a target containing undoped copper, 2) a copper alloy containing aluminum in a concentration of about 2.0 atomic percent deposited utilizing a copper-aluminum target comprising aluminum in a concentration of about 2.0 atomic percent, 3) a copper alloy containing tin in a concentration of about 2.0 atomic percent deposited utilizing a copper-tin target comprising tin in a concentration of about 2.0 atomic percent, and 4) a copper alloy containing zirconium in a concentration of about 2.0 atomic percent deposited utilizing a copper-zirconium target comprising zirconium in a concentration of about 2.0 atomic percent.
[0027] The bulk metal layer is at least partially deposited on the seed layer, as shown at step 487. The metal layer may also be deposited using any conventional deposition technique, such as chemical vapor deposition (CVD), physical vapor deposition (PVD), electroplating, or electroless plating. The metal layer preferably includes any conductive material such as aluminum, copper, tungsten, or combinations thereof, for example.
[0028] Figures 2A-2D are schematic representations of an exemplary interconnect structure at different stages of fabrication. Figure 2A shows an underlying metal layer 110 having a dielectric layer 112 formed thereon. Figure 2B shows a barrier layer 130 at least partially deposited on the underlying metal layer 110. The underlying metal layer 110 may contain any conductive metal such as aluminum, copper, tungsten, or combinations thereof, for example, and may form part of an interconnect feature such as a plug, via, contact, line, wire, and may also be part of a metal gate electrode. Figure 2C shows a seed layer 140 at least partially deposited on the barrier layer 130, and Figure 2D shows a bulk metal layer 142 at least partially deposited on the seed layer 140.
[0029] Referring to Figure 2A, the dielectric layer 112 may be any dielectric material including a low k dielectric material (k <4.0), whether presently known or yet to be discovered. For example, the dielectric layer 112 may be a silicon oxide or a carbon doped silicon oxide, for example. The dielectric layer 112 has been etched to form a feature 114 therein using conventional and well-known techniques. The feature 114 may be a plug, via, contact, line, wire, or any other interconnect component. Typically, the feature 114 has vertical sidewalls 116 and a floor 118, having an aspect ratio of about 4:1 or greater, such as about 6:1. The floor 118 exposes at least a portion of the lower level metal interconnect 110.
[0030] Referring to Figure 2B, the barrier layer 130 is conformally deposited on the floor 118 as well as the side walls 116 of the feature 114. Preferably, the barrier layer contains tantalum nitride deposited to a thickness of about 2θA or less, preferably about 10 A, by providing one or more pulses of a tantalum-containing compound at a flow rate between about 100 seem and about 1,000 seem for a time period of about 1.0 second or less and one or more pulses of a nitrogen-containing compound at a flow rate between about 100 seem and about 1,000 seem for a time period of about 1.0 second or less to a reaction zone having a substrate disposed therein. Exemplary tantalum-containing compounds include: t-butylimino tris(diethylamino) tantalum (TBTDET); pentakis (ethylmethylamino); tantalum (PEMAT); pentakis (dimethylamino) tantalum (PDMAT); pentakis (diethylamino) tantalum (PDEAT); t-butylimino tris(diethyl methylamino) tantalum(TBTMET); t- butylimino tris(dimethyl amino) tantalum (TBTDMT); bis(cyclopentadienyl) tantalum trihydride ((Cp)2TaH3); bis(methylcyclopentadienyl) tantalum trihydride ((CpMe)2TaH3); derivatives thereof; and combinations thereof. Exemplary nitrogen- containing compounds include: ammonia; hydrazine; methylhydrazine; dimethylhydrazine; f-butylhydrazine; phenylhydrazine; azoisobutane; ethylazide; derivatives thereof; and combinations thereof.
[0031] It is to be understood that these compounds or any other compound not listed above may be a solid, liquid, or gas at room temperature. For example, PDMAT is a solid at room temperature and TBTDET is a liquid at room temperature. Accordingly, the non-gas phase precursors are subjected to a sublimation or vaporization step, which are both well known in the art, prior to introduction into the processing chamber. A carrier gas, such as argon, helium, nitrogen, hydrogen, or a mixture thereof, may also be used to help deliver the compound into the processing chamber, as is commonly known in the art. [0032] Each pulse is performed sequentially, and is accompanied by a separate flow of non-reactive gas at a rate between about 200 seem and about 1 ,000 seem. The separate flow of non-reactive gas may be pulsed between each pulse of the reactive compounds or the separate flow of non-reactive gas may be introduced continuously throughout the deposition process. The separate flow of non-reactive gas, whether pulsed or continuous, serves to remove any excess reactants from the reaction zone to prevent unwanted gas phase reactions of the reactive compounds, and also serves to remove any reaction by-products from the processing chamber, similar to a purge gas. In addition to these services, the continuous separate flow of non-reactive gas helps deliver the pulses of reactive compounds to the substrate surface similar to a carrier gas. The term "non-reactive gas" as used herein refers to a single gas or a mixture of gases that does not participate in the metal layer formation. Exemplary non-reactive gases include argon, helium, nitrogen, hydrogen, and combinations thereof.
[0033] A "reaction zone" is intended to include any volume that is in fluid communication with a substrate surface being processed. The reaction zone may include any volume within a processing chamber that is between a gas source and the substrate surface. For example, the reaction zone includes any volume downstream of a dosing valve in which a substrate is disposed.
[0034] The durations for each pulse/dose are variable and may be adjusted to accommodate, for example, the volume capacity of the processing chamber as well as the capabilities of a vacuum system coupled thereto. Additionally, the dose time of a compound may vary according to the flow rate of the compound, the pressure of the compound, the temperature of the compound, the type of dosing valve, the type of control system employed, as well as the ability of the compound to adsorb onto the substrate surface. Dose times may also vary based upon the type of layer being formed and the geometry of the device being formed.
[0035] Typically, the duration for each pulse or "dose time" is typically about 1.0 second or less. However, a dose time can range from microseconds to milliseconds to seconds, and even to minutes. In general, a dose time should be long enough to provide a volume of compound sufficient to adsorb/chemisorb onto the entire surface of the substrate and form a layer of the compound thereon.
[0036] Figure 3 illustrates a schematic, partial cross section of an exemplary processing chamber 200 capable of forming a barrier layer using cyclical layer deposition, atomic layer deposition, digital chemical vapor deposition, and rapid chemical vapor deposition techniques. The terms "cyclical layer deposition", "atomic layer deposition", "digital chemical vapor deposition", and "rapid chemical vapor deposition" are used interchangeably herein and refer to gas phase deposition techniques whereby two or more compounds are sequentially introduced into a reaction zone of a processing chamber to deposit a thin layer of material on a substrate surface. Such a processing chamber 200 is available from Applied Materials, Inc. located in Santa Clara, California, and a brief description thereof follows. A more detailed description may be found in commonly assigned U. S. Patent Application Serial No. 10/032,284, entitled "Gas Delivery Apparatus and Method For Atomic Layer Deposition", filed on December 21 , 2001 , which is incorporated herein by reference.
[0037] The processing chamber 200 may be integrated into an integrated processing platform, such as an Endura™ platform also available from Applied Materials, Inc. Details of the Endura™ platform are described in commonly assigned U. S. Patent Application Serial No. 09/451 ,628, entitled "Integrated Modular Processing Platform", filed on November 30, 1999, which is incorporated by reference herein.
[0038] Referring to Figure 3, the chamber 200 includes a chamber body 202 having a slit valve 208 formed in a sidewall 204 thereof and a substrate support 212 disposed therein. The substrate support 212 is mounted to a lift motor 214 to raise and lower the substrate support 212 and a substrate 210 disposed thereon. The substrate support 212 may also include a vacuum chuck, an electrostatic chuck, or a clamp ring for securing the substrate 212 to the substrate support 212 during processing. Further, the substrate support 212 may be heated using an embedded heating element, such as a resistive heater, or may be heated using radiant heat, such as heating lamps disposed above the substrate support 212. A purge ring 222 may be disposed on the substrate support 212 to define a purge channel 224 that provides a purge gas to prevent deposition on a peripheral portion of the substrate 210.
[0039] A gas delivery apparatus 230 is disposed at an upper portion of the chamber body 202 to provide a gas, such as a process gas and/or a purge gas, to the chamber 200. A vacuum system 278 is in communication with a pumping channel 279 to evacuate gases from the chamber 200 and to help maintain a desired pressure or a desired pressure range inside a pumping zone 266 of the chamber 200.
[0040] The gas delivery apparatus 230 includes a chamber lid 232 having an expanding channel 234 formed within a central portion thereof. The chamber lid 232 also includes a bottom surface 260 extending from the expanding channel 234 to a peripheral portion of the chamber lid 232. The bottom surface 260 is sized and shaped to substantially cover the substrate 210 disposed on the substrate support 212. The expanding channel 234 has an inner diameter that gradually increases from an upper portion 237 to a lower portion 235 adjacent the bottom surface 260 of the chamber lid 232. The velocity of a gas flowing therethrough decreases as the gas flows through the expanding channel 234 due to the expansion of the gas. The decreased gas velocity reduces the likelihood of blowing off reactants adsorbed on the surface of the substrate 210.
[0041] The gas delivery apparatus 230 also includes at least two high speed actuating valves 242 having one or more ports. At least one valve 242 is dedicated to each reactive compound. For example, a first valve is dedicated to a refractory metal-containing compound, such as tantalum and titanium, and a second valve is dedicated to a nitrogen-containing compound. When a ternary material is desired, a third valve is dedicated to an additional compound. For example, if a suicide is desired, the additional compound may be a silicon-containing compound.
[0042] The valves 242 may be any valve capable of precisely and repeatedly delivering short pulses of compounds into the chamber body 202. In some cases, the on/off cycles or pulses of the valves 242 may be as fast as about 100 msec or less. The valves 242 can be directly controlled by a system computer, such as a mainframe for example, or controlled by a chamber/application specific controller, such as a programmable logic computer (PLC) which is described in more detail in the co-pending U.S. Patent Application Serial No. 09/800,881 , entitled "Valve Control System For ALD Chamber", filed on March 7, 2001 , which is incorporated by reference herein. For example, the valves 242 may be electronically controlled (EC) valves, which are commercially available from Fujikin of Japan as part number FR- 21-6.35 UGF—APD.
[0043] To facilitate the control and automation of the overall system, the integrated processing system may include a controller 140 comprising a central processing unit (CPU) 142, memory 144, and support circuits 146. The CPU 142 may be one of any form of computer processors that are used in industrial settings for controlling various drives and pressures. The memory 144 is connected to the CPU 142, and may be one or more of a readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. Software instructions and data can be coded and stored within the memory 144 for instructing the CPU 142. The support circuits 146 are also connected to the CPU 142 for supporting the processor 142 in a conventional manner. The support circuits 146 may include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
[0044] In a particular embodiment, a TaN barrier layer is formed by cyclically introducing PDMAT and ammonia to the substrate surface. To initiate the cyclical deposition of the TaN layer, a carrier/inert gas such as argon is introduced into the processing chamber 200 to stabilize the pressure and temperature therein. The carrier gas is allowed to flow continuously during the deposition process such that only the argon flows between pulses of each compound. A first pulse of PDMAT is provided from the gas source 238 at a flow rate between about between about 100 seem and about 400 seem, with a pulse time of about 0.6 seconds or less after the chamber temperature and pressure have been stabilized at about 200°C to about 300°C and about 1 Torr to about 5 Torr. A pulse of ammonia is then provided from the gas source 239 at a flow rate between about 200 seem and about 600 seem, with a pulse time of about 0.6 seconds or less.
[0045] A pause between pulses of PDMAT and ammonia is about 1.0 second or less, preferably about 0.5 seconds or less, more preferably about 0.1 seconds or less. In various aspects, a reduction in time between pulses at least provides higher throughput. As a result, a pause after the pulse of ammonia is also about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less. Argon gas flowing between about 100 seem and about 1000 seem, such as between about 100 seem and about 400 seem, is continuously provided from the gas source 240 through each valve 242. In one aspect, a pulse of PDMAT may still be in the chamber when a pulse of ammonia enters. In general, the duration of the carrier gas and/or pump evacuation should be long enough to prevent the pulses of PDMAT and ammonia from mixing together in the reaction zone.
[0046] The heater temperature is maintained between about 100°C and about 300°C at a chamber pressure between about 1.0 and about 5.0 Torr. Preferably, the deposition temperature is between about 200°C and about 250°C. Each cycle consisting of a pulse of PDMAT, pause, pulse of ammonia, and pause provides a tantalum nitride layer having a thickness between about 0.3 A and about 1.0 A per cycle. The alternating sequence may be repeated until the desired thickness is achieved, which is less than about 20 A, such as about 10 A. Accordingly, the deposition method requires between 10 and 70 cycles, more typically between 20 and 30 cycles.
[0047] In another aspect, a ternary barrier layer having a thickness less than about 2θA, such as 10A, is deposited by providing one or more pulses of a refractory metal-containing compound, one or more pulses of a nitrogen-containing compound, and one or more pulses of a silicon-containing compound. Each pulse is adjusted to provide a desirable composition, silicon incorporation level, thickness, density, and step coverage of the refractory metal silicon nitride layer. A "ternary barrier layer" as used herein refers to a material having a composition comprising three major elements, such as titanium, nitrogen and silicon. An exemplary "ternary barrier layer" may also include tantalum, nitrogen and silicon.
[0048] Each pulse is performed sequentially, and is accompanied by a separate flow of carrier/inert gas at the same process conditions described above. The separate flow of carrier/inert gas may be pulsed between each pulse of reactive compound or the separate flow of carrier/inert gas may be introduced continuously throughout the deposition process.
[0049] Preferably, the ternary barrier layer contains titanium silicon nitride. In this embodiment, each cycle consists of a pulse of a titanium-containing compound, a pause, a pulse of a silicon-containing compound, a pause, a pulse of a nitrogen- containing compound, and a pause. Exemplary titanium-containing compound include tetrakis (dimethylamino) titanium (TDMAT), tetrakis (ethylmethylamino) titanium (TEMAT), tetrakis (diethylamino) titanium (TDEAT), titanium tetrachloride (TiCI4), titanium iodide (Til ), titanium bromide (TiBr4), and other titanium halides. Exemplary silicon-containing compounds include silane, disilane, methylsilane, dimethylsilane, chlorosilane (SiH3CI), dichlorosilane (SiH2CI2), and trichlorosilane (SiHCI3). Exemplary nitrogen-containing compounds include: ammonia; hydrazine; methylhydrazine; dimethylhydrazine; f-butylhydrazine; phenylhydrazine; azoisobutane; ethylazide; derivatives thereof; and combinations thereof.
[0050] To initiate the cyclical deposition of a TixSiyN layer, argon is introduced into the processing chamber 200 to stabilize the pressure and temperature therein. This separate flow of argon flows continuously during the deposition process such that only the argon flows between pulses of each compound. The separate flow of argon flows between about 100 seem and about 1000 seem, such as between about 100 seem and about 400 seem. In one aspect, a pulse of TDMAT is provided at a flow rate between about between about 10 seem and about 1000 seem, with a pulse time of about 0.6 seconds or less after the chamber pressure and temperature have been stabilized at about 250°C and 2 Torr. A pulse of silane is then provided at a flow rate between about 5 seem and about 500 seem, with a pulse time of 1 second or less. A pulse of ammonia is then provided at a flow rate between about 100 seem and about 5,000 seem, with a pulse time of about 0.6 seconds or less.
[0051] A pause between pulses of TDMAT and silane is about 1.0 second or less, preferably about 0.5 seconds or less, more preferably about 0.1 seconds or less. A pause between pulses of silane and ammonia is about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less. A pause after the pulse of ammonia is also about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less. In one aspect, a pulse of TDMAT may still be in the chamber when a pulse of silane enters, and a pulse of silane may still be in the chamber when a pulse of ammonia enters.
[0052] The heater temperature is maintained between about 100°C and about 300°C at a chamber pressure between about 1.0 and about 5.0 Torr. Each cycle consisting of a pulse of TDMAT, pause, pulse of silane, pause, pulse of ammonia, and pause provides a titanium silicon nitride layer having a thickness between about 0.3 A and about 1.0 A per cycle. The alternating sequence may be repeated until the desired thickness is achieved, which is less than about 20 A, such as about 10 A. Accordingly, the deposition method requires between 10 and 70 cycles.
[0053] In yet another aspect, an alpha phase tantalum (σ-Ta) layer having a thickness of about 20A or less, such as about 1θA, may be deposited over at least a portion of the previously deposited binary (TaN) or ternary (TiSiN) layers. The -Ta layer may be deposited using conventional techniques, such as PVD and CVD for example, to form a bilayer stack. For example, the bilayer stack may include a TaN portion deposited by cyclical layer deposition described above and an σ-Ta portion deposited by high density plasma physical vapor deposition (HDP-PVD). An alpha phase tantalum is preferred due to its lower resistance compared to a beta phase tantalum.
[0054] To further illustrate, the σ-Ta portion of the stack may be deposited using an ionized metal plasma (IMP) chamber, such as a Vectra™ chamber, available from Applied Materials, Inc. of Santa Clara, California. The IMP chamber includes a target, coil, and biased substrate support member, and may also be integrated into an Endura™ platform, also available from Applied Materials, Inc. A power between about 0.5 kW and about 5 kW is applied to the target, and a power between about 0.5 kW and 3kW is applied to the coil. A power between about 200 W and about 500 W at a frequency of about 13.56 MHz is also applied to the substrate support member to bias the substrate. Argon is flowed into the chamber at a rate of about 35 seem to about 85 seem, and nitrogen may be added to the chamber at a rate of about 5 seem to about 100 seem. The pressure of the chamber is typically between about 5 mTorr to about 100 mTorr, while the temperature of the chamber is between about 20°C and about 300°C.
[0055] The barrier layer films described above may benefit from a post deposition treatment process, such as a plasma treatment process or a chemical treatment process, for example. A plasma treatment process can decrease resistance and improve yield. A typical plasma treatment may include an argon plasma, a nitrogen plasma, or a nitrogen and hydrogen plasma. The plasma treatment may be performed in the same deposition chamber in which the barrier layer deposition occurs or in a different chamber. If the plasma treatment occurs in the same chamber, the plasma can be an in situ plasma or a plasma delivered from a remote plasma source, such as a remote inductively coupled source or a microwave source.
[0056] While not wishing to be bound by theory, it is believed that a plasma treatment of a tantalum nitride film, for example, reduces the nitrogen content of one or more sublayers by sputtering off nitrogen, which in turn reduces resistivity. For example, a plasma treatment is believed to make a tantalum-nitride layer more tantalum-rich as compared to a non-plasma treated tantalum-nitride layer. In other words, a 1 :1 Ta:N film may be converted to a 2:1 Ta:N film using a plasma treatment process. Tantalum nitride films having a sheet resistance of approximately equal to or less than 1200 micro-ohms-cm for 0.004 micron (40 Angstrom) films may be achieved.
[0057] Additionally, other non-chemically reactive gases may be used for physically displacing nitrogen from the barrier layer, such as neon (Ne), xenon (Xe), helium (He), and hydrogen (H2), for example. Generally, it is more desirable to have a plasma-gas atom or molecule with an atomic-mass closer to N than to Ta in order to have preferential sputtering of the N. However, a chemically reactive process may be used where a particular gas preferentially reacts for removal of N while leaving Ta.
[0058] A chemical treatment process can also decrease resistance and improve yield. A typical chemical treatment may include exposure to aluminum compounds or silicon compounds. These compounds can include, but are not limited to, DMAH, TMA, silane, dimethylsilane, trimethylsilane and other organosilane compounds. A chemical treatment is typically operated at a pressure between about/Torr and about 10 Torr at a temperature between about 200°C and about 400°C. Following a chemical treatment, it has been observed that a tantalum nitride film deposited according to the methods described above shows an improvement in dewetting compared with no chemical treatment.
[0059] The post deposition treatment processes may be performed after the formation of the barrier layer. Alternatively, the treatments may be performed between deposition of each monolayer or between deposition of each cycle. For example, a treatment process may take place after approximately every 0.003 to 0.005 microns (30 to 50 Angstroms) of layer or after approximately every 7 to 10 cycles.
[0060] Furthermore, the patterned or etched substrate dielectric layer 112 may be cleaned to remove native oxides or other contaminants from the surface thereof prior to depositing the barrier layer 130. For example, reactive gases are excited into a plasma within a remote plasma source chamber such as a Reactive Pre-clean chamber available from Applied Materials, Inc., located in Santa Clara, California. Pre-cleaning may also be done within a metal CVD or PVD chamber by connecting the remote plasma source thereto. Alternatively, metal deposition chambers having gas delivery systems could be modified to deliver the pre-cleaning gas plasma through existing gas inlets such as a gas distribution showerhead positioned above the substrate. [0061] In one aspect, the reactive pre-clean process forms radicals from a plasma of one or more reactive gases such as argon, helium, hydrogen, nitrogen, fluorine-containing compounds, and combinations thereof. For example, a reactive gas may include a mixture of tetrafluorocarbon (CF ) and oxygen (O2), or a mixture of helium (He) and nitrogen trifluoride (NF3). More preferably, the reactive gas is a mixture of helium and nitrogen trifluoride.
[0062] Following the argon plasma, the chamber pressure is increased to about 140 mTorr, and a processing gas consisting essentially of hydrogen and helium is introduced into the processing region. Preferably, the processing gas comprises about 5% hydrogen and about 95% helium. The hydrogen plasma is generated by applying between about 50 watts and about 500 watts power. The hydrogen plasma is maintained for about 10 seconds to about 300 seconds.
[0063] Referring again to Figure 2C, the seed layer 140 may be deposited using high density plasma physical vapor deposition (HDP-PVD) to enable good conformal coverage. One example of a HDP-PVD chamber is the Self-Ionized Plasma SIP™ chamber, available from Applied Materials, Inc. of Santa Clara, California, which may be integrated into an Endura™ platform, available from Applied Materials, Inc. Of course, other techniques, such as physical vapor deposition, chemical vapor deposition, electroless plating, and electroplating, may be used.
[0064] A typical SIP™ chamber includes a target, coil, and biased substrate support member. To form the copper seed layer, a power between about 0.5 kW and about 5 kW is applied to the target, and a power between about 0.5 kW and 3kW is applied to the coil. A power between about 200 and about 500 W at a frequency of about 13.56 MHz is applied to bias the substrate. Argon is flowed into the chamber at a rate of about 35 seem to about 85 seem, and nitrogen may be added to the chamber at a rate of about 5 seem to about 100 seem. The pressure of the chamber is typically between about 5 mTorr to about 100 mTorr.
[0065] Alternatively, a seed layer 140 containing a copper alloy may be deposited by any suitable technique such as physical vapor deposition, chemical vapor deposition, electroless deposition, or a combination of techniques. Preferably, the copper alloy seed layer 140 contains aluminum and is deposited using a PVD technique described above. During deposition, the process chamber is maintained at a pressure between about 0.1 mtorr and about 10 mtorr. The target includes copper and between about 2 and about 10 atomic weight percent of aluminum. The target may be DC-biased at a power between about 5 kW and about 100 kW. The pedestal may be RF-biased at a power between about 10 W and about 1000 W. The copper alloy seed layer 140 is deposited to a thickness of at least about 5 A, and between about 5A and about 50θA.
[0066] Referring to Figure 2D, the metal layer 142 may be formed, using chemical vapor deposition (CVD), physical vapor deposition (PVD), electroplating, or a combination thereof. For example, an aluminum (Al) layer may be deposited from a reaction of a gas mixture containing dimethyl aluminum hydride (DMAH) and hydrogen (H2) or argon (Ar) or other DMAH containing mixtures, a CVD copper layer may be deposited from a gas mixture containing Cu+2(hfac)2 (copper hexafluoro acetylacetonate), Cu+2(fod)2 (copper heptafluoro dimethyl octanediene), Cu+1hfac TMVS (copper hexafluoro acetylacetonate trimethylvinylsilane), or combinations thereof, and a CVD tungsten layer may be deposited from a gas mixture containing tungsten hexafluoride (WFβ) and a reducing gas. A PVD layer can be deposited from a copper target, an aluminum target, or a tungsten target.
[0067] Moreover, the metal layer 142 may be a refractory metal compound including but not limited to titanium (Ti), tungsten (W), tantalum (Ta), zirconium (Zr), hafnium (Hf), molybdenum (Mo), niobium (Nb), vanadium (V), and chromium (Cr), among others. Conventionally, a refractory metal is combined with reactive species, such as for example chlorine (Cl) or fluorine (F), and is provided with another gas to form a refractory metal compound. For example, titanium tetrachloride (TiCI4), tungsten hexafluoride (VIF_), tantalum pentachloride (TaCIs), zirconium tetrachloride (ZrCI4), hafnium tetrachloride (HfCI ), molybdenum pentachloride (M0CI5), niobium pentachloride (NbCl5), vanadium pentachloride (VCI5), or chromium tetrachloride (CrCI4) may be used as a refractory metal-containing compound gas. [0068] Preferably, the metal layer 142 is copper and is formed within an electroplating cell, such as the Electra™ Cu ECP system, available from Applied Materials, Inc., of Santa Clara, California. The Electra™ Cu ECP system may also be integrated into an Endura™ platform also available from Applied Materials, Inc.
[0069] A copper electrolyte solution and copper electroplating technique is described in commonly assigned U.S. Patent No. 6,113,771 , entitled "Electro- deposition Chemistry", which is incorporated by reference herein. Typically, the electroplating bath has a copper concentration greater than about 0.7M, a copper sulfate concentration of about 0.85, and a pH of about 1.75. The electroplating bath may also contain various additives as is well known in the art. The temperature of the bath is between about 15°C and about 25°C. The bias is between about -15 volts to about 15 volts. In one aspect, the positive bias ranges from about 0.1 volts to about 10 volts and the negatives bias ranges from about -0.1 to about -10 volts.
[0070] Optionally, a thermal anneal process may be performed following the metal layer 142 deposition whereby the wafer is subjected to a temperature between about 100°C and about 400°C for about 10 minutes to about 1 hour, preferably about 30 minutes. A carrier/purge gas such as helium, hydrogen, nitrogen, or a mixture thereof is introduced at a rate of about 100 seem to about 10,000 seem. The chamber pressure is maintained between about 2 Torr and about 10 Torr. The RF power is about 200 W to about 1 ,000 W at a frequency of about 13.56 MHz, and the preferable substrate spacing is between about 300 mils and about 800 mils.
[0071] Following deposition, the top portion of the resulting structure may be planarized. A chemical mechanical polishing (CMP) apparatus may be used, such as the Mirra™ System available from Applied Materials, Santa Clara, California, for example. Optionally, the intermediate surfaces of the structure may be planarized between the deposition of the subsequent layers described above.
[0072] Figure 4 is a schematic top-view diagram of an exemplary multi-chamber processing system 600 that may be adapted to perform the deposition sequence disclosed above. Such a processing system 600 may be an Endura™ system, commercially available from Applied Materials, Inc., of Santa Clara, California. A similar multi-chamber processing system is disclosed in U.S. Patent No. 5,186,718, entitled "Stage Vacuum Wafer Processing System and Method," issued on February 16, 1993, which is incorporated by reference herein.
[0073] The system 600 generally includes load lock chambers 602, 604 for the transfer of substrates into and out from the system 600. Typically, since the system 600 is under vacuum, the load lock chambers 602, 604 may "pump down" the substrates introduced into the system 600. A first robot 610 may transfer the substrates between the load lock chambers 602, 604, and a first set of one or more substrate processing chambers 612, 614, 616, 618 (four are shown). Each processing chamber 612, 614, 616, 618, can be outfitted to perform a number of substrate processing operations such as cyclical layer deposition, chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, orientation and other substrate processes. The first robot 610 also transfers substrates to/from one or more transfer chambers 622, 624.
[0074] The transfer chambers 622, 624, are used to maintain ultrahigh vacuum conditions while allowing substrates to be transferred within the system 600. A second robot 630 may transfer the substrates between the. transfer chambers 622, 624 and a second set of one or more processing chambers 632, 634, 636, 638. Similar to processing chambers 612, 614, 616, 618, the processing chambers 632, 634, 636, 638 can be outfitted to perform a variety of substrate processing operations, such as cyclical layer deposition, chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, and orientation, for example. Any of the substrate processing chambers 612, 614, 616, 618, 632, 634, 636, 638 may be removed from the system 600 if not necessary for a particular process to be performed by the system 600.
[0075] In one arrangement, each processing chamber 632 and 638 may be a physical vapor deposition chamber, a chemical vapor deposition chamber, or a cyclical deposition chamber adapted to deposit a seed layer; each processing chamber 634 and 636 may be a cyclical deposition chamber, a chemical vapor deposition chamber, or a physical vapor deposition chamber adapted to deposit a barrier layer; each processing chamber 612 and 614 may be a physical vapor deposition chamber, a chemical vapor deposition chamber, or a cyclical deposition chamber adapted to deposit a dielectric layer; and each processing chamber 616 and 618 may be an etch chamber outfitted to etch apertures or openings for interconnect features. This one particular arrangement of the system 600 is provided to illustrate the invention and should not be used to limit the scope of the invention.
[0076] It is believed that a refractory metal nitride layer having a thickness greater than about 20 angstroms will terminate the growth pattern of the lower level metal interconnect. A refractory metal nitride layer having a thickness of about 20 angstroms or more will establish a distinct growth pattern of its own, which would be initially adopted by the higher interconnect until the higher interconnect reaches a particular thickness and establishes its own pattern, thereby forming a different crystal structure. This phenomenon occurs because a growth pattern of a subsequently deposited layer typically resembles a growth pattern of an underlying layer during its initial stages of deposition, but the subsequent layer then takes on its own, inherent pattern once the subsequent layer reaches a particular thickness.
[0077] Tantalum nitride, for example, has a natural inclination to form an amorphous structure at about 20 angstroms or more. Below about 20 angstroms, TaN resembles the growth pattern of its underlying layer. Therefore, a subsequent copper interconnect layer was surprisingly grown across a barrier layer deposited according to the methods of the present invention exhibiting a similar growth pattern as the underlying copper interconnect. In other words, a 20 angstroms or less TaN barrier layer enables good grain growth of copper such that copper grains can extend growth across the TaN barrier layer, or simply stated, copper exhibits epitaxial growth on the tantalum nitride barrier layer.
[0078] Figure 5 is a transmission electron microscope (TEM) image of a feature 300 having a titanium nitride barrier layer 310 deposited therein according to the deposition techniques described above. The feature 300 had an aspect ratio of 5:1 and was formed on a 200 mm wafer. The barrier layer 310 consisted of tantalum nitride and was deposited at 250°C and 2 Torr. Each cycle lasted about 2 seconds and 30 cycles were performed. The tantalum nitride barrier layer 310 had a thickness of about 15 angstroms. As shown, the barrier layer 310 is conformal and shows good step coverage throughout the entire feature 300.
[0079] Figure 6 is a TEM image showing a partial cross sectional view of a multilevel, interconnect structure 400. The multi-level, interconnect structure 400 included a lower level copper interconnect 405, a tantalum nitride barrier layer 410, and an upper level copper interconnect 420. The copper grain growth of the lower level copper interconnect 405 extended across the barrier layer 410 into the upper level copper interconnect 420, showing epitaxial growth of the tantalum nitride barrier layer 410. The barrier layer 410 consisted of tantalum nitride and was deposited at 250°C and 2 Torr. Each cycle lasted about 2 seconds and 30 cycles were performed. The barrier layer 410 had a thickness of about 10 angstroms, which was sufficient to inhibit copper migration into the dielectric material.
[0080] The barrier layers 310, 410 shown and described with reference to Figures 3 and 4 were measured using a TEM instrument. It can be appreciated that a margin of error is present with this kind of measurement technique as well as any other measurement technique for determining a thickness of a deposited layer. Therefore, the thicknesses provided herein are approximate and quantified according to the best available known techniques and are not intended to limit the scope of the present invention.
[0081] The following example is intended to provide a non-limiting illustration of one embodiment of the present invention.
EXAMPLE:
[0082] A TaN layer was deposited over a lower level copper layer using cyclical deposition to a thickness of about 2θA. A copper alloy seed layer was deposited over the TaN layer by physical vapor deposition to a thickness of about 100 A. The copper alloy seed layer contained aluminum in a concentration of about 2.0 atomic percent, and was deposited by PVD using a copper-aluminum target consisting of aluminum in a concentration of about 2.0 atomic percent. A bulk copper layer was then deposited using ECP to fill the feature. The substrate was then thermally annealed at a temperature of about 380°C for about 15 minutes in a nitrogen (N2) and hydrogen (H2) ambient.
[0083] The overall feature resistance was significantly reduced and the upper level copper layer surprisingly exhibited a grain growth similar to that of the lower level copper layer. The barrier performance of the TaN layer exhibited longer time to failure (TTF) compared with 50 A PVD Ta. Further, the TaN layer showed low contact resistance and a tight spread distribution. The TaN layer also exhibited excellent topography including a smooth morphology and pinhole free surface.
[0084] Additionally, the TaN film deposited according to the PDMAT and ammonia process described herein demonstrated exceptional film uniformity. The film thickness was linearly proportional to the number of deposition cycles, allowing accurate thickness control. Thickness uniformity was found to be 1.8 percent for a 10 angstrom film and 2.1 percent for a 100 angstrom film on a 200mm substrate. The deposited films exhibited exceptionally conformal coverage, approaching 100 percent in at least some results.
[0085] Finally, the copper alloy seed layer showed excellent adhesion/wetting to the TaN layer. The (PVD) copper seed layer exhibited a preferred {111} orientation on the deposited barrier layer. The crystal orientation of {111} is preferred because this orientation provides large grain sizes and exhibits good electromigration resistance as a result of the larger grain sizes.
[0086] While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

Claims:
1. A method for forming a metal interconnect on a substrate, comprising: depositing a refractory metal containing barrier layer having a thickness that exhibits a crystalline like structure and is sufficient to inhibit atomic migration on at least a portion of a metal layer by alternately introducing one or more pulses of a metal-containing compound and one or more pulses of a nitrogen-containing compound; depositing a seed layer on at least a portion of the barrier layer; and depositing a second metal layer on at least a portion of the seed layer.
2. The method of claim 1 , wherein the refractory metal containing barrier comprises tantalum nitride.
3. The method of claim 1 , wherein a grain growth of the metal layer continues across the barrier layer into the second metal layer.
4. The method of claim 1 , wherein each pulse is repeated until the refractory metal containing barrier layer has a thickness less than about 20 angstroms.
6. The method of claim 1 , wherein the refractory metal containing barrier layer has a thickness of about 10 angstroms.
7. The method of claim 1 , wherein the alternate pulsing is repeated between about 10 and about 70 times to form the refractory metal nitride layer.
8. The method of claim 1 , further comprising flowing a purge gas continuously during each pulse of the metal-containing compound and each pulse of the nitrogen- containing compound.
9. The method of claim 8, wherein the purge gas comprises argon, nitrogen, helium, or combinations thereof.
10. The method of claim 1 , wherein each pulse of the metal-containing compound and the nitrogen-containing is separated by a time delay.
11. The method of claim 10, wherein each time delay is long enough for a volume of the metal-containing compound or a volume of the nitrogen-containing compound to adsorb onto the substrate surface.
12 The method of claim 11 , wherein the time delay is long enough to remove non-adsorbed molecules from the substrate surface.
13. The method of claim 1 , wherein the nitrogen-containing compound is selected from a group consisting of ammonia; hydrazine; methylhydrazine; dimethylhydrazine; f-butylhydrazine; phenylhydrazine; azoisobutane; ethylazide; derivatives thereof; and combinations thereof.
14. The method of claim 1 , wherein the metal-containing compound is selected from a group consisting of: tetrakis (dimethylamino) titanium (TDMAT); tetrakis (ethylmethylamino) titanium (TEMAT); tetrakis (diethylamino) titanium (TDEAT); titanium tetrachloride (TiCI4); titanium iodide (Til4); titanium bromide (TiBr4); t- butylimino tris(diethylamino) tantalum (TBTDET); pentakis (ethylmethylamino); tantalum (PEMAT); pentakis (dimethylamino) tantalum (PDMAT); pentakis (diethylamino) tantalum (PDEAT); t-butylimino tris(diethyl methylamino) tantalum(TBTMET); t-butylimino tris(dimethyl amino) tantalum (TBTDMT); bis(cyclopentadienyl) tantalum trihydride ((Cp)2TaH3); bis(methylcyclopentadienyl) tantalum trihydride ((CpMe)2TaH3); derivatives thereof; and combinations thereof.
15. The method of claim 1 , wherein the first and second metal layers each comprise tungsten, copper, or a combination thereof.
16. The method of claim 1 , wherein the seed layer comprises a first seed layer deposited over the barrier layer and a second seed layer deposited over the first seed layer.
17. The method of claim 16, wherein the first seed layer comprises a copper alloy seed layer of the copper and a metal selected from the group consisting of aluminum, magnesium, titanium, zirconium, tin, and combinations thereof or wherein the first seed layer comprises a metal selected from the group consisting of aluminum, magnesium, titanium, zirconium, tin, and combinations thereof.
18. A method for forming a metal interconnect on a substrate, comprising: depositing a first metal layer on a substrate surface; depositing a titanium silicon nitride layer having a thickness less than about 20 angstroms over at least a portion of the first metal layer by alternately introducing one or more pulses of a titanium-containing compound, one or more pulses of a silicon-containing compound, and one or more pulses of a nitrogen-containing compound; depositing a dual alloy seed layer; and depositing a second metal layer on at least a portion of the dual alloy seed layer.
19. A method for forming a metal interconnect on a substrate, comprising: depositing a bilayer barrier having a thickness less than about 20 angstroms on at least a portion of a metal layer, the bilayer barrier comprising: a first layer of tantalum nitride deposited by alternately introducing one or more pulses of a tantalum-containing compound and one or more pulses of a nitrogen-containing compound; and a second layer of alpha phase tantalum; depositing a dual alloy seed layer; and depositing a second metal layer on at least a portion of the dual alloy seed layer.
20. A method for forming a metal interconnect on a substrate, comprising: depositing a first metal layer on a substrate surface; depositing a tantalum nitride barrier layer having a thickness less than about 20 angstroms on at least a portion of the first metal layer by alternately introducing one or more pulses of a tantalum-containing compound and one or more pulses of a nitrogen-containing compound; depositing a dual alloy seed layer comprising copper and a metal selected from the group consisting of aluminum, magnesium, titanium, zirconium, tin, and combinations thereof; and depositing a second metal layer on at least a portion of the dual alloy seed layer.
PCT/US2002/034277 2001-10-26 2002-10-25 Atomic-layer-deposited tantalum nitride and alpha-phase tantalum as barrier layers for copper metallization WO2003038892A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CNB02821269XA CN1319146C (en) 2001-10-26 2002-10-25 Integration of ald tantalum nitride and alpha-phase tantalum for copper metallization application
JP2003541048A JP4711624B2 (en) 2001-10-26 2002-10-25 Integration of ALD tantalum nitride and alpha phase tantalum for copper electrode formation applications
KR10-2004-7006190A KR20040058239A (en) 2001-10-26 2002-10-25 Integration of ald tantalum nitride and alpha-phase tantalum for copper metallization application

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US34608601P 2001-10-26 2001-10-26
US60/346,086 2001-10-26
US10/193,333 US20030082307A1 (en) 2001-10-26 2002-07-10 Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application
US10/193,333 2002-07-10
US10/199,415 2002-07-18
US10/199,415 US20030082301A1 (en) 2001-10-26 2002-07-18 Enhanced copper growth with ultrathin barrier layer for high performance interconnects

Publications (2)

Publication Number Publication Date
WO2003038892A2 true WO2003038892A2 (en) 2003-05-08
WO2003038892A3 WO2003038892A3 (en) 2004-02-26

Family

ID=27393190

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/034277 WO2003038892A2 (en) 2001-10-26 2002-10-25 Atomic-layer-deposited tantalum nitride and alpha-phase tantalum as barrier layers for copper metallization

Country Status (4)

Country Link
JP (1) JP4711624B2 (en)
CN (1) CN1319146C (en)
TW (1) TWI223867B (en)
WO (1) WO2003038892A2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006004927A1 (en) * 2004-06-30 2006-01-12 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
WO2006035591A1 (en) * 2004-09-27 2006-04-06 Ulvac, Inc. Method for forming copper wiring
KR101177576B1 (en) * 2003-06-13 2012-08-27 어플라이드 머티어리얼스, 인코포레이티드 Integration of ald tantalum nitride for copper metallization
US8324095B2 (en) 2001-09-26 2012-12-04 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100369215C (en) * 2005-12-02 2008-02-13 北京北方微电子基地设备工艺研究中心有限责任公司 Adsorption stripping process for removing exposed zone polymer
JP2007073980A (en) * 2006-10-30 2007-03-22 Toshiba Corp Tan film for semiconductor device and semiconductor device using the same
KR100881716B1 (en) 2007-07-02 2009-02-06 주식회사 하이닉스반도체 Method for fabricating tungsten line with reduced sheet resistance tungsten layer and method for fabricating gate of semiconductor device using the same
JP2012506947A (en) * 2008-10-27 2012-03-22 アプライド マテリアルズ インコーポレイテッド Method for vapor deposition of ternary compounds
US8227344B2 (en) * 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
KR101661768B1 (en) 2010-09-03 2016-09-30 엘지전자 주식회사 Solar cell and manufacturing method thereof
CN103151302A (en) * 2013-02-26 2013-06-12 复旦大学 Method for preparing low-resistance tantalum and tantalum nitride double-layer barrier layer by utilizing nitrogen-containing plasma
KR101708242B1 (en) * 2016-08-11 2017-02-20 엘지전자 주식회사 Solar cell and manufacturing method thereof
US10229826B2 (en) * 2016-10-21 2019-03-12 Lam Research Corporation Systems and methods for forming low resistivity metal contacts and interconnects by reducing and removing metallic oxide
CN109273402B (en) * 2018-09-13 2020-08-25 德淮半导体有限公司 Manufacturing method of metal barrier layer, metal interconnection structure and manufacturing method thereof
TWI731293B (en) * 2019-01-18 2021-06-21 元智大學 Nanotwinned structure

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5221449A (en) * 1990-10-26 1993-06-22 International Business Machines Corporation Method of making Alpha-Ta thin films
EP1077484A2 (en) * 1999-08-16 2001-02-21 Applied Materials, Inc. Barrier layer for electroplating processes
WO2001029891A1 (en) * 1999-10-15 2001-04-26 Asm America, Inc. Conformal lining layers for damascene metallization
US6270572B1 (en) * 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6387805B2 (en) * 1997-05-08 2002-05-14 Applied Materials, Inc. Copper alloy seed layer for copper metallization
JP4097747B2 (en) * 1997-08-07 2008-06-11 株式会社アルバック Barrier film formation method
US6140234A (en) * 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
KR100279300B1 (en) * 1998-05-11 2001-02-01 윤종용 How to connect metal wires
US6218302B1 (en) * 1998-07-21 2001-04-17 Motorola Inc. Method for forming a semiconductor device
US6432819B1 (en) * 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
JP2001144089A (en) * 1999-11-11 2001-05-25 Sony Corp Method of manufacturing semiconductor device
JP2003531291A (en) * 2000-04-13 2003-10-21 ゲレスト インコーポレイテッド Method for chemical vapor deposition of titanium-silicon-nitrogen film

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5221449A (en) * 1990-10-26 1993-06-22 International Business Machines Corporation Method of making Alpha-Ta thin films
US6270572B1 (en) * 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition
EP1077484A2 (en) * 1999-08-16 2001-02-21 Applied Materials, Inc. Barrier layer for electroplating processes
WO2001029891A1 (en) * 1999-10-15 2001-04-26 Asm America, Inc. Conformal lining layers for damascene metallization

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8324095B2 (en) 2001-09-26 2012-12-04 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
KR101177576B1 (en) * 2003-06-13 2012-08-27 어플라이드 머티어리얼스, 인코포레이티드 Integration of ald tantalum nitride for copper metallization
GB2442993B (en) * 2004-06-30 2010-10-27 Intel Corp Atomic layer deposited tantalum containing adhesion layer
GB2442993A (en) * 2004-06-30 2008-04-23 Intel Corp Atomic layer deposited tantalum containing adhesion layer
US7601637B2 (en) 2004-06-30 2009-10-13 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7605469B2 (en) 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
WO2006004927A1 (en) * 2004-06-30 2006-01-12 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
KR100934888B1 (en) * 2004-09-27 2010-01-06 가부시키가이샤 알박 Method for forming copper wiring
US8034403B2 (en) 2004-09-27 2011-10-11 Ulvac, Inc. Method for forming copper distributing wires
DE112005002353B4 (en) * 2004-09-27 2012-06-14 Ulvac, Inc. Process for the production of manifolds of copper
JP2006093552A (en) * 2004-09-27 2006-04-06 Ulvac Japan Ltd Method of forming copper wire
WO2006035591A1 (en) * 2004-09-27 2006-04-06 Ulvac, Inc. Method for forming copper wiring
DE112005002353B8 (en) * 2004-09-27 2012-12-20 Ulvac, Inc. Process for the production of manifolds of copper

Also Published As

Publication number Publication date
TWI223867B (en) 2004-11-11
JP2005508092A (en) 2005-03-24
CN1319146C (en) 2007-05-30
WO2003038892A3 (en) 2004-02-26
CN1575517A (en) 2005-02-02
JP4711624B2 (en) 2011-06-29

Similar Documents

Publication Publication Date Title
US7244683B2 (en) Integration of ALD/CVD barriers with porous low k materials
US20030124262A1 (en) Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application
US7211508B2 (en) Atomic layer deposition of tantalum based barrier materials
US7507660B2 (en) Deposition processes for tungsten-containing barrier layers
US11587829B2 (en) Doping control of metal nitride films
US7041335B2 (en) Titanium tantalum nitride silicide layer
US7429402B2 (en) Ruthenium as an underlayer for tungsten film deposition
US7494908B2 (en) Apparatus for integration of barrier layer and seed layer
US20060009034A1 (en) Methods for depositing tungsten layers employing atomic layer deposition techniques
JP4711624B2 (en) Integration of ALD tantalum nitride and alpha phase tantalum for copper electrode formation applications

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): CN JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2002821269X

Country of ref document: CN

Ref document number: 2003541048

Country of ref document: JP

Ref document number: 1020047006190

Country of ref document: KR

122 Ep: pct application non-entry in european phase