WO2003044079A1 - Spin-on-glass anti-reflective coatings for photolithography - Google Patents

Spin-on-glass anti-reflective coatings for photolithography Download PDF

Info

Publication number
WO2003044079A1
WO2003044079A1 PCT/US2002/035101 US0235101W WO03044079A1 WO 2003044079 A1 WO2003044079 A1 WO 2003044079A1 US 0235101 W US0235101 W US 0235101W WO 03044079 A1 WO03044079 A1 WO 03044079A1
Authority
WO
WIPO (PCT)
Prior art keywords
grams
moles
absorbing
anthracene
solution
Prior art date
Application number
PCT/US2002/035101
Other languages
French (fr)
Inventor
Teresa Baldwin
Nigel Hacker
Joseph Kennedy
Richard Spear
Original Assignee
Honeywell International Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Honeywell International Inc. filed Critical Honeywell International Inc.
Priority to JP2003545713A priority Critical patent/JP2005509914A/en
Priority to AU2002336709A priority patent/AU2002336709A1/en
Priority to EP02773961A priority patent/EP1478683A4/en
Publication of WO2003044079A1 publication Critical patent/WO2003044079A1/en

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters
    • G02B5/22Absorbing filters
    • G02B5/23Photochromic filters
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/006Surface treatment of glass, not in the form of fibres or filaments, by coating with materials of composite character
    • C03C17/008Surface treatment of glass, not in the form of fibres or filaments, by coating with materials of composite character comprising a mixture of materials covered by two or more of the groups C03C17/02, C03C17/06, C03C17/22 and C03C17/28
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/28Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material
    • C03C17/30Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material with silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/12Polysiloxanes containing silicon bound to hydrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/0008Organic ingredients according to more than one of the "one dot" groups of C08K5/01 - C08K5/59
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen, and oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Definitions

  • the present invention relates generally to spin-on glass materials and more specifically to light-absorbing spin-on glass materials for use as anti-reflective layers in photolithography and methods of producing the materials.
  • Organic polymer films particularly those that absorb at the i-line (365 nm) and g-line (436 nm) wavelengths conventionally used to expose photoresists, and at the recently used 248 nm wavelength, have been employed as anti-reflective coatings.
  • ARC's may intermix with photoresist layers.
  • One solution to avoid intermixing is to introduce thermosetting binders as additional components of organic ARC's, as described, for example in U.S. Patent No. 5,693,691 to Flaim et al.
  • Dyes may also be incorporated in organic ARC's, as well as, optionally, additional additives such as wetting agents, adhesions promoters, preservatives, and plasticizers, as described in U. S. Patent No. 4,910,122 to Arnold et al.
  • Silicon oxynitride is another material that has been used as an anti-reflective coating.
  • silicon oxynitride works as an ARC by a destructive interference process rather than by absorption, which means that very tight control of the oxynitride thickness is necessary and that the material may not work well as an ARC over highly variable topography.
  • silicon oxynitride is typically deposited by chemical vapor deposition, while photoresist layers are typically applied using a spin-coater. The additional chemical vapor deposition process can add to processing complexity.
  • Another class of materials that can be used as an anti-reflective layer is spin-on-glass
  • the spin-on-glass, dye combinations that have been disclosed to date are not optimal for exposure to the deep ultraviolet, particularly 248 and 193 nm, light sources that are coming into use to produce devices with small feature sizes. Furthermore, not all dyes can be readily incorporated into an arbitrary spin-on-glass composition. Therefore, an absorbing spin-on-glass anti-reflective coating and lithography material that absorbs strongly and uniformly in the ultraviolet spectral region and a method of producing the spin-on glass anti-reflective coating would be desirable. It would also be desirable for the ARC layer to be impervious to photoresist developers.
  • An anti-reflective coating material for deep ultraviolet photolithography comprises one or more organic absorbing compounds incorporated into a spin-on-glass (SOG) material.
  • the spin-on-glass materials comprise silicon-based compounds, such as methylsiloxane, methylsilsesquioxane, phenylsiloxane, phenylsilsesquioxane, methylphenylsiloxane, methylphenylsilsesquioxane, silicate polymers and mixtures thereof.
  • the group known as "spin-on-glass materials” also comprises siloxane polymers, hydrogensiloxane polymers of the general formula (H 0 - ⁇ . 0 SiO ⁇ . 5 .
  • Spin-on-glass materials additionally include organohydridosiloxane polymers of the general formula (Ho- ⁇ . oSiO ⁇ .5 . 2 . 0 ) n (R 0 . ⁇ . 0 SiO ⁇ . 5 - 2 .o) m> and organohydridosilsesquioxane polymers of the general formula (HSiO ⁇ . 5 ) n (RSiO ⁇ . 5 ) m , where m is greater than zero and the sum of n and m is greater than about four and R is alkyl or aryl.
  • Absorbing compounds suitable for incorporation into the spin-on-glass materials are strongly absorbing at wavelengths less than 375 nm or less than about 260 nm.
  • suitable absorbing compounds absorb light around wavelengths such as 248 nm, 193 nm, 157 nm or other ultraviolet wavelengths, such as 365 nm, that may be used in photolithography.
  • the chromophores of suitable compounds typically have at least one benzene ring, and in those instances where there are two or more benzene rings, those rings may or may not be fused.
  • Incorporatable absorbing compounds have an accessible reactive group attached to the chromophore, wherein the reactive groups can include hydroxyl groups, amine groups, carboxylic acid groups, and substituted silyl groups with silicon bonded to one, two, or three alkoxy group or halogen atom substituents.
  • the reactive groups may be directly bonded to the chromophore or the reactive groups may be attached to the chromophore through a hydrocarbon bridge or an oxygen linkage.
  • the chromophores may also comprise silicon- based compounds or polymers similar to those used to formulate the spin-on glass materials.
  • suitable incorporatable organic absorbing compounds include those compounds with one benzene ring, such as phenyltrialkoxysilane (phenyltriethoxysilane, phenyltrimethoxysilane, phenyltripropoxysilane); those compounds with two or more benzene rings that are not fused, such as 2-hydroxy-4-(3-trialkoxysilylpropoxy)-diphenylketone, 3-hydroxy-4-(3-trialkoxysilylpropoxy)-diphenylketone, rosolic acid, 4-phenylazophenol, and 4-alkoxyphenylazobenzene-4-carboxy-alkyl triethoxysilane, primuline; and those with two or more benzene rings that are fused, such as trialkoxysilylpropyl-l,8-naphthalimide, anthraflavic acid, alizarin, quinizarin, 9-anthracene carboxy-alkyl trie
  • spin-on-glass materials are conventionally synthesized from silane and silicon-based reactants such as triethoxysilane, tetraethoxysilane, mefhyltriethoxysilane, dimethyldiethoxysilane, tetramethoxysilane, methyltrimethoxysilane, trimethoxysilane, dimethyldimethoxysilane, phenyltriethoxysilane, phenyltrimethoxysilane, diphenyldiethoxysilane, and diphenyldimethoxysilane.
  • silicon-based reactants such as triethoxysilane, tetraethoxysilane, mefhyltriethoxysilane, dimethyldiethoxysilane, tetramethoxysilane, methyltrimethoxysilane, trimethoxysilane, dimethyldimethoxysilane, phenyltriethoxysilane, phen
  • Halosilanes particularly chlorosilanes, for example, trichlorosilane, methyltrichlorosilane, ethyltrichlorosilane, phenyltrichlorosilane, tetrachlorosilane, dichlorosilane, methyldichlorosilane, dimethyldichlorosilane, chlorotriethoxysilane, chlorotrimethoxysilane, chloromethyltriethoxysilane, chloroethyltriethoxysilane, chlorophenyltriethoxysilane, chloromethyltrimethoxysilane, chloroethyltrimethoxysilane, and chlorophenyltrimethoxysilane are also used as silane reactants.
  • a method of making an absorbing spin-on-glass composition includes combining one or more alkoxysilanes, or, one or more halosilanes, one or more incorporatable organic absorbing compounds, an acid water mixture, such as a nitric acid/water mixture, and one or more solvents to form a reaction mixture; and refluxing the reaction mixture to form the absorbing spin-on-glass composition.
  • the spin-on-glass composition so formed is diluted with one or more solvents to provide coating solutions that produce films of various thicknesses.
  • Alternative methods of making an absorbing spin-on-glass composition including methods using halosilanes and a phase transfer catalyst, are also provided.
  • an absorbing spin on composition comprising a silicon-based compound and an incorporatable organic absorbing compound that strongly absorbs light at wavelengths less than about 375 nm. Further provided are absorbing spin on compositions, wherein at least one of the silicon-based compound or the incorporatable organic absorbing compound comprises at least one alkyl group, alkoxy group, ketone group or azo group.
  • the absorbing compounds of the chemical class comprising 9-anthracene carboxy-alkyl trialkoxysilane is provided.
  • a method of synthesizing any one of the 9-anthracene carboxy-alkyl trialkoxysilanes includes combining 9-anthracene carboxylic acid, chloroalkyltrialkoxysilane, triethylamine, and a solvent to form a reaction mixture; refluxing the reaction mixture; cooling the refluxed reaction mixture to form a precipitate and a remaining solution; and filtering the remaining solution to produce liquid 9-anthracene carboxy-alkyl trialkoxysilane.
  • Figs, la - If show chemical formulas of absorbing compounds incorporated into spin- on-glass compositions.
  • Figs. 2a - 2h illustrate the use of absorbing spin-on-glass compositions as anti- reflective coating layers in a photolithography process.
  • D e t a i l e d D e s c r i p t i o n
  • An anti-reflective coating material for ultraviolet photolithography includes at least one organic absorbing compound incorporated into a spin-on-glass (SOG) material.
  • the absorbing spin-on-glass compositions are dissolved in appropriate solvents to form coating solutions and applied to various layers of materials in fabricating semiconductor devices.
  • the absorbing spin-on-glass anti-reflective coatings are designed to be readily integrated into existing semiconductor fabrication processes. Some properties that facilitate integration include a) developer resistance, b) thermal stability during standard photoresist processing, and c) selective removal with respect to underlying layers.
  • Contemplated spin-on-glass materials comprise silicon-based compounds, such as methylsiloxane, methylsilsesquioxane, phenylsiloxane, phenylsilsesquioxane, methylphenylsiloxane, methylphenylsilsesquioxane, silazane polymers, silicate polymers and mixtures thereof.
  • a contemplated silazane polymer is perhydrosilazane, which has a "transparent" polymer backbone where chromophores can be attached.
  • spin-on-glass materials also includes siloxane polymers and blockpolymers, hydrogensiloxane polymers of the general formula (H 0 . ⁇ .oSiO ⁇ .5 . .0 ) ⁇ and hydrogensilsesquioxane polymers, which have the formula (HSiO 1 5 ) x , where x is greater than about four. Also included are copolymers of hydrogensilsesquioxane and an alkoxyhydridosiloxane or hydroxyhydridosiloxane. Spin-on-glass materials additionally include organohydridosiloxane polymers of the general formula (Ho- 1 . 0 SiO 1 . 5 . 2 .
  • organohydridosilsesquioxane polymers of the general formula (HSiO ⁇ .5) n (RSiO ⁇ .5) m , where m is greater than zero and the sum of n and m is greater than about four and R is alkyl or aryl.
  • organohydridosiloxane polymers have the sum of n and m from about four to about 5000 where R is a C1-C 20 alkyl group or a C 6 -C ⁇ 2 aryl group.
  • organohydridosiloxane and organohydridosilsesquioxane polymers are alternatively denoted spin-on-polymers.
  • Some specific examples include alkylhydridosiloxanes, such as methylhydridosiloxanes, ethylhydridosiloxanes, propylhydridosiloxanes, t-butylhydridosiloxanes, phenylhydridosiloxanes; and alkylhydridosilsesquioxanes, such as methylhydridosilsesquioxanes, ethylhydridosilsesquioxanes, propylhydridosilsesquioxanes, t-butylhydridosilsequioxanes, phenylhydridosilsesquioxanes, and combinations thereof.
  • naphthalene- and anthracene-based compounds have significant absorption at 248 nm and below.
  • Benzene-based, equivalently termed here phenyl-based, compounds have significant absorption at wavelengths shorter than 200 nm. While these naphthalene-, anthracene-, and phenyl-based compounds are frequently referred to as dyes, the term absorbing compound is used here because the absorptions of these compounds are not limited to wavelengths in the visible region of the spectrum. However, not all such absorbing compounds can be incorporated into spin-on-glasses for use as ARC materials.
  • Absorbing compounds suitable for use with the present invention absorb light at a wavelength range that is centered around wavelengths such as 248 nm, 193 nm, or other ultraviolet wavelengths, such as 365 nm, that may be used in photolithography.
  • the chromophores of suitable absorbing compounds typically have at least one benzene ring, and where there are two or more benzene rings, the rings may or may not be fused.
  • Incorporatable absorbing compounds have an accessible reactive group attached to the chromophore, wherein the reactive groups include hydroxyl groups, amine groups, carboxylic acid groups, and substituted silyl groups with silicon bonded to one, two, or three "leaving groups,” such as alkoxy groups or halogen atoms. Ethoxy or methoxy groups or chlorine atoms are frequently used as leaving groups.
  • Reactive groups that include these frequently used leaving groups include siliconalkoxy, silicondialkoxy, and silicontrialkoxy compounds, such as siliconethoxy, silicondiethoxy, silicontriethoxy, siliconmethoxy, silicondimethoxy, silicontrimethoxy, chlorosilyl, dichlorosilyl, and trichlorosilyl groups.
  • the reactive groups may be directly bonded to the chromophore, as, for example, in phenyltriethoxysilane, or the reactive groups may be attached to the chromophore through an oxygen linkage or a hydrocarbon bridge, as, for example, in 9-anthracene carboxy-alkyl triethoxysilane.
  • silicontrialkoxy groups on chromophores has been found to be advantageous, especially for promoting stability of the absorbing SOG films.
  • the absorbing compounds may be incorporated interstitially in the spin-on-glass matrix.
  • the absorbing compounds can be chemically bonded to the spin-on-glass polymer.
  • the incorporatable absorbing compounds form bonds with the spin-on-glass polymer backbone via the accessible reactive groups.
  • absorbing spin on compositions comprise a silicon-based compound and an incorporatable organic absorbing compound that absorbs light over wavelengths less than about 375 nm. In other contemplated embodiments, the absorbing spin-on composition absorbs light over a wavelength range greater than 2 nm. In yet other contemplated embodiments, the absorbing spin-on composition absorbs light over a wavelength range greater than 10 nm.
  • At least one of the silicon-based compound or the incorporatable organic absorbing compound comprises at least one alkyl group, alkoxy group, ketone group or azo group.
  • Examples of absorbing compounds suitable for use with the present invention include anthraflavic acid (1), 9-anthracene carboxylic acid (2), 9-anthracene methanol (3), 9- anthracene ethanol (4), 9-anthracene propanol (5), 9-anthracene butanol (6), alizarin (7), quinizarin (8), primuline (9), 2-hydroxy-4-(3-triethoxysilylpropoxy)-diphenylketone (10), 2-hydroxy-4-(3-trimethoxysilylpropoxy)-diphenylketone (11), 2-hydroxy-4- (3-tributoxysilylpropoxy)-diphenylketone (12), 2-hydroxy-4-
  • absorbing compounds are available commercially, for example, from Aldrich Chemical Company (Milwaukee, WI). 9-anthracene carboxy-alkyl trialkoxysilanes are synthesized using esterification methods, as described immediately below.
  • phenyl-based absorbing compounds in addition to the above absorbing compounds include alkoxybenzoic acid compounds, such as mefhoxybenzoic acid; structures with silicon-based reactive groups attached to phenyl rings or to substituted phenyls, such as methylphenyl, chlorophenyl, and chloromethylphenyl.
  • phenyl-based absorbing compounds include phenyltrimethoxysilane, benzyltrichlorosilane, chloromethylphenyltrimethoxysilane, phenyltrifluorosilane, to name only a few examples.
  • Diphenyl silanes including one or two "leaving groups,” such as diphenylmethylethoxysilane, diphenyldiethoxysilane, and diphenyldichlorosilane, to again name only a few examples, are also suitable incorporatable absorbing compounds.
  • a general method of synthesizing 9-anthracene carboxy-alkyl trialkoxysilane compounds comprises using 9-anthracene carboxylic acid and a chloromethyl trialkoxysilane compound as reactants.
  • a method of synthesizing 9-anthracene carboxy-methyl triethoxysilane (18) uses 9-anthracene carboxylic acid (2) and chloromethyl triethoxysilane as reactants.
  • the reactants are combined with triethylamine and methylisobutylketone (MB3K), previously dried over 4 A molecular sieves, to form a reaction mixture that is heated to reflux and refluxed for from approximately 6 to 10 hours.
  • M3K methylisobutylketone
  • This method is significant because it is suitable to use to produce any compound in the class of 9-anthracene carboxy-alkyl trialkoxysilanes, including 9-anthracene carboxy-ethyl triethyoxysilane (TESAC), 9-anthracene carboxy-propyl trimethoxysilane, and 9-anthracene carboxy-propyl triethyoxysilane (ACTEP).
  • TESAC 9-anthracene carboxy-ethyl triethyoxysilane
  • ACTEP 9-anthracene carboxy-propyl triethyoxysilane
  • spin-on-glass materials are typically synthesized from a variety of silane reactants including, for example, triethoxysilane (HTEOS), tetraethoxysilane (TEOS), methyltriethoxysilane (MTEOS), dimefhyldiefhoxysilane, tetramethoxysilane (TMOS), methyltrimethoxysilane (MTMOS), trimethoxysilane, dimethyldimethoxysilane, phenyltriethoxysilane (PTEOS), phenyltrimethoxysilane (PTMOS), diphenyldiethoxysilane, and diphenyldimefhoxysilane.
  • HTEOS triethoxysilane
  • TEOS tetraethoxysilane
  • MTEOS methyltriethoxysilane
  • TMOS tetramethoxysilane
  • MTMOS methyltrimethoxysilane
  • Halosilanes including chlorosilanes, such as trichlorosilane, methyltrichlorosilane, ethyltrichlorosilane, phenyltrichlorosilane, tetrachlorosilane, dichlorosilane, methyldichlorosilane, dimethyldichlorosilane, chlorotriethoxysilane, chlorotrimethoxysilane, chloromethyltriethoxysilane, chloroethyltriethoxysilane, chlorophenyltriethoxysilane, chloromethyltrimethoxysilane, chloroethyltrimethoxysilane, and chlorophenyltrimefhoxysilane are also used as silane reactants.
  • the absorbing compounds such as absorbing compounds 1 - 37, or combinations thereof, are combined with the silane reactants during the synthesis of the SOG materials.
  • a reaction mixture including silane reactants, for example HTEOS, or TEOS and MTEOS, or, TMOS and MTMOS; or, alternatively, tetrachlorosilane and methyltrichlorosilane, one or more absorbing compounds, such as absorbing compounds 1 - 37; a solvent or combination of solvents; and an acid/water mixture, is formed in a reaction vessel.
  • silane reactants for example HTEOS, or TEOS and MTEOS, or, TMOS and MTMOS; or, alternatively, tetrachlorosilane and methyltrichlorosilane, one or more absorbing compounds, such as absorbing compounds 1 - 37; a solvent or combination of solvents; and an acid/water mixture
  • solvents include acetone, 2-propanol, and other simple alcohols, ketones and esters such as 1-propanol, MD3K, propoxypropanol, and propyl acetate.
  • the acid/water mixture is, for
  • protic acids or acid anhydrides such as acetic acid, formic acid, phosphoric acid, hydrochloric acid or acetic anhydride are alternatively used in the acid mixture.
  • the resulting mixture is refluxed for between approximately 1 and 24 hours to produce the absorbing SOG polymer solution.
  • the absorbing SOG can be diluted with appropriate solvents to achieve coating solutions that produce films of various thicknesses.
  • Suitable dilutant solvents include acetone, 2-propanol, ethanol, butanol, methanol, propylacetate, ethyl lactate, and propylene glycol propyl ether, referred to commercially as Propasol-P.
  • Dilutant solvents with high boiling points such as ethyl lactate and propylene glycol propyl ether have been found beneficial. It is believed high boiling point solvents decrease the probability of formation of bubble film defects. In contrast, lower boiling point solvents may become entrapped below a crosslinked top layer of a film and subsequently produce voids when driven off during a baking process step.
  • Additional solvents useful in the invention include ethylene glycol dimethyl ether, alternatively termed glyme, anisole, dibutyl ether, dipropyl ether, propylene glycol methyl ether acetate, and pentanol.
  • surfactants such as the product FC430, provided by 3M (Minneapolis, MN), or the product Megaface R08, provided by DIC (Japan), are also added to the coating solution.
  • the coating solution is typically between about 0.5 and 20 % polymer by weight. Prior to use, the coating solution is filtered by standard filtration techniques.
  • a reaction mixture including silane reactants, one or more of absorbing compounds, such as absorbing compounds 1 - 37, and a solvent or combination of solvents is formed in a reaction vessel.
  • the reaction mixture is heated to reflux and refluxed for between approximately 1 and 24 hours.
  • the silane reactants and solvents are as described in the first method above.
  • An acid/water mixture, as described above, is added to the reaction mixture while stirring.
  • the resulting mixture is heated to reflux and refluxed for between approximately 1 and 24 hours to produce the absorbing SOG polymer.
  • the absorbing SOG is diluted and filtered as described above to form a coating solution.
  • a method of forming an absorbing organohydridosiloxane material includes forming a mixture of a dual phase solvent which includes both a non-polar solvent and a polar solvent and a phase transfer catalyst; adding one or more organotrihalosilane, hydridotrihalosilane, and one or more of absorbing compounds, such as absorbing compounds 1 - 37, to provide a dual phase reaction mixture; and reacting the dual phase reaction mixture for between 1 and 24 hours to produce the absorbing organohydridosiloxane polymer.
  • the phase transfer catalyst includes but is not limited to tetrabutylammonium chloride and benzyltrimethylammonium chloride.
  • non-polar solvents include, but are not limited to, pentane, hexane, heptane, cyclohexane, benzene, toluene, xylene, halogenated solvents such as carbon tetrachloride and mixtures thereof.
  • Useful polar solvents include water, alcohols, and alcohol and water mixtures. The absorbing polymer solution is diluted and filtered as described above to form a coating solution.
  • the absorbing SOG coating solutions are applied to various layers used in semiconductor processing, depending on the specific fabrication process, typically by conventional spin-on deposition techniques. These techniques include a dispense spin, a thickness spin, and thermal bake steps, to produce an absorbing SOG anti-reflective coating. Typical processes include a thickness spin of between 1000 and 4000 rpm for about 20 seconds and two or three bake steps at temperatures between 80°C and 300°C for about one minute each.
  • the absorbing SOG anti-reflective coatings, according to the present invention exhibit refractive indices between about 1.3 and about 2.0 and extinction coefficients greater than 0.07. As reported below in the Examples Section, extinction coefficients greater than 0.4 have been obtained. In contrast, the extinction coefficient of dielectric materials such as silicon dioxide, silicate, and methylsiloxane, are about zero at wavelengths greater than 190 nm.
  • a dielectric layer 22 is deposited on a silicon substrate 20.
  • Dielectric layer 22 can be composed of a variety of dielectric materials including, for example, a silicon dioxide layer derived from TEOS, a silane based silicon dioxide layer, a thermally grown oxide, or a chemical-vapor-deposition-produced methylhydridosiloxane or silicon dioxide incorporating other elements or compounds.
  • Dielectric layer 22 is typically an optically transparent medium.
  • An absorbing SOG anti-reflective coating layer 24 is applied above dielectric layer 22 (Fig.
  • a photoresist layer 26, of a conventional positive photoresist to produce the stack shown in Fig. 2c.
  • the stack of Fig. 2c is exposed to ultraviolet radiation 32 through mask 30, as shown in Fig. 2d.
  • the absorbing SOG ARC layer 24 absorbs UN light 32 transmitted through the photoresist.
  • the dielectric layer 22 is transparent in the UN wavelength range, if absorbing SOG ARC layer 24 were not present, the UN light 32 would reflect off the underlying silicon layer 20 degrading a critical dimension, for example critical dimension 27 of the exposed photoresist.
  • a positive photoresist which provides direct image transfer, is assumed.
  • the exposed stack is developed to produce the stack of Fig. 2e.
  • the absorbing SOG ARC layer 24 is resistant to conventional photoresist developer solutions such as a 2.5% solution of tetramethylammoniumhydroxide (TMAH).
  • TMAH tetramethylammoniumhydroxide
  • organic ARC layers which have some of the chemical characteristics of the photoresist materials, are more sensitive to photoresist developers.
  • absorbing SOG ARC layers are resistant to reducing chemistry, gas-based, photoresist stripping processes, whereas organic ARC's are not resistant.
  • use of absorbing SOG layers may facilitate photoresist rework, without the need to reapply the ARC layer.
  • a pattern is etched in the absorbing SOG ARC layer 24 through the opening in photoresist layer 26 to produce the etched stack of Fig. 2f.
  • a fluorocarbon etch which has a high selectivity to photoresist, is used to etch the absorbing SOG ARC layer 24.
  • the response of the absorbing SOG to a fluorocarbon etch provides an additional advantage of the absorbing SOG over organic ARC layers, which require an oxygen plasma etch.
  • An oxygen plasma etch can degrade the critical dimension of the developed photoresist because the photoresist, being organic based, is also etched by an oxygen plasma.
  • a fluorocarbon plasma consumes less photoresist than an oxygen plasma.
  • the thickness of photoresist layer 26 at the exposure step shown in Fig. 2d For example, it is estimated that at 193 nm, the thickness of photoresist layer should be approximately 300 nm. Thus, as these short wavelengths start to be employed, it will be important to have an ARC layer that can be etched selectively with respect to the photoresist.
  • the fluorocarbon etch is continued through the dielectric layer 22 to produce the stack of Fig. 2g.
  • Photoresist layer 26 is partially consumed during the continued etch process.
  • the photoresist layer 26 is stripped using an oxygen plasma or a hydrogen reducing chemistry or wet chemistry and the SOG ARC layer 24 is stripped using either a buffered oxide etch, for example a standard hydrofluoric acid/water mixture, or an aqueous or non- aqueous organoamine or aqueous or non-aqueous fluorine-based chemistry.
  • the SOG ARC layer can be stripped with solutions that show a good selectivity with respect to the underlying dielectric layer.
  • the general photolithographic method shown in Figs. 2a-2h illustrates the process advantages of absorbing SOG materials as anti-reflective coating layers and as sacrificial anti-reflective coating layers.
  • the methods of synthesizing the absorbing SOG materials as well as the synthesis of absorbing compounds, such as 9-anthracene carboxy-alkyl trialkoxysilane and more specifically 9-anthracene carboxy-ethyl triethoxysilane and 9-anthracene carboxy-propyl triethoxysilane, are illustrated in the following examples.
  • the solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each.
  • Optical properties were measured with an N & K Technology Model 1200 analyzer.
  • the film thickness was 1635 A.
  • the refractive index (n) was 1.373 and the extinction coefficient (k) was 0.268. It should be appreciated, however, that higher purity starting materials and absorbing compounds, such as the 9-anthracene carboxy-methyl triethoxysilane in this example, would give higher extinction coefficients.
  • the same spin and bake process parameters and measurement technique was used in all of the following examples. Synthesis of absorbing SOG containing 9-anthracene carboxy-ethyl triethoxysilane
  • the solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each.
  • the solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each.
  • n values may vary according to the thickness and purity of the starting components and reactants.
  • the solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each.
  • the solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each.
  • 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, and rosolic acid In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 20 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 25 grams of 9-anthracene methanol, and 5 grams of rosolic Acid, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours.
  • a 1.75 inch diameter by 2 inch high column of silica gel slurried with 20% ethylacetate / 80% hexane was prepared.
  • the MIBK/hexane solution was passed through the column under pressure and the column washed with 800 ml of 20% ethylacetate / 80% hexane.
  • the solution was filtered to 0.2 ⁇ m and roto-evaporated. When the solvent stopped coming off, the temperature was raised to 35°C for 60 minutes. A dark amber oily liquid product was obtained (85g).
  • a 1.75 inch diameter by 2 inch high column of silica gel slurried with 20% ethylacetate / 80% hexane was prepared.
  • the MIBK/hexane solution was passed through the column under pressure and the column washed with 800 ml of 20% ethylacetate / 80% hexane.
  • the solution was filtered to 0.2 ⁇ m and roto-evaporated. When the solvent stopped coming off, the temperature was raised to 35°C for 60 minutes.
  • a 1.75 inch diameter by 2 inch high column of silica gel slurried with 20% ethylacetate / 80% hexane was prepared.
  • the MIBK/hexane solution was passed through the column under pressure and the column washed with 800 ml of 20% ethylacetate / 80% hexane.
  • the solution was filtered to 0.2 ⁇ m and roto-evaporated. When the solvent stopped coming off, the temperature was raised to 35°C for 60 minutes.
  • a 1.75 inch diameter by 2 inch high column of silica gel slurried with 20% ethylacetate / 80% hexane was prepared.
  • the MIBK/hexane solution was passed through the column under pressure and the column washed with 800 ml of 20% ethylacetate / 80% hexane.
  • the solution was filtered to 0.2 ⁇ m and roto-evaporated. When the solvent stopped coming off, the temperature was raised to 35°C for 60 minutes.
  • a 6L jacketed reactor equipped with a nitrogen inlet, dry ice condenser and a mechanical stirrer is charged with 5000mL hexanes 720mL ethanol, 65mL water and 120g of a 10% by weight tetrabutylammonium chloride hydrate solution in water.
  • the mixture is equilibrated for 0.5hr with stirring at 25°C.
  • a mixture of trichlorosilane (377.4g, 2.78Mol), methyltrichlorosilane (277.7g, I.86M0I), and (203.8g, 0.46Mol) 9-anthracene carboxy-methyl triethoxysilane is added to the reactor using a peristaltic pump over a period of 70 minutes.
  • hexane is pumped through the lines for 10 minutes.
  • the reaction is stirred for 2.3 hours, the ethanol/H 2 O layer is removed and then the remaining hexane solution filtered through a 3 micron ( ⁇ m) filter, followed by a l ⁇ m filter.
  • To the solution (3957g, 45.92Mol) hexane is added.
  • a 6L jacketed reactor equipped with a nitrogen inlet, dry ice condenser and a mechanical st rer is charged with 5000mL hexanes 720mL ethanol, 65mL water and 120g of a 10% by weight tetrabutylammonium chloride hydrate solution in water. The mixture is equilibrated for 0.5hr with stirring at 25°C.
  • a mixture of trichlorosilane (377.4g, 2.78Mol), methyltrichlorosilane (277.7g, I.86M0I), and (203.8g, 0.46Mol) 9-anthracene carboxy-methyl triethoxysilane is added to the reactor using a peristaltic pump over a period of 70 minutes.
  • hexane is pumped through the lines for 10 minutes.
  • the reaction is stined for 2.3 hours, the ethanol/H 2 O layer is removed and then the remaining hexane solution filtered through a 3 micron ( ⁇ m) filter, followed by a l ⁇ m filter.
  • To the solution (3957g, 45.92Mol) hexane is added.
  • a 6L jacketed reactor equipped with a nitrogen inlet, dry ice condenser and a mechanical stiner is charged with 5000mL hexanes 720mL ethanol, 65mL water and 120g of a 10% by weight tetrabutylammonium chloride hydrate solution in water.
  • the mixture is equilibrated for 0.5hr with stirring at 25°C.
  • a mixture of trichlorosilane (377.4g, 2.78Mol), methyltrichlorosilane (277.7g, I.86M0I), and (203.8g, 0.46Mol) 9-anthracene carboxy-methyl triethoxysilane is added to the reactor using a peristaltic pump over a period of 70 minutes.
  • hexane is pumped through the lines for 10 minutes.
  • the reaction is stined for 2.3 hours, the ethanol/H 2 O layer is removed and then the remaining hexane solution filtered through a 3 micron ( ⁇ m) filter, followed by a l ⁇ m filter.
  • To the solution (3957g, 45.92Mol) hexane is added.
  • a 6L jacketed reactor equipped with a nitrogen inlet, dry ice condenser and a mechanical stirrer is charged with 5000mL hexanes 720mL ethanol, 65mL water and 120g of a 10% by weight tetrabutylammonium chloride hydrate solution in water.
  • the mixture is equilibrated for 0.5hr with stirring at 25°C.
  • a mixture of trichlorosilane (377.4g, 2.78Mol), methyltrichlorosilane (277.7g, I.86M0I), and (203.8g, 0.46Mol) 9-anthracene carboxy-methyl triethoxysilane is added to the reactor using a peristaltic pump over a period of 70 minutes.
  • hexane is pumped through the lines for 10 minutes.
  • the reaction is st rred for 2.3 hours, the ethanol/H 2 O layer is removed and then the remaining hexane solution filtered through a 3 micron ( ⁇ m) filter, followed by a l ⁇ m filter.
  • To the solution (3957g, 45.92Mol) hexane is added.

Abstract

Anti-reflective coating materials for ultraviolet photolithography include at least one organic light-absorbing compound incorporated into spin-on-glass materials. Suitable absorbing compounds are strongly absorbing over wavelength ranges around wavelengths such as 365 nm, 248 nm, 193 nm and 157 nm that may be used in photolithography. A method of making absorbing spin-on-glass materials includes combining at least one organic absorbing compound with alkoxysilane or halosilane reactants during synthesis of the spin-on-glass materials.

Description

S P I N- ON- GL AS S A N T I - R E F L E C T I V E
COATI NGS
F OR P HOTOLI THOGRAP HY
This application is a continuation-in-part of U.S. Patent 6,268,457 issued to Kennedy et al. (July 31, 2001), of U.S. Patent Application Serial No.09/698,883 filed October 27, 2000, and of U.S Patent Application Serial No.09/491,166 filed January 26, 2000, which are all incorporated herein in their entirety by reference.
F i e l d o f t h e I n v e n t i o n
The present invention relates generally to spin-on glass materials and more specifically to light-absorbing spin-on glass materials for use as anti-reflective layers in photolithography and methods of producing the materials.
Ba c k gr ou nd of t he I n ve nt i on
To meet the requirements for faster performance, the characteristic dimensions of features of integrated circuit devices have continued to be decreased. Manufacturing of devices with smaller feature sizes introduces new challenges in many of the processes conventionally used in semiconductor fabrication. One of the most important of these fabrication processes is photolithography.
It has long been recognized that linewidth variations in patterns produced by photolithography can result from optical interference from light reflecting off an underlying layer on a semiconductor wafer. Variations in photoresist thickness due to the topography of the underlying layer also induce linewidth variations. Anti-reflective coatings (ARC) applied under a photoresist layer have been used to prevent interference from reflection of the irradiating beam. In addition, anti-reflective coatings partially planarize the wafer topography, helping to improve linewidth variation over steps because the photoresist thickness is more uniform.
Organic polymer films, particularly those that absorb at the i-line (365 nm) and g-line (436 nm) wavelengths conventionally used to expose photoresists, and at the recently used 248 nm wavelength, have been employed as anti-reflective coatings. However, the fact that the organic ARC's share many chemical properties with the organic photoresists can limit usable process sequences. Furthermore ARC's may intermix with photoresist layers. One solution to avoid intermixing, is to introduce thermosetting binders as additional components of organic ARC's, as described, for example in U.S. Patent No. 5,693,691 to Flaim et al. Dyes may also be incorporated in organic ARC's, as well as, optionally, additional additives such as wetting agents, adhesions promoters, preservatives, and plasticizers, as described in U. S. Patent No. 4,910,122 to Arnold et al.
Silicon oxynitride is another material that has been used as an anti-reflective coating. However, silicon oxynitride works as an ARC by a destructive interference process rather than by absorption, which means that very tight control of the oxynitride thickness is necessary and that the material may not work well as an ARC over highly variable topography. Furthermore, silicon oxynitride is typically deposited by chemical vapor deposition, while photoresist layers are typically applied using a spin-coater. The additional chemical vapor deposition process can add to processing complexity.
Another class of materials that can be used as an anti-reflective layer is spin-on-glass
(SOG) compositions containing a dye. Yau et al., U.S. Patent No. 4,587,138, disclose a dye such as basic yellow #11 mixed with a spin-on-glass in an amount approximately 1% by weight. Allman et al. U. S. Patent No. 5,100,503 disclose a cross-linked polyorganosiloxane containing an inorganic dye such as TiO2, Cr2O , MoO4, MnO4, or ScO4, and an adhesion promoter. Allman additionally teaches that the spin-on-glass compositions also serve as a planarizing layer. However, the spin-on-glass, dye combinations that have been disclosed to date are not optimal for exposure to the deep ultraviolet, particularly 248 and 193 nm, light sources that are coming into use to produce devices with small feature sizes. Furthermore, not all dyes can be readily incorporated into an arbitrary spin-on-glass composition. Therefore, an absorbing spin-on-glass anti-reflective coating and lithography material that absorbs strongly and uniformly in the ultraviolet spectral region and a method of producing the spin-on glass anti-reflective coating would be desirable. It would also be desirable for the ARC layer to be impervious to photoresist developers.
S u m m a r v o f t h e I n v e n t i o n
An anti-reflective coating material for deep ultraviolet photolithography comprises one or more organic absorbing compounds incorporated into a spin-on-glass (SOG) material. The spin-on-glass materials comprise silicon-based compounds, such as methylsiloxane, methylsilsesquioxane, phenylsiloxane, phenylsilsesquioxane, methylphenylsiloxane, methylphenylsilsesquioxane, silicate polymers and mixtures thereof. As used herein, the group known as "spin-on-glass materials" also comprises siloxane polymers, hydrogensiloxane polymers of the general formula (H0-ι.0SiOι.5.2.o)x and hydrogensilsesquioxane polymers, which have the formula (HSiOι.5)x, where x is greater than about four. Also included are copolymers of hydrogensilsesquioxane and alkoxyhydridosiloxane or hydroxyhydridosiloxane. Spin-on-glass materials additionally include organohydridosiloxane polymers of the general formula (Ho-ι.oSiOι.5.2.0)n(R0.ι.0SiOι.5- 2.o)m> and organohydridosilsesquioxane polymers of the general formula (HSiOι.5)n(RSiOι.5)m, where m is greater than zero and the sum of n and m is greater than about four and R is alkyl or aryl.
Absorbing compounds suitable for incorporation into the spin-on-glass materials are strongly absorbing at wavelengths less than 375 nm or less than about 260 nm. In particular, suitable absorbing compounds absorb light around wavelengths such as 248 nm, 193 nm, 157 nm or other ultraviolet wavelengths, such as 365 nm, that may be used in photolithography. The chromophores of suitable compounds typically have at least one benzene ring, and in those instances where there are two or more benzene rings, those rings may or may not be fused. Incorporatable absorbing compounds have an accessible reactive group attached to the chromophore, wherein the reactive groups can include hydroxyl groups, amine groups, carboxylic acid groups, and substituted silyl groups with silicon bonded to one, two, or three alkoxy group or halogen atom substituents. The reactive groups may be directly bonded to the chromophore or the reactive groups may be attached to the chromophore through a hydrocarbon bridge or an oxygen linkage. The chromophores may also comprise silicon- based compounds or polymers similar to those used to formulate the spin-on glass materials.
Examples of suitable incorporatable organic absorbing compounds include those compounds with one benzene ring, such as phenyltrialkoxysilane (phenyltriethoxysilane, phenyltrimethoxysilane, phenyltripropoxysilane); those compounds with two or more benzene rings that are not fused, such as 2-hydroxy-4-(3-trialkoxysilylpropoxy)-diphenylketone, 3-hydroxy-4-(3-trialkoxysilylpropoxy)-diphenylketone, rosolic acid, 4-phenylazophenol, and 4-alkoxyphenylazobenzene-4-carboxy-alkyl triethoxysilane, primuline; and those with two or more benzene rings that are fused, such as trialkoxysilylpropyl-l,8-naphthalimide, anthraflavic acid, alizarin, quinizarin, 9-anthracene carboxy-alkyl triethoxysilanes (9- anthracene carboxy-methyl triethoxysilane, 9-anthracene carboxy-ethyl triethoxysilane, 9-anthracene carboxy-butyl triethoxysilane, 9-anthracene carboxy-propyl triethoxysilane, 9-anthracene carboxy-pentyl triethoxysilane), 9-anthracene carboxylic acid, 9-anthracene methanol and mixtures thereof.
According to another aspect of the present invention, methods for synthesizing absorbing spin-on-glass compositions are provided. Spin-on-glass materials are conventionally synthesized from silane and silicon-based reactants such as triethoxysilane, tetraethoxysilane, mefhyltriethoxysilane, dimethyldiethoxysilane, tetramethoxysilane, methyltrimethoxysilane, trimethoxysilane, dimethyldimethoxysilane, phenyltriethoxysilane, phenyltrimethoxysilane, diphenyldiethoxysilane, and diphenyldimethoxysilane. Halosilanes, particularly chlorosilanes, for example, trichlorosilane, methyltrichlorosilane, ethyltrichlorosilane, phenyltrichlorosilane, tetrachlorosilane, dichlorosilane, methyldichlorosilane, dimethyldichlorosilane, chlorotriethoxysilane, chlorotrimethoxysilane, chloromethyltriethoxysilane, chloroethyltriethoxysilane, chlorophenyltriethoxysilane, chloromethyltrimethoxysilane, chloroethyltrimethoxysilane, and chlorophenyltrimethoxysilane are also used as silane reactants.
A method of making an absorbing spin-on-glass composition includes combining one or more alkoxysilanes, or, one or more halosilanes, one or more incorporatable organic absorbing compounds, an acid water mixture, such as a nitric acid/water mixture, and one or more solvents to form a reaction mixture; and refluxing the reaction mixture to form the absorbing spin-on-glass composition. The spin-on-glass composition so formed is diluted with one or more solvents to provide coating solutions that produce films of various thicknesses. Alternative methods of making an absorbing spin-on-glass composition, including methods using halosilanes and a phase transfer catalyst, are also provided.
In yet another aspect of the present invention, an absorbing spin on composition is produced comprising a silicon-based compound and an incorporatable organic absorbing compound that strongly absorbs light at wavelengths less than about 375 nm. Further provided are absorbing spin on compositions, wherein at least one of the silicon-based compound or the incorporatable organic absorbing compound comprises at least one alkyl group, alkoxy group, ketone group or azo group.
According to yet another aspect of the invention, the absorbing compounds of the chemical class comprising 9-anthracene carboxy-alkyl trialkoxysilane is provided. A method of synthesizing any one of the 9-anthracene carboxy-alkyl trialkoxysilanes includes combining 9-anthracene carboxylic acid, chloroalkyltrialkoxysilane, triethylamine, and a solvent to form a reaction mixture; refluxing the reaction mixture; cooling the refluxed reaction mixture to form a precipitate and a remaining solution; and filtering the remaining solution to produce liquid 9-anthracene carboxy-alkyl trialkoxysilane.
B r i e f D e s c r i p t i o n o f t h e F i g u r e s
Figs, la - If show chemical formulas of absorbing compounds incorporated into spin- on-glass compositions.
Figs. 2a - 2h illustrate the use of absorbing spin-on-glass compositions as anti- reflective coating layers in a photolithography process. D e t a i l e d D e s c r i p t i o n
An anti-reflective coating material for ultraviolet photolithography includes at least one organic absorbing compound incorporated into a spin-on-glass (SOG) material. The absorbing spin-on-glass compositions are dissolved in appropriate solvents to form coating solutions and applied to various layers of materials in fabricating semiconductor devices. The absorbing spin-on-glass anti-reflective coatings are designed to be readily integrated into existing semiconductor fabrication processes. Some properties that facilitate integration include a) developer resistance, b) thermal stability during standard photoresist processing, and c) selective removal with respect to underlying layers.
Contemplated spin-on-glass materials comprise silicon-based compounds, such as methylsiloxane, methylsilsesquioxane, phenylsiloxane, phenylsilsesquioxane, methylphenylsiloxane, methylphenylsilsesquioxane, silazane polymers, silicate polymers and mixtures thereof. A contemplated silazane polymer is perhydrosilazane, which has a "transparent" polymer backbone where chromophores can be attached. As used herein, the phrase "spin-on-glass materials" also includes siloxane polymers and blockpolymers, hydrogensiloxane polymers of the general formula (H0.ι.oSiOι.5. .0)χ and hydrogensilsesquioxane polymers, which have the formula (HSiO1 5)x, where x is greater than about four. Also included are copolymers of hydrogensilsesquioxane and an alkoxyhydridosiloxane or hydroxyhydridosiloxane. Spin-on-glass materials additionally include organohydridosiloxane polymers of the general formula (Ho-1.0SiO1.5.2.0)n(Ro-ι.QSiOι.5. 2 0)m, and organohydridosilsesquioxane polymers of the general formula (HSiOι.5)n(RSiOι.5)m, where m is greater than zero and the sum of n and m is greater than about four and R is alkyl or aryl. Some useful organohydridosiloxane polymers have the sum of n and m from about four to about 5000 where R is a C1-C20 alkyl group or a C6-Cι2 aryl group. The organohydridosiloxane and organohydridosilsesquioxane polymers are alternatively denoted spin-on-polymers. Some specific examples include alkylhydridosiloxanes, such as methylhydridosiloxanes, ethylhydridosiloxanes, propylhydridosiloxanes, t-butylhydridosiloxanes, phenylhydridosiloxanes; and alkylhydridosilsesquioxanes, such as methylhydridosilsesquioxanes, ethylhydridosilsesquioxanes, propylhydridosilsesquioxanes, t-butylhydridosilsequioxanes, phenylhydridosilsesquioxanes, and combinations thereof.
Many naphthalene- and anthracene-based compounds have significant absorption at 248 nm and below. Benzene-based, equivalently termed here phenyl-based, compounds have significant absorption at wavelengths shorter than 200 nm. While these naphthalene-, anthracene-, and phenyl-based compounds are frequently referred to as dyes, the term absorbing compound is used here because the absorptions of these compounds are not limited to wavelengths in the visible region of the spectrum. However, not all such absorbing compounds can be incorporated into spin-on-glasses for use as ARC materials. Absorbing compounds suitable for use with the present invention absorb light at a wavelength range that is centered around wavelengths such as 248 nm, 193 nm, or other ultraviolet wavelengths, such as 365 nm, that may be used in photolithography.
The chromophores of suitable absorbing compounds typically have at least one benzene ring, and where there are two or more benzene rings, the rings may or may not be fused. Incorporatable absorbing compounds have an accessible reactive group attached to the chromophore, wherein the reactive groups include hydroxyl groups, amine groups, carboxylic acid groups, and substituted silyl groups with silicon bonded to one, two, or three "leaving groups," such as alkoxy groups or halogen atoms. Ethoxy or methoxy groups or chlorine atoms are frequently used as leaving groups. Reactive groups that include these frequently used leaving groups include siliconalkoxy, silicondialkoxy, and silicontrialkoxy compounds, such as siliconethoxy, silicondiethoxy, silicontriethoxy, siliconmethoxy, silicondimethoxy, silicontrimethoxy, chlorosilyl, dichlorosilyl, and trichlorosilyl groups. The reactive groups may be directly bonded to the chromophore, as, for example, in phenyltriethoxysilane, or the reactive groups may be attached to the chromophore through an oxygen linkage or a hydrocarbon bridge, as, for example, in 9-anthracene carboxy-alkyl triethoxysilane. The inclusion of silicontrialkoxy groups on chromophores, for example, has been found to be advantageous, especially for promoting stability of the absorbing SOG films. Other useful absorbing compounds are those that contain an azo group, -N=N-, and an accessible reactive group, particularly those containing an azo group linking benzene rings, especially when absorption around 365 nm is desired for the particular application. In the absorbing spin-on-glass compositions or materials, the absorbing compounds may be incorporated interstitially in the spin-on-glass matrix. Alternatively, the absorbing compounds can be chemically bonded to the spin-on-glass polymer. In some contemplated embodiments, the incorporatable absorbing compounds form bonds with the spin-on-glass polymer backbone via the accessible reactive groups.
In some contemplated embodiments, absorbing spin on compositions comprise a silicon-based compound and an incorporatable organic absorbing compound that absorbs light over wavelengths less than about 375 nm. In other contemplated embodiments, the absorbing spin-on composition absorbs light over a wavelength range greater than 2 nm. In yet other contemplated embodiments, the absorbing spin-on composition absorbs light over a wavelength range greater than 10 nm.
Further, at least one of the silicon-based compound or the incorporatable organic absorbing compound comprises at least one alkyl group, alkoxy group, ketone group or azo group.
Examples of absorbing compounds suitable for use with the present invention include anthraflavic acid (1), 9-anthracene carboxylic acid (2), 9-anthracene methanol (3), 9- anthracene ethanol (4), 9-anthracene propanol (5), 9-anthracene butanol (6), alizarin (7), quinizarin (8), primuline (9), 2-hydroxy-4-(3-triethoxysilylpropoxy)-diphenylketone (10), 2-hydroxy-4-(3-trimethoxysilylpropoxy)-diphenylketone (11), 2-hydroxy-4- (3-tributoxysilylpropoxy)-diphenylketone (12), 2-hydroxy-4-
(3-tripropoxysilylpropoxy)-diphenylketone (13), rosolic acid (14), triethoxysilylpropyl-1,8- naphthalimide (15), trimethoxysilylpropyl-l,8-naphthalimide (16), tripropoxysilylpropyl-1,8- naphthalimide (17), 9-anthracene carboxy-methyl triethoxysilane (18), 9-anthracene carboxy- ethyl triethoxysilane (19), 9-anthracene carboxy-butyl triethoxysilane (20), 9-anthracene carboxy-propyl triethoxysilane (21), 9-anthracene carboxy-methyl trimethoxysilane (22), 9-anthracene carboxy-ethyl tributoxysilane (23), 9-anthracene carboxy-methyl tripropoxysilane (24), 9-anthracene carboxy-propyl trimethoxysilane (25), phenyltriethoxysilane (26), phenyltrimethoxysilane (27), phenyltripropoxysilane (28), 4- phenylazophenol, (29), 4-ethoxyphenylazobenzene-4-carboxy-methyl triethoxysilane (30), 4- methoxyphenylazobenzene-4-carboxy-ethyl triethoxysilane (31), 4-ethoxyphenylazobenzene- 4-carboxy-propyl triethoxysilane (32), 4-butoxyphenylazobenzene-4-carboxy-propyl triethoxysilane (33), 4-methoxyphenylazobenzene-4-carboxy-methyl triethoxysilane (34), 4- ethoxyphenylazobenzene-4-carboxy-methyl triethoxysilane (35), 4- methoxyphenylazobenzene-4-carboxy-ethyl triethoxysilane (36), 4- methoxyphenylazobenzene-4-carboxy-propyl triethoxysilane (37), and combinations, thereof. Chemical formulas of absorbing compounds 1 - 37 are illustrated in Figs, la - If. Advantageous results have been obtained, for example, with 9-anthracene carboxy-methyl triethoxysilane (18) with combinations of 9-anthracene methanol (3), 2-hydroxy-4- (3-triethoxysilylpropoxy)-diphenylketone (10), and rosolic acid (14), and with phenyltriethoxysilane (26). It should be appreciated, however, that this list of specific compounds is not an exhaustive list, and that contemplated and preferred compounds can be selected from the chemical compound classes that comprise these specific compounds.
Most of these absorbing compounds are available commercially, for example, from Aldrich Chemical Company (Milwaukee, WI). 9-anthracene carboxy-alkyl trialkoxysilanes are synthesized using esterification methods, as described immediately below. Examples of phenyl-based absorbing compounds in addition to the above absorbing compounds include alkoxybenzoic acid compounds, such as mefhoxybenzoic acid; structures with silicon-based reactive groups attached to phenyl rings or to substituted phenyls, such as methylphenyl, chlorophenyl, and chloromethylphenyl. Specific phenyl-based absorbing compounds include phenyltrimethoxysilane, benzyltrichlorosilane, chloromethylphenyltrimethoxysilane, phenyltrifluorosilane, to name only a few examples. Diphenyl silanes including one or two "leaving groups," such as diphenylmethylethoxysilane, diphenyldiethoxysilane, and diphenyldichlorosilane, to again name only a few examples, are also suitable incorporatable absorbing compounds.
A general method of synthesizing 9-anthracene carboxy-alkyl trialkoxysilane compounds comprises using 9-anthracene carboxylic acid and a chloromethyl trialkoxysilane compound as reactants. Specifically, a method of synthesizing 9-anthracene carboxy-methyl triethoxysilane (18) uses 9-anthracene carboxylic acid (2) and chloromethyl triethoxysilane as reactants. The reactants are combined with triethylamine and methylisobutylketone (MB3K), previously dried over 4 A molecular sieves, to form a reaction mixture that is heated to reflux and refluxed for from approximately 6 to 10 hours. After reflux, the reaction mixture is cooled overnight leading to a large quantity of solid precipitate. The remaining solution is roto-evaporated, filtered through a silica gel column, and roto-evaporated a second time, to produce 9-anthracene carboxy-methyl triethoxysilane (18) as a dark amber oily liquid, which may be purified. This method is significant because it is suitable to use to produce any compound in the class of 9-anthracene carboxy-alkyl trialkoxysilanes, including 9-anthracene carboxy-ethyl triethyoxysilane (TESAC), 9-anthracene carboxy-propyl trimethoxysilane, and 9-anthracene carboxy-propyl triethyoxysilane (ACTEP).
According to another aspect of the present invention, methods for synthesizing absorbing spin-on-glass compositions are provided. Spin-on-glass materials are typically synthesized from a variety of silane reactants including, for example, triethoxysilane (HTEOS), tetraethoxysilane (TEOS), methyltriethoxysilane (MTEOS), dimefhyldiefhoxysilane, tetramethoxysilane (TMOS), methyltrimethoxysilane (MTMOS), trimethoxysilane, dimethyldimethoxysilane, phenyltriethoxysilane (PTEOS), phenyltrimethoxysilane (PTMOS), diphenyldiethoxysilane, and diphenyldimefhoxysilane. Halosilanes, including chlorosilanes, such as trichlorosilane, methyltrichlorosilane, ethyltrichlorosilane, phenyltrichlorosilane, tetrachlorosilane, dichlorosilane, methyldichlorosilane, dimethyldichlorosilane, chlorotriethoxysilane, chlorotrimethoxysilane, chloromethyltriethoxysilane, chloroethyltriethoxysilane, chlorophenyltriethoxysilane, chloromethyltrimethoxysilane, chloroethyltrimethoxysilane, and chlorophenyltrimefhoxysilane are also used as silane reactants. To produce the absorbing spin-on-glass compositions, the absorbing compounds, such as absorbing compounds 1 - 37, or combinations thereof, are combined with the silane reactants during the synthesis of the SOG materials.
In a first method, a reaction mixture including silane reactants, for example HTEOS, or TEOS and MTEOS, or, TMOS and MTMOS; or, alternatively, tetrachlorosilane and methyltrichlorosilane, one or more absorbing compounds, such as absorbing compounds 1 - 37; a solvent or combination of solvents; and an acid/water mixture, is formed in a reaction vessel. Appropriate solvents include acetone, 2-propanol, and other simple alcohols, ketones and esters such as 1-propanol, MD3K, propoxypropanol, and propyl acetate. The acid/water mixture is, for example nitric acid and water. Other protic acids or acid anhydrides, such as acetic acid, formic acid, phosphoric acid, hydrochloric acid or acetic anhydride are alternatively used in the acid mixture. The resulting mixture is refluxed for between approximately 1 and 24 hours to produce the absorbing SOG polymer solution.
The absorbing SOG can be diluted with appropriate solvents to achieve coating solutions that produce films of various thicknesses. Suitable dilutant solvents include acetone, 2-propanol, ethanol, butanol, methanol, propylacetate, ethyl lactate, and propylene glycol propyl ether, referred to commercially as Propasol-P. Dilutant solvents with high boiling points such as ethyl lactate and propylene glycol propyl ether have been found beneficial. It is believed high boiling point solvents decrease the probability of formation of bubble film defects. In contrast, lower boiling point solvents may become entrapped below a crosslinked top layer of a film and subsequently produce voids when driven off during a baking process step. Additional solvents useful in the invention include ethylene glycol dimethyl ether, alternatively termed glyme, anisole, dibutyl ether, dipropyl ether, propylene glycol methyl ether acetate, and pentanol. Optionally, surfactants, such as the product FC430, provided by 3M (Minneapolis, MN), or the product Megaface R08, provided by DIC (Japan), are also added to the coating solution. The coating solution is typically between about 0.5 and 20 % polymer by weight. Prior to use, the coating solution is filtered by standard filtration techniques.
According to a second method of forming absorbing SOG materials, a reaction mixture including silane reactants, one or more of absorbing compounds, such as absorbing compounds 1 - 37, and a solvent or combination of solvents is formed in a reaction vessel. The reaction mixture is heated to reflux and refluxed for between approximately 1 and 24 hours. The silane reactants and solvents are as described in the first method above. An acid/water mixture, as described above, is added to the reaction mixture while stirring. The resulting mixture is heated to reflux and refluxed for between approximately 1 and 24 hours to produce the absorbing SOG polymer. The absorbing SOG is diluted and filtered as described above to form a coating solution. A method of forming an absorbing organohydridosiloxane material includes forming a mixture of a dual phase solvent which includes both a non-polar solvent and a polar solvent and a phase transfer catalyst; adding one or more organotrihalosilane, hydridotrihalosilane, and one or more of absorbing compounds, such as absorbing compounds 1 - 37, to provide a dual phase reaction mixture; and reacting the dual phase reaction mixture for between 1 and 24 hours to produce the absorbing organohydridosiloxane polymer. The phase transfer catalyst includes but is not limited to tetrabutylammonium chloride and benzyltrimethylammonium chloride. Exemplary non-polar solvents include, but are not limited to, pentane, hexane, heptane, cyclohexane, benzene, toluene, xylene, halogenated solvents such as carbon tetrachloride and mixtures thereof. Useful polar solvents include water, alcohols, and alcohol and water mixtures. The absorbing polymer solution is diluted and filtered as described above to form a coating solution.
The absorbing SOG coating solutions are applied to various layers used in semiconductor processing, depending on the specific fabrication process, typically by conventional spin-on deposition techniques. These techniques include a dispense spin, a thickness spin, and thermal bake steps, to produce an absorbing SOG anti-reflective coating. Typical processes include a thickness spin of between 1000 and 4000 rpm for about 20 seconds and two or three bake steps at temperatures between 80°C and 300°C for about one minute each. The absorbing SOG anti-reflective coatings, according to the present invention exhibit refractive indices between about 1.3 and about 2.0 and extinction coefficients greater than 0.07. As reported below in the Examples Section, extinction coefficients greater than 0.4 have been obtained. In contrast, the extinction coefficient of dielectric materials such as silicon dioxide, silicate, and methylsiloxane, are about zero at wavelengths greater than 190 nm.
A general method of using an absorbing spin-on-glass material according to the present invention as an anti-reflective coating in a photolithographic process is illustrated in Figs. 2a-2h. As shown in Fig. 2a, a dielectric layer 22 is deposited on a silicon substrate 20. Dielectric layer 22 can be composed of a variety of dielectric materials including, for example, a silicon dioxide layer derived from TEOS, a silane based silicon dioxide layer, a thermally grown oxide, or a chemical-vapor-deposition-produced methylhydridosiloxane or silicon dioxide incorporating other elements or compounds. Dielectric layer 22 is typically an optically transparent medium. An absorbing SOG anti-reflective coating layer 24 is applied above dielectric layer 22 (Fig. 2b) that is covered by a photoresist layer 26, of a conventional positive photoresist, to produce the stack shown in Fig. 2c. The stack of Fig. 2c is exposed to ultraviolet radiation 32 through mask 30, as shown in Fig. 2d. During the exposure, the absorbing SOG ARC layer 24 absorbs UN light 32 transmitted through the photoresist. Because the dielectric layer 22 is transparent in the UN wavelength range, if absorbing SOG ARC layer 24 were not present, the UN light 32 would reflect off the underlying silicon layer 20 degrading a critical dimension, for example critical dimension 27 of the exposed photoresist. In this example, a positive photoresist, which provides direct image transfer, is assumed.
The exposed stack is developed to produce the stack of Fig. 2e. The absorbing SOG ARC layer 24 is resistant to conventional photoresist developer solutions such as a 2.5% solution of tetramethylammoniumhydroxide (TMAH). In contrast, organic ARC layers, which have some of the chemical characteristics of the photoresist materials, are more sensitive to photoresist developers. Furthermore, it is anticipated that absorbing SOG ARC layers are resistant to reducing chemistry, gas-based, photoresist stripping processes, whereas organic ARC's are not resistant. Thus, use of absorbing SOG layers may facilitate photoresist rework, without the need to reapply the ARC layer.
Next, a pattern is etched in the absorbing SOG ARC layer 24 through the opening in photoresist layer 26 to produce the etched stack of Fig. 2f. A fluorocarbon etch, which has a high selectivity to photoresist, is used to etch the absorbing SOG ARC layer 24. The response of the absorbing SOG to a fluorocarbon etch provides an additional advantage of the absorbing SOG over organic ARC layers, which require an oxygen plasma etch. An oxygen plasma etch can degrade the critical dimension of the developed photoresist because the photoresist, being organic based, is also etched by an oxygen plasma. A fluorocarbon plasma consumes less photoresist than an oxygen plasma. At shorter UN wavelengths, depth of focus requirements will limit the thickness of photoresist layer 26 at the exposure step shown in Fig. 2d. For example, it is estimated that at 193 nm, the thickness of photoresist layer should be approximately 300 nm. Thus, as these short wavelengths start to be employed, it will be important to have an ARC layer that can be etched selectively with respect to the photoresist.
The fluorocarbon etch is continued through the dielectric layer 22 to produce the stack of Fig. 2g. Photoresist layer 26 is partially consumed during the continued etch process. Finally, the photoresist layer 26 is stripped using an oxygen plasma or a hydrogen reducing chemistry or wet chemistry and the SOG ARC layer 24 is stripped using either a buffered oxide etch, for example a standard hydrofluoric acid/water mixture, or an aqueous or non- aqueous organoamine or aqueous or non-aqueous fluorine-based chemistry. Advantageously, the SOG ARC layer can be stripped with solutions that show a good selectivity with respect to the underlying dielectric layer. Thus, the general photolithographic method shown in Figs. 2a-2h illustrates the process advantages of absorbing SOG materials as anti-reflective coating layers and as sacrificial anti-reflective coating layers.
Examples
The methods of synthesizing the absorbing SOG materials as well as the synthesis of absorbing compounds, such as 9-anthracene carboxy-alkyl trialkoxysilane and more specifically 9-anthracene carboxy-ethyl triethoxysilane and 9-anthracene carboxy-propyl triethoxysilane, are illustrated in the following examples.
Example 1
Synthesis of absorbing SOG containing 9-anthracene carboxy-methyl triethoxysilane
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. The film thickness was 1635 A. At 248 nm, the refractive index (n) was 1.373 and the extinction coefficient (k) was 0.268. It should be appreciated, however, that higher purity starting materials and absorbing compounds, such as the 9-anthracene carboxy-methyl triethoxysilane in this example, would give higher extinction coefficients. The same spin and bake process parameters and measurement technique was used in all of the following examples. Synthesis of absorbing SOG containing 9-anthracene carboxy-ethyl triethoxysilane
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracene carboxy-ethyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbing SOG containing 9-anthracene carboxy-ethyl trimethoxysilane
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracene carboxy-ethyl trimethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbing SOG containing 9-anthracene carboxy-propyl triethoxysilane
In a 1 -liter flask 178 grams 2-propanol, 89 grams acetone, 52 grams TEOS, 59 grams MTEOS, 29 grams 9-anthracene carboxy-propyl triethoxysilane, 3.3 grams 0.1 M nitric acid and 40 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 26 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. Thickness is 1487.1 Angstroms; k = 0.4315; n=1.4986.
Synthesis of absorbing SOG containing 9-anthracene carboxy-propyl triethoxysilane
In a 1-liter flask 178 grams 2-propanol, 89 grams acetone, 49 grams TEOS, 55 grams MTEOS, 48 grams 9-anthracene carboxy-propyl triethoxysilane, 3.3 grams 0.1 M nitric acid and 40 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 26 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. Thickness is 534.45 Angstroms; k = 0.45; n=1.49. Synthesis of absorbing SOG containing 9-anthracene carboxy-propyl triethoxysilane
In a 1 -liter flask 178 grams 2-propanol, 89 grams acetone, 13 grams TEOS, 110 grams MTEOS, 13 grams 9-anthracene carboxy-propyl triethoxysilane, 3.3 grams 0.1 M nitric acid and 40 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 26 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. Thickness is 414.17 Angstroms; k = 0.3551; n=1.5079.
Synthesis of absorbing SOG containing 9-anthracene carboxy-propyl triethoxysilane
In a 1 -liter flask 178 grams 2-propanol, 89 grams acetone, 96 grams TEOS, 15 grams MTEOS, 13 grams 9-anthracene carboxy-propyl triethoxysilane, 3.3 grams 0.1 M nitric acid and 40 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 15 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. Thickness is 494.77 Angstroms; k = 0.3354; n=l .5243. Synthesis of absorbing SOG containing 9-anthracene carboxy-propyl triethoxysilane
In a 1 -liter flask 178 grams 2-propanol, 89 grams acetone, 56 grams TEOS, 64 grams MTEOS, 7.63 grams 9-anthracene carboxy-propyl triethoxysilane, 3.3 grams 0.1 M nitric acid and 40 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 26 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. Thickness is 3629.76 Angstroms; k = 0.3559; n=1.4508. Second thickness = 1377.37 Angstroms; k = 0.358; n = 2.643, however, n values may vary according to the thickness and purity of the starting components and reactants.
Synthesis of absorbing SOG containing 9-anthracene carboxy-propyl triethoxysilane
In a 1 -liter flask 178 grams 2-propanol, 89 grams acetone, 86 grams TEOS, 25 grams MTEOS, 12.1 grams 9-anthracene carboxy-propyl triethoxysilane, 3.3 grams 0.1 M nitric acid and 40 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 26 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. Thickness is 1455.93 Angstroms; k = 0.339; n=1.5895. Synthesis of absorbing SOG containing 9-anthracene carboxy-propyl triethoxysilane
In a 1 -liter flask 178 grams 2-propanol, 89 grams acetone, 21 grams TEOS, 101 grams MTEOS, 12 grams 9-anthracene carboxy-propyl triethoxysilane, 3.3 grams 0.1 M nitric acid and 40 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 26 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. Thickness is 345.31 Angstroms; k = 0.3264; n=1.4614. Second thickness = 1021.18 Angstroms; k = 0.3215; n = 1.5059.
Synthesis of absorbing SOG containing
9-anthracene carboxy-propyl triethoxysilane
In a 1 -liter flask 178 grams 2-propanol, 89 grams acetone, 37 grams TEOS, 74 grams MTEOS, 36 grams 9-anthracene carboxy-propyl triethoxysilane, 3.3 grams 0.1 M nitric acid and 40 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 26 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. Thickness is 6000 Angstroms; k = 0.3701; n=1.4486. Second thickness = 2851.52; k = 0.3912; n = 1.4786. Synthesis of absorbing SOG containing 9-anthracene carboxy-propyl triethoxysilane
In a 1 -liter flask 178 grams 2-propanol, 89 grams acetone, 64 grams TEOS, 42 grams MTEOS, 36 grams 9-anthracene carboxy-propyl triethoxysilane, 3.3 grams 0.1 M nitric acid and 40 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 26 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. Thickness is 5988 Angstroms; k = 0.36; n=1.445. Second thickness = 2888.27 Angstroms; k = 0.3835; n = 1.4856.
Example 2
Synthesis of absorbing SOG containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysiIypropoxy)-diphenylketone, and rosolic acid
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 25 grams 9-anthracene methanol, 10 grams 2-hydroxy-4-(3- triethoxysilypropoxy)-diphenylketone, 5 grams rosolic acid, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 111 grams of butanol, 459 grams 2-propanol, 230 grams of acetone, 309 grams of ethanol, 50 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Thickness= 1436 A, n= 1.479, k = 0.1255. Synthesis of absorbing SOG containing 9-anthracene ethanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, and rosolic acid
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 25 grams 9-anthracene ethanol, 10 grams 2-hydroxy-4-(3- triethoxysilypropoxy)-diphenylketone, 5 grams rosolic acid, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 111 grams of butanol, 459 grams 2-propanol, 230 grams of acetone, 309 grams of ethanol, 50 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing SOG containing 9-anthracene methanol, 2-hydroxy-4-(3-trimethoxysilypropoxy)-diphenylketone, and rosolic acid
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 25 grams 9-anthracene methanol, 10 grams 2-hydroxy-4-(3- trimethoxysilypropoxy)-diphenylketone, 5 grams rosolic acid, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 111 grams of butanol, 459 grams 2-propanol, 230 grams of acetone, 309 grams of ethanol, 50 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing SOG containing 9-anthracene ethanol, 2-hydroxy-4-(3-trimethoxysilypropoxy)-diphenylketone, and rosolic acid
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 25 grams 9-anthracene ethanol, 10 grams 2-hydroxy-4-(3- trimethoxysilypropoxy)-diphenylketone, 5 grams rosolic acid, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 111 grams of butanol, 459 grams 2-propanol, 230 grams of acetone, 309 grams of ethanol, 50 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Example 3
Synthesis of absorbing SOG containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, and rosolic acid
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 93 grams TEOS, 77 grams MTEOS, 20 grams 9-anthracene methanol, 60 grams 2-hydroxy-4(3-triethoxysilypropoxy)- diphenylketone, 5 grams rosolic acid, 0.5599 grams 0.1 M nitric acid and 71.90 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Example 4
Synthesis of absorbing SOG containing 9-anthracene methanol,
2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, and rosolic acid
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 108 grams TEOS, 77 grams MTEOS, 10 grams 9-anthracene methanol, 60 grams 2-hydroxy-4-(3- triethoxysilypropoxy)-diphenylketone, 5 grams rosolic acid, 0.5599 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Thickness= 4275 A, n= 1.529, k = 0.124. Example 5
Synthesis of absorbing SOG containing 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenyIketone
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 51 grams MTEOS, 60 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Thickness= 3592 A, n= 1.563, k = 0.067.
Synthesis of absorbing SOG containing 2-hydroxy-4-(3-trimethoxysilypropoxy)-diphenyIketone
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 51 grams MTEOS, 60 grams 2-hydroxy-4-(3-trimethoxysilypropoxy)-diphenylketone, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Example 6
Synthesis of absorbing SOG containing 9-anthracene methanol In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 10 grams 9-anthracene methanol, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing SOG containing 9-anthracene ethanol
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 10 grams 9-anthracene ethanol, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing SOG containing 9-anthracene propanol
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 10 grams 9-anthracene propanol, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Example 7
Synthesis of absorbing SOG containing 9-anthracene methanol,
2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, and rosolic acid In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 20 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 25 grams of 9-anthracene methanol, and 5 grams of rosolic Acid, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Thickness= 3503 A, n= 1.475, k = 0.193.
Example 8
Synthesis of absorbing SOG containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, and rosolic acid
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 5 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 25 grams of 9-anthracene methanol, and 5 grams of rosolic Acid, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Thickness^ 3119 A, n= 1.454, k = 0.175.
Example 9
Synthesis of absorbing SOG containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysiIypropoxy)-diphenylketone, rosolic acid, quinizarin, and alizarin In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 20 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 25 grams of 9-anthracene methanol, and 5 grams of rosolic acid, 2 grams of quinizarin, 2 grams alizarin, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.7 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Thickness= 3554 A, n= 1.489, k - 0.193.
Example 10
Synthesis of absorbing SOG containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysiIypropoxy)-diphenylketone, rosolic acid, and alizarin
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 51.5 grams MTEOS, 5 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 25 grams of 9-anthracene methanol, 5 grams of rosolic acid, and 2 grams alizarin, 0.5599 grams 0.1 M nitric acid and 71.90 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 56.68 grams of butanol, 87.99 grams 2-propanol, 44.10 grams of acetone, 59.31 grams of ethanol, 9.55 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Thickness= 3109 A, n= 1.454, k = 0.193.
Example 11
Synthesis of absorbing SOG containing 9-anthracene carboxy-methyl triethoxysilane
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 30 grams 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.7 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Thickness= 3010 A, n= 1.377, k = 0.163.
Synthesis of absorbing SOG containing 9-anthracene carboxy-ethyl triethoxysilane
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 30 grams 9-anthracene carboxy-ethyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.7 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing SOG containing 9-anthracene carboxy-propyl triethoxysilane
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 30 grams 9-anthracene carboxy-propyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.7 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Synthesis of absorbing SOG containing 9-anthracene carboxy-pentyl triethoxysilane
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 30 grams 9-anthracene carboxy-pentyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.7 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing SOG containing 9-anthracene carboxy-methyl trimethoxysilane
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 30 grams 9-anthracene carboxy-methyl trimethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.7 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing SOG containing 9-anthracene carboxy-ethyl trimethoxysilane
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 30 grams 9-anthracene carboxy-ethyl trimethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.7 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Synthesis of absorbing SOG containing 9-anthracene carboxy-propyl trimethoxysilane
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 30 grams 9-anthracene carboxy-propyl trimethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.7 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Example 12
Synthesis of absorbing SOG containing 9-anthracene methanol
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, and 10 grams 9-anthracene methanol are combined. The solution is refluxed for 6 hours. A mixture of 0.6 grams 0.1 M nitric acid and 72 grams deionized water are added to the flask. The flask is refluxed for 4 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) are added.
Synthesis of absorbing SOG containing 9-anthracene ethanol
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, and 10 grams 9-anthracene ethanol are combined. The solution is refluxed for 6 hours. A mixture of 0.6 grams 0.1 M nitric acid and 72 grams deionized water are added to the flask. The flask is refluxed for 4 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) are added.
Synthesis of absorbing SOG containing 9-anthracene propanol
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, and 10 grams 9-anthracene propanol are combined. The solution is refluxed for 6 hours. A mixture of 0.6 grams 0.1 M nitric acid and 72 grams deionized water are added to the flask. The flask is refluxed for 4 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) are added.
Example 13
Synthesis of absorbing SOG containing 9-anthracene carboxy-methyl triethoxysilane
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 90 grams TMOS, 59 grams MTMOS, 60 grams 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams deionized water are combined. The flask is refluxed for 4 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) are added.
Synthesis of absorbing SOG containing 9-anthracene carboxy-ethyl triethoxysilane
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 90 grams TMOS, 59 grams MTMOS, 60 grams 9-anthracene carboxy-ethyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams deionized water are combined. The flask is refluxed for 4 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) are added.
Synthesis of absorbing SOG containing 9-anthracene carboxy-methyl trimethoxysilane
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 90 grams TMOS, 59 grams MTMOS, 60 grams 9-anthracene carboxy-methyl trimethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams deionized water are combined. The flask is refluxed for 4 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) are added.
Synthesis of absorbing SOG containing 9-anthracene carboxy-propyl triethoxysilane
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 90 grams TMOS, 59 grams MTMOS, 60 grams 9-anthracene carboxy-propyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams deionized water are combined. The flask is refluxed for 4 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) are added. Synthesis of absorbing SOG containing 9-anthracene carboxy-methyl tripropoxysilane
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 90 grams TMOS, 59 grams MTMOS, 60 grams 9-anthracene carboxy-methyl tripropoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams deionized water are combined. The flask is refluxed for 4 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) are added.
Synthesis of absorbing SOG containing 9-anthracene carboxy-ethyl tributoxysilane
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 90 grams TMOS, 59 grams MTMOS, 60 grams 9-anthracene carboxy-ethyl tributoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams deionized water are combined. The flask is refluxed for 4 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) are added.
Example 14
Synthesis of 9-anthracene carboxy-methyl triethoxysilane
In a 2 L flask, 90. Og 9-anthracenecarboxylic acid, 86.0 ml chloromethyltriethoxysilane, 66 ml triethylamine, and 1.25 L methylisobutylketone (MIBK) that had been dried over 4 A molecular sieves were stirred, heated slowly to reflux and refluxed for 8.5 hours. The solution was transferred to a 2L Teflon bottle and left overnight. A large quantity of solid precipitate formed. The MIBK solution was decanted and roto- evaporated to about 200 g. An equal weight of hexane was added and mixed. A precipitate formed. A 1.75 inch diameter by 2 inch high column of silica gel slurried with 20% ethylacetate / 80% hexane was prepared. The MIBK/hexane solution was passed through the column under pressure and the column washed with 800 ml of 20% ethylacetate / 80% hexane. The solution was filtered to 0.2 μm and roto-evaporated. When the solvent stopped coming off, the temperature was raised to 35°C for 60 minutes. A dark amber oily liquid product was obtained (85g).
Synthesis of 9-anthracene carboxy-ethyl triethoxysilane
In a 2 L flask, 90.0g 9-anthracenecarboxylic acid, 86.0 ml chloroethyltriethoxysilane, 66 ml triethylamine, and 1.25 L methylisobutylketone (MIBK) that had been dried over 4 A molecular sieves were stined, heated slowly to reflux and refluxed for 8.5 hours. The solution was transferred to a 2L Teflon bottle and left overnight. A large quantity of solid precipitate formed. The MIBK solution was decanted and roto-evaporated to about 200 g. An equal weight of hexane was added and mixed. A precipitate formed. A 1.75 inch diameter by 2 inch high column of silica gel slurried with 20% ethylacetate / 80% hexane was prepared. The MIBK/hexane solution was passed through the column under pressure and the column washed with 800 ml of 20% ethylacetate / 80% hexane. The solution was filtered to 0.2 μm and roto-evaporated. When the solvent stopped coming off, the temperature was raised to 35°C for 60 minutes.
Synthesis of 9-anthracene carboxy-propyl triethoxysilane
In a 2 L flask, 90.0g 9-anthracenecarboxylic acid, 86.0 ml chloropropylxriethoxysilane, 66 ml triethylamine, and 1.25 L methylisobutylketone (MIBK) that had been dried over 4 A molecular sieves were stirred, heated slowly to reflux and refluxed for 8.5 hours. The solution was transferred to a 2L Teflon bottle and left overnight. A large quantity of solid precipitate formed. The MIBK solution was decanted and roto-evaporated to about 200 g. An equal weight of hexane was added and mixed. A precipitate formed. A 1.75 inch diameter by 2 inch high column of silica gel slurried with 20% ethylacetate / 80% hexane was prepared. The MIBK/hexane solution was passed through the column under pressure and the column washed with 800 ml of 20% ethylacetate / 80% hexane. The solution was filtered to 0.2 μm and roto-evaporated. When the solvent stopped coming off, the temperature was raised to 35°C for 60 minutes.
Synthesis of 9-anthracene carboxy-methyl trimethoxysilane
In a 2 L flask, 90.0g 9-anthracenecarboxylic acid, 86.0 ml chloromethyltrimethoxysilane, 66 ml triethylamine, and 1.25 L methylisobutylketone (MIBK) that had been dried over 4 A molecular sieves were stirred, heated slowly to reflux and refluxed for 8.5 hours. The solution was transfened to a 2L Teflon bottle and left overnight. A large quantity of solid precipitate formed. The MIBK solution was decanted and roto- evaporated to about 200 g. An equal weight of hexane was added and mixed. A precipitate formed. A 1.75 inch diameter by 2 inch high column of silica gel slurried with 20% ethylacetate / 80% hexane was prepared. The MIBK/hexane solution was passed through the column under pressure and the column washed with 800 ml of 20% ethylacetate / 80% hexane. The solution was filtered to 0.2 μm and roto-evaporated. When the solvent stopped coming off, the temperature was raised to 35°C for 60 minutes.
Example 15
Synthesis of absorbing SOG containing 9-anthracene carboxy-methyl triethoxysilane
In a 1 -liter flask 297 grams (4.798 moles), 2-Propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 77 grams (0.432 moles) MTEOS, 45 grams (0.102 moles) 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 43 grams (0.590 moles) of butanol and 1260 grams (8.344 moles) of ethyl lactate were added. Thickness=l 156 A, n= 1.502, k = 0.446.
Synthesis of absorbing SOG containing 9-anthracene carboxy-propyl triethoxysilane
In a 1-liter flask 297 grams (4.798 moles), 2-Propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 77 grams (0.432 moles) MTEOS, 45 grams (0.102 moles) 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 43 grams (0.590 moles) of butanol and 1260 grams (8.344 moles) of ethyl lactate were added.
Synthesis of absorbing SOG containing 9-anthracene carboxy-ethyl triethoxysilane In a 1-liter flask 297 grams (4.798 moles), 2-Propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 77 grams (0.432 moles) MTEOS, 45 grams (0.102 moles) 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 43 grams (0.590 moles) of butanol and 1260 grams (8.344 moles) of ethyl lactate were added.
Synthesis of absorbing SOG containing 9-anthracene carboxy-methyl trimethoxysilane
In a 1-liter flask 297 grams (4.798 moles), 2-Propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 77 grams (0.432 moles) MTEOS, 45 grams (0.102 moles) 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 43 grams (0.590 moles) of butanol and 1260 grams (8.344 moles) of ethyl lactate were added.
Example 16
Synthesis of absorbing SOG containing 9-anthracene carboxy-methyl triethoxysilane
In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 77 grams (0.432 moles) MTEOS, 30 grams (0.102 moles) 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water and 3.7 grams of 10% FC 430 were added.
Synthesis of absorbing SOG containing
9-anthracene carboxy-propyl triethoxysilane In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 77 grams (0.432 moles) MTEOS, 30 grams (0.102 moles) 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deiomzed water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water and 3.7 grams of 10% FC 430 were added.
Synthesis of absorbing SOG containing 9-anthracene carboxy-ethyl trimethoxysilane
In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 77 grams (0.432 moles) MTEOS, 30 grams (0.102 moles) 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water and 3.7 grams of 10% FC 430 were added.
Synthesis of absorbing SOG containing
9-anthracene carboxy-ethyl triethoxysilane
In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 77 grams (0.432 moles) MTEOS, 30 grams (0.102 moles) 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deio ized water and 3.7 grams of 10% FC 430 were added.
Synthesis of absorbing SOG containing 9-anthracene carboxy-butyl triethoxysilane
In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 77 grams (0.432 moles) MTEOS, 30 grams (0.102 moles) 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deiomzed water and 3.7 grams of 10% FC 430 were added.
Example 17
Synthesis of absorbing SOG containing 9-anthracene carboxy-methyl triethoxysilane
In a 1-liter flask 297 grams (4.798 moles), 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 77 grams (0.432 moles) MTEOS, 45 grams (0.102 moles) 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 43 grams (0.590 moles) of butanol and 981 grams (8.301moles) of propasol-p were added. Thickness=1407 A, n= 1.334, k = 0.551.
Synthesis of absorbing SOG containing 9-anthracene carboxy-ethyl triethoxysilane
In a 1-liter flask 297 grams (4.798 moles), 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 77 grams (0.432 moles) MTEOS, 45 grams (0.102 moles) 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 43 grams (0.590 moles) of butanol and 981 grams (8.301moles) of propasol-p were added.
Synthesis of absorbing SOG containing 9-anthracene carboxy-propyl triethoxysilane
In a 1-liter flask 297 grams (4.798 moles), 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 77 grams (0.432 moles) MTEOS, 45 grams (0.102 moles) 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 43 grams (0.590 moles) of butanol and 981 grams (8.301moles) of propasol-p were added.
Synthesis of absorbing SOG containing 9-anthracene carboxy-methyl trimethoxysilane
In a 1 -liter flask 297 grams (4.798 moles), 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 77 grams (0.432 moles) MTEOS, 45 grams (0.102 moles) 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 43 grams (0.590 moles) of butanol and 981 grams (8.301moles) of propasol-p were added.
Example 18
Synthesis of absorbing SOG containing 9-anthracene carboxy-propyl triethoxysilane
A 6L jacketed reactor equipped with a nitrogen inlet, dry ice condenser and a mechanical stirrer is charged with 5000mL hexanes 720mL ethanol, 65mL water and 120g of a 10% by weight tetrabutylammonium chloride hydrate solution in water. The mixture is equilibrated for 0.5hr with stirring at 25°C. A mixture of trichlorosilane (377.4g, 2.78Mol), methyltrichlorosilane (277.7g, I.86M0I), and (203.8g, 0.46Mol) 9-anthracene carboxy-methyl triethoxysilane is added to the reactor using a peristaltic pump over a period of 70 minutes. Upon completion of the silane and absorbing compound addition, hexane is pumped through the lines for 10 minutes. The reaction is stirred for 2.3 hours, the ethanol/H2O layer is removed and then the remaining hexane solution filtered through a 3 micron (μm) filter, followed by a lμm filter. To the solution, (3957g, 45.92Mol) hexane is added.
Synthesis of absorbing SOG containing
9-anthracene carboxy-ethyl trimethoxysilane
A 6L jacketed reactor equipped with a nitrogen inlet, dry ice condenser and a mechanical st rer is charged with 5000mL hexanes 720mL ethanol, 65mL water and 120g of a 10% by weight tetrabutylammonium chloride hydrate solution in water. The mixture is equilibrated for 0.5hr with stirring at 25°C. A mixture of trichlorosilane (377.4g, 2.78Mol), methyltrichlorosilane (277.7g, I.86M0I), and (203.8g, 0.46Mol) 9-anthracene carboxy-methyl triethoxysilane is added to the reactor using a peristaltic pump over a period of 70 minutes. Upon completion of the silane and absorbing compound addition, hexane is pumped through the lines for 10 minutes. The reaction is stined for 2.3 hours, the ethanol/H2O layer is removed and then the remaining hexane solution filtered through a 3 micron (μm) filter, followed by a lμm filter. To the solution, (3957g, 45.92Mol) hexane is added.
Synthesis of absorbing SOG containing 9-anthracene carboxy-propyl trimethoxysilane
A 6L jacketed reactor equipped with a nitrogen inlet, dry ice condenser and a mechanical stiner is charged with 5000mL hexanes 720mL ethanol, 65mL water and 120g of a 10% by weight tetrabutylammonium chloride hydrate solution in water. The mixture is equilibrated for 0.5hr with stirring at 25°C. A mixture of trichlorosilane (377.4g, 2.78Mol), methyltrichlorosilane (277.7g, I.86M0I), and (203.8g, 0.46Mol) 9-anthracene carboxy-methyl triethoxysilane is added to the reactor using a peristaltic pump over a period of 70 minutes. Upon completion of the silane and absorbing compound addition, hexane is pumped through the lines for 10 minutes. The reaction is stined for 2.3 hours, the ethanol/H2O layer is removed and then the remaining hexane solution filtered through a 3 micron (μm) filter, followed by a lμm filter. To the solution, (3957g, 45.92Mol) hexane is added.
Synthesis of absorbing SOG containing
9-anthracene carboxy-butyl tripropoxysilane
A 6L jacketed reactor equipped with a nitrogen inlet, dry ice condenser and a mechanical stirrer is charged with 5000mL hexanes 720mL ethanol, 65mL water and 120g of a 10% by weight tetrabutylammonium chloride hydrate solution in water. The mixture is equilibrated for 0.5hr with stirring at 25°C. A mixture of trichlorosilane (377.4g, 2.78Mol), methyltrichlorosilane (277.7g, I.86M0I), and (203.8g, 0.46Mol) 9-anthracene carboxy-methyl triethoxysilane is added to the reactor using a peristaltic pump over a period of 70 minutes. Upon completion of the silane and absorbing compound addition, hexane is pumped through the lines for 10 minutes. The reaction is st rred for 2.3 hours, the ethanol/H2O layer is removed and then the remaining hexane solution filtered through a 3 micron (μm) filter, followed by a lμm filter. To the solution, (3957g, 45.92Mol) hexane is added.
Example 19
Synthesis of absorbing SOG containing 9-anthracene carboxy-methyl triethoxysilane
In a 5 L flask, 508.8 grams (3.10 Mol) of triethoxysilane (HTEOS), 135.8 g (0.31Mol) 9-anthracene carboxy-methyl triethoxysilane, and 508.8 g (8.77 Mol) of acetone are mixed by magnetic stirring and cooled to below 20°C. A mixture of 508.8 g (8.77 Mol)of acetone, 46.69 g (2.59 Mol H20, 0.0009 Mol HNO3) of 0.02N nitric acid, and 37.03 g (2.06 Mol) of deionized water are added slowly through a dropping funnel to the mixture in the 5 L flask over a 45 minute period, maintaining the temperature below 20°C. The solution is refluxed for 8 hours. To the solution, 463 lg (30.67Mol) ethyl lactate is added.
Synthesis of absorbing SOG containing 9-anthracene carboxy-propyl triethoxysilane
In a 5 L flask, 508.8 grams (3.10 Mol) of triethoxysilane (HTEOS), 135.8 g (0.31Mol) 9-anthracene carboxy-methyl triethoxysilane, and 508.8 g (8.77 Mol) of acetone are mixed by magnetic stirring and cooled to below 20°C. A mixture of 508.8 g (8.77 Mol)of acetone, 46.69 g (2.59 Mol H20, 0.0009 Mol HNO3) of 0.02N nitric acid, and 37.03 g (2.06 Mol) of deionized water are added slowly through a dropping funnel to the mixture in the 5 L flask over a 45 minute period, maintaining the temperature below 20°C. The solution is refluxed for 8 hours. To the solution, 463 lg (30.67Mol) ethyl lactate is added. Synthesis of absorbing SOG containing 9-anthracene carboxy-ethyl trimethoxysilane
In a 5 L flask, 508.8 grams (3.10 Mol) of triethoxysilane (HTEOS), 135.8 g (0.31Mol)
9-anthracene carboxy-methyl triethoxysilane, and 508.8 g (8.77 Mol) of acetone are mixed by magnetic stirring and cooled to below 20°C. A mixture of 508.8 g (8.77 Mol)of acetone, 46.69 g (2.59 Mol H20, 0.0009 Mol HNO3) of 0.02N nitric acid, and 37.03 g (2.06 Mol) of deionized water are added slowly through a dropping funnel to the mixture in the 5 L flask over a 45 minute period, maintaining the temperature below 20°C. The solution is refluxed for 8 hours. To the solution, 463 lg (30.67Mol) ethyl lactate is added.
Synthesis of absorbing SOG containing 9-anthracene carboxy-propyl tributoxysilane
In a 5 L flask, 508.8 grams (3.10 Mol) of triethoxysilane (HTEOS), 135.8 g (0.31Mol) 9-anthracene carboxy-methyl triethoxysilane, and 508.8 g (8.77 Mol) of acetone are mixed by magnetic stirring and cooled to below 20°C. A mixture of 508.8 g (8.77 Mol)of acetone, 46.69 g (2.59 Mol H20, 0.0009 Mol HNO3) of 0.02N nitric acid, and 37.03 g (2.06 Mol) of deionized water are added slowly through a dropping funnel to the mixture in the 5 L flask over a 45 minute period, maintaining the temperature below 20°C. The solution is refluxed for 8 hours. To the solution, 463 lg (30.67Mol) ethyl lactate is added.
Example 20
Synthesis of absorbing SOG containing phenyltriethoxysilane In a 1 -liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 104 grams (0.432 moles) phenyltriethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added. Thickness=1727 A, n= 1.957, k = 0.384.
Synthesis of absorbing SOG containing phenyltrimethoxysilane
In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 104 grams (0.432 moles) phenyltriethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added.
Synthesis of absorbing SOG containing phenyltripropoxysilane
In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 104 grams (0.432 moles) phenyltriethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deiomzed water were added. Synthesis of absorbing SOG containing phenyltributoxysilane
In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 104 grams (0.432 moles) phenyltriethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added.
Example 21
Synthesis of absorbing SOG containing phenyltriethoxysilane
In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 93 grams (0.448 moles) TEOS, 37 grams (0.209 moles) MTEOS, 100 grams (0.418 moles) phenyltriethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added. Thickness=l 325 A, n= 1.923, k = 0.364.
Synthesis of absorbing SOG containing phenyltrimethoxysilane
In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 93 grams (0.448 moles) TEOS, 37 grams (0.209 moles) MTEOS, 100 grams (0.418 moles) phenyltriethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deiomzed water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added.
Synthesis of absorbing SOG containing phenyltripropoxysilane
In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 93 grams (0.448 moles) TEOS, 37 grams (0.209 moles) MTEOS, 100 grams (0.418 moles) phenyltriethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added.
Example 22
Synthesis of absorbing SOG containing phenyltriethoxysilane
In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 119 grams (0.573 moles) TEOS, 27 grams (0.153 moles) MTEOS 74 grams (0.306 moles) phenyltriethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added. Thickness=1286 A, n= 1.889, k = 0.286. Synthesis of absorbing SOG containing phenyltrimethoxysilane
In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 119 grams (0.573 moles) TEOS, 27 grams (0.153 moles) MTEOS 74 grams (0.306 moles) phenyltriethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added.
Synthesis of absorbing SOG containing phenyltripropoxysilane
In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 119 grams (0.573 moles) TEOS, 27 grams (0.153 moles) MTEOS 74 grams (0.306 moles) phenyltriethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added.
Example 23
Synthesis of absorbing SOG containing phenyltriethoxysilane
In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 73 grams (0.351 moles) TEOS, 45 grams (0.251 moles) MTEOS 121 grams (0.503 moles) phenyltriethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added. Thickness=1047 A, n= 1.993, k = 0.378.
Synthesis of absorbing SOG containing phenyltrimethoxysilane
In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 73 grams (0.351 moles) TEOS, 45 grams (0.251 moles) MTEOS 121 grams (0.503 moles) phenyltriethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added.
Synthesis of absorbing SOG containing phenyltripropoxysilane
In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 73 grams (0.351 moles) TEOS, 45 grams (0.251 moles) MTEOS 121 grams (0.503 moles) phenyltriethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added.
Synthesis of absorbing SOG containing phenyltributoxysilane In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 73 grams (0.351 moles) TEOS, 45 grams (0.251 moles) MTEOS 121 grams (0.503 moles) phenyltriethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deiomzed water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added.
Example 24
Synthesis of absorbing SOG containing phenyltriethoxysilane and 2-hydroxy-4(3-trieothoxysilypropoxy)-diphenylketone
In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 73 grams (0.351 moles) TEOS, 45 grams (0.251 moles) MTEOS, 103 grams (0.428 moles) phenyltriethoxysilane, 12 grams (0.0298 moles) 2-hydroxy-4-(3- trieothoxysilypropoxy)-diphenylketone, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added.
Example 25
Synthesis of absorbing SOG containing
4-ethoxyphenylazobenzene-4-carboxy-methyl triethoxysilane In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 77 grams (0.432 moles) MTEOS, 44.5 grams (0.13 moles) 4-ethoxyphenylazobenzene-4-carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deiomzed water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of butanol, 88 grams (1.422 moles) 2- propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added. n= 1.499, k = 0.162 at 365 nm.
Synthesis of absorbing SOG containing 4-ethoxyphenylazobenzene-4-carboxy-ethyl triethoxysilane
In a 1 -liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 77 grams (0.432 moles) MTEOS, 44.5 grams (0.13 moles) 4-ethoxyphenylazobenzene-4-carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of butanol, 88 grams (1.422 moles) 2- propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added.
Synthesis of absorbing SOG containing
4-methoxyphenylazobenzene-4-carboxy-propyl triethoxysilane
In a 1 -liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 77 grams (0.432 moles) MTEOS, 44.5 grams (0.13 moles) 4-ethoxyphenylazobenzene-4-carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of butanol, 88 grams (1.422 moles) 2- propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added.
Synthesis of absorbing SOG containing 4-methoxyphenylazobenzene-4-carboxy-propyl trimethoxysilane
In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 77 grams (0.432 moles) MTEOS, 44.5 grams (0.13 moles) 4-ethoxyphenylazobenzene-4-carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams (3.716 moles) deionized water were combined. The flask was refluxed for 4 hours. To the solution, 57 grams (0.769 moles) of butanol, 88 grams (1.422 moles) 2- propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added.
Thus, specific embodiments and applications of compositions and methods to produce spin-on glass materials comprising absorbing compounds have been disclosed. It should be apparent, however, to those skilled in the art that many more modifications besides those already described are possible without departing from the inventive concepts herein. The inventive subject matter, therefore, is not to be restricted except in the spirit of the appended claims. Moreover, in interpreting both the specification and the claims, all terms should be interpreted in the broadest possible manner consistent with the context. In particular, the terms "comprises" and "comprising" should be interpreted as referring to elements, components, or steps in a non-exclusive manner, indicating that the referenced elements, components, or steps may be present, or utilized, or combined with other elements, com- ponents, or steps that are not expressly referenced.

Claims

C L A I MSWe claim:
1. An absorbing spin-on-glass composition comprising a silicon-based compound and an incorporatable organic absorbing compound that absorbs light at a wavelength less than 375 nm, wherein at least one of the silicon-based compound or the incorporatable organic absorbing compound comprises an alkyl group, an alkoxy group, a ketone group or an azo group.
2. The composition of claim 1, wherein the range is at wavelengths less than about 260 nm.
3. The composition of claim 1, wherein the organic absorbing compound comprises at least one benzene ring and a reactive group selected from the group consisting of hydroxyl groups, amine groups, carboxylic acid groups, and substituted silyl groups with silicon bonded to at least one substituent selected from the group consisting of alkoxy groups and halogen atoms.
4. The composition of claim 3, wherein the organic absorbing compound comprises two or more benzene rings.
5. The composition of claim 4, wherein the two or more benzene rings are fused.
6. The composition of claim 3, wherein the organic absorbing compound comprises a reactive group selected from the group comprising a siliconalkoxy, a silicondialkoxy, and a silicontrialkoxy.
7. The composition of claim 6, wherein the siliconalkoxy, the silicondialkoxy and the silicontrialkoxy comprises siliconethoxy, silicondiethoxy, silicontriethoxy, siliconmethoxy, silicondimethoxy, and silicontrimethoxy groups.
8. The composition of claim 3, wherein the reactive group is directly bonded to a benzene ring.
The composition of claim 3, wherein the reactive group is attached to a benzene ring through a hydrocarbon bridge.
10. The composition of claim 3, wherein the organic absorbing compound comprises an absorbing compound selected from the group consisting of anthraflavic acid,
9-anthracene carboxylic acid, 9-anthracene methanol, alizarin, quinizarin, primuline, 2-hydroxy-4(3-triethoxysilylpropoxy)-diphenylketone, rosolic acid, triethoxysilylpropyl-l,8-naphthalimide, 9-anthracene carboxy-alkyl triethoxysilane, phenyltriethoxysilane, 4-phenylazophenol, 4-ethoxyphenylazobenzene-4-carboxy- methyl triethoxysilane, 4-methoxyphenylazobenzene-4-carboxy-methyl triethoxysilane, and mixtures thereof.
11. The composition of claim 10, wherein 9-anthracene carboxy-alkyl triethoxysilane comprises 9-anthracene carboxy-propyl triethoxysilane.
12. The composition of claim 10, wherein the organic absorbing compound comprises phenyltriethoxysilane.
13. The composition of claim 1, wherein the silicon-based compound comprises a polymer selected from the group comprising methylsiloxane, methylsilsesquioxane, phenylsiloxane, phenylsilsesquioxane, methylphenylsiloxane, methylphenylsilsesquioxane, silazane polymers, silicate polymers and mixtures thereof.
14. The composition of claim 1, wherein the silicon-based compound is a polymer selected from the group comprising hydrogensiloxane, hydrogensilsesquioxane, organohydridosiloxane, and organhydridosilsesquioxane polymers; and copolymers of hydrogensilsesquioxane and an alkoxyhydridosiloxane or hydroxyhydridosiloxane.
15. The composition of claim 14, wherein the polymer is of a general formula selected from the group comprising (H0.ι.0SiOι.5.2.o)x, where x is greater than about 8, and (Ho-ι.oSiOι.5.2.o)n(Ro-ι.oSiOι.5.2.0)m, where m is greater than 0, the sum of n and m is from about 8 to about 5000 and R is a C1-C20 alkyl group or a C6-Cι2 aryl group.
16. A coating solution comprising the absorbing spin-on-glass composition of claim 1 and a solvent or a solvent mixture.
17. The coating solution of claim 16, wherein the solution is between about 0.5% and about 20%> by weight absorbing spin-on-glass composition.
18. The coating solution of claim 17, wherein the solvent is selected from the group comprising ethyl lactate and propylene glycol propyl ether.
19. A method of making an absorbing spin-on-glass composition comprising:
combining at least one silane reactant selected from the group comprising alkoxysilanes and halosilanes, at least one incorporatable organic absorbing compound, an acid/water mixture, and at least one solvent to form a reaction mixture; and
refluxing the reaction mixture to form the absorbing spin-on-glass composition, wherein the absorbing spin-on glass composition comprises at least one alkyl group, alkoxy group, ketone group or azo group.
20. The method of claim 19, wherein the at least one organic absorbing compound comprises at least one benzene ring and a reactive group comprising hydroxyl groups, amine groups, carboxylic acid groups, and substituted silyl groups with silicon bonded to at least one substituent comprising alkoxy groups and halogen atoms.
21. The method of claim 19, wherein the one or more organic absorbing compound comprises anthraflavic acid, 9-anthracene carboxylic acid, 9-anthracene methanol, alizarin, quinizarin, primuline, 2-hydroxy-4(3-triethoxysilylpropoxy)-diphenylketone, rosolic acid, xriethoxysilylpropyl-l,8-naphthalimide, 9-anthracene carboxy-alkyl triethoxysilane, phenyltriethoxysilane, 4-phenylazophenol, 4- ethoxyphenylazobenzene-4-carboxy-methyl triethoxysilane, 4- methoxyphenylazobenzene-4-carboxy-methyl triethoxysilane, and mixtures thereof.
22. The method of claim 21 , wherein the 9-anthracene carboxy-alkyl triethoxysilane comprises 9-anthracene carboxy-propyl triethoxysilane.
23. The method of claim 19, wherein the at least one silane reactant comprises triethoxysilane, tetraethoxysilane, methyltriethoxysilane, dimethyldiethoxysilane, tetramethoxysilane, methyltrimethoxysilane, trimethoxysilane, dimethyldimethoxysilane, phenyltriethoxysilane, phenyltrimethoxysilane, diphenyldiethoxysilane, and diphenyldimethoxysilane, trichlorosilane, methyltrichlorosilane, ethyltrichlorosilane, phenyltrichlorosilane, tetrachlorosilane, chlorotriethoxysilane, chlorotrimethoxysilane, chloromethyltriethoxysilane, chloroethyltriethoxysilane, chlorophenylxriefhoxysilane, chloromethyltrimethoxysilane, chloroethyltrimethoxysilane, and chlorophenyltrimethoxysilane.
24. The method of claim 23, wherein the at least one silane reactant comprises tetraethoxysilane and methyltriethoxysilane.
25. The method of claim 19, wherein the acid/water mixture is a nitric acid/water mixture.
26. A method of making a coating solution containing an absorbing spin-on-glass polymer comprising:
combining at least one alkoxysilane or halosilane; at least one incorporatable organic absorbing compound; an acid/water mixture; and at least one solvent to form a reaction mixture; and
refluxing the reaction mixture to form an absorbing spin-on-glass polymer, wherein the absorbing spin-on glass composition comprises at least one alkyl group, alkoxy group, ketone group or azo group.
27. The method of claim 26, further comprising adding one or more dilutant solvents to the absorbing spin-on-glass composition to produce a coating solution.
28. The method of claim 26, wherein the coating solution is between about 0.5 % and about 20 % absorbing spin-on-glass polymer.
29. A process of making 9-anthracene carboxy-propyl triethoxysilane comprising: combining 9-anthracene carboxylic acid, chloropropyltriethoxysilane, triethylamine, and a solvent to form a reaction mixture;
refluxing the reaction mixture;
cooling the refluxed reaction mixture to form a precipitate and a remaining solution; and
filtering the remaining solution to produce liquid 9-anthracene carboxy-propyl triethoxysilane.
30. The process of claim 29, wherein filtering the remaining solution comprises:
roto-evaporating the remaining solution;
passing the roto-evaporated solution through a silica gel column; and
roto-evaporating the solution passed through the silica gel column.
PCT/US2002/035101 2001-11-15 2002-10-31 Spin-on-glass anti-reflective coatings for photolithography WO2003044079A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2003545713A JP2005509914A (en) 2001-11-15 2002-10-31 Spin-on-glass antireflection coating for photolithography
AU2002336709A AU2002336709A1 (en) 2001-11-15 2002-10-31 Spin-on-glass anti-reflective coatings for photolithography
EP02773961A EP1478683A4 (en) 2001-11-15 2002-10-31 Spin-on-glass anti-reflective coatings for photolithography

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/001,143 2001-11-15
US10/001,143 US6824879B2 (en) 1999-06-10 2001-11-15 Spin-on-glass anti-reflective coatings for photolithography

Publications (1)

Publication Number Publication Date
WO2003044079A1 true WO2003044079A1 (en) 2003-05-30

Family

ID=21694597

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/035101 WO2003044079A1 (en) 2001-11-15 2002-10-31 Spin-on-glass anti-reflective coatings for photolithography

Country Status (8)

Country Link
US (2) US6824879B2 (en)
EP (1) EP1478683A4 (en)
JP (3) JP2005509914A (en)
KR (2) KR20050042068A (en)
CN (2) CN1615333A (en)
AU (1) AU2002336709A1 (en)
TW (2) TWI324626B (en)
WO (1) WO2003044079A1 (en)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1695142A2 (en) * 2003-11-18 2006-08-30 Honeywell International, Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
JP2008524650A (en) * 2004-12-17 2008-07-10 ダウ・コーニング・コーポレイション Method for forming antireflection film
NO325797B1 (en) * 2005-10-14 2008-07-21 Nor X Ind As Light preservative based on organic / inorganic hybrid polymer, process for preparation and use of same
US7833696B2 (en) 2004-12-17 2010-11-16 Dow Corning Corporation Method for forming anti-reflective coating
US7838615B2 (en) 2004-12-17 2010-11-23 Dow Corning Corporation Siloxane resin coating
US8026040B2 (en) 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
US8084193B2 (en) * 2008-07-12 2011-12-27 International Business Machines Corporation Self-segregating multilayer imaging stack with built-in antireflective properties
US8241707B2 (en) 2008-03-05 2012-08-14 Dow Corning Corporation Silsesquioxane resins
US8263312B2 (en) 2006-02-13 2012-09-11 Dow Corning Corporation Antireflective coating material
US8304161B2 (en) 2008-03-04 2012-11-06 Dow Corning Corporation Silsesquioxane resins
US8318258B2 (en) 2008-01-08 2012-11-27 Dow Corning Toray Co., Ltd. Silsesquioxane resins
US8524441B2 (en) 2007-02-27 2013-09-03 Az Electronic Materials Usa Corp. Silicon-based antireflective coating compositions
US9023433B2 (en) 2008-01-15 2015-05-05 Dow Corning Corporation Silsesquioxane resins and method of using them to form an antireflective coating
EP2932496A4 (en) * 2012-12-13 2016-11-02 Corning Inc Glass and methods of making glass articles
US11097509B2 (en) 2016-08-30 2021-08-24 Corning Incorporated Siloxane plasma polymers for sheet bonding
US11167532B2 (en) 2015-05-19 2021-11-09 Corning Incorporated Articles and methods for bonding sheets with carriers
US11192340B2 (en) 2014-04-09 2021-12-07 Corning Incorporated Device modified substrate article and methods for making
US11331692B2 (en) 2017-12-15 2022-05-17 Corning Incorporated Methods for treating a substrate and method for making articles comprising bonded sheets
US11535553B2 (en) 2016-08-31 2022-12-27 Corning Incorporated Articles of controllably bonded sheets and methods for making same
US11905201B2 (en) 2015-06-26 2024-02-20 Corning Incorporated Methods and articles including a sheet and a carrier

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6824879B2 (en) * 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
WO2000077575A1 (en) 1999-06-10 2000-12-21 Alliedsignal Inc. Spin-on-glass anti-reflective coatings for photolithography
CN1606713B (en) * 2001-11-15 2011-07-06 霍尼韦尔国际公司 Spincoating antireflection paint for photolithography
DE10227807A1 (en) * 2002-06-21 2004-01-22 Honeywell Specialty Chemicals Seelze Gmbh Silyl alkyl esters of anthracene and phenanthrene carboxylic acids
JP4471123B2 (en) * 2003-04-17 2010-06-02 日産化学工業株式会社 Porous underlayer film and composition for forming underlayer film for forming porous underlayer film
JP4796498B2 (en) * 2003-05-23 2011-10-19 ダウ コーニング コーポレーション Siloxane resin anti-reflective coating composition with high wet etch rate
JP4700929B2 (en) * 2003-06-03 2011-06-15 信越化学工業株式会社 Antireflection film material, antireflection film using the same, and pattern forming method
WO2005037907A1 (en) * 2003-10-07 2005-04-28 Honeywell International Inc. Coatings and hard mask compositions for integrated circuit applications, methods of production and uses thereof
JP5102428B2 (en) * 2003-11-25 2012-12-19 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. Waveguide composition and waveguide formed therefrom
US8901268B2 (en) 2004-08-03 2014-12-02 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
US7507521B2 (en) * 2004-08-09 2009-03-24 Intel Corporation Silicon based optically degraded arc for lithographic patterning
US7687225B2 (en) * 2004-09-29 2010-03-30 Intel Corporation Optical coatings
JP4832955B2 (en) * 2005-06-07 2011-12-07 信越化学工業株式会社 Resist underlayer film material and pattern forming method using the same
US7862886B2 (en) * 2005-08-12 2011-01-04 Fujifilm Corporation Optical film, antireflection film, processes for producing the same, and polarizing plate and display employing the same
EP1788436B1 (en) * 2005-11-16 2013-01-09 Shin-Etsu Chemical Company, Ltd. Rework process for photoresist film
JP2008026500A (en) * 2006-07-20 2008-02-07 Dainippon Printing Co Ltd Photomask blanks added with high dry etching resistance polymer layer and method of manufacturing photomask using photomask blanks
WO2008099904A1 (en) 2007-02-09 2008-08-21 Nippon Shokubai Co., Ltd. Silane compound, production method thereof, and resin composition containing silane compound
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
TWI439494B (en) * 2007-02-27 2014-06-01 Braggone Oy Process for producing an organosiloxane polymer
JP5470687B2 (en) * 2007-08-10 2014-04-16 富士通株式会社 Silicon compound, ultraviolet absorber, multilayer wiring device manufacturing method, and multilayer wiring device
US20090111925A1 (en) * 2007-10-31 2009-04-30 Burnham Kikue S Thermal interface materials, methods of production and uses thereof
US7955782B2 (en) * 2008-09-22 2011-06-07 Honeywell International Inc. Bottom antireflective coatings exhibiting enhanced wet strip rates, bottom antireflective coating compositions for forming bottom antireflective coatings, and methods for fabricating the same
JP5632387B2 (en) * 2008-12-10 2014-11-26 ダウ コーニング コーポレーションDow Corning Corporation Wet-etchable anti-reflection coating
WO2010068336A1 (en) * 2008-12-10 2010-06-17 Dow Corning Corporation Silsesquioxane resins
KR101266290B1 (en) * 2008-12-30 2013-05-22 제일모직주식회사 Hardmask Composition Coated under Photoresist and Process of Producing Integrated Circuit Devices Using thereof
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
US9366964B2 (en) 2011-09-21 2016-06-14 Dow Global Technologies Llc Compositions and antireflective coatings for photolithography
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
JP5700003B2 (en) * 2012-08-31 2015-04-15 大日本印刷株式会社 Photomask manufacturing method using photomask blanks to which a high dry etching resistant polymer layer is added
US9327487B2 (en) * 2012-08-31 2016-05-03 Xerox Corporation Variable lithographic printing process
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
JP6217940B2 (en) * 2012-12-19 2017-10-25 日産化学工業株式会社 Silicon-containing resist underlayer film forming composition having cyclic diester group
JP5742903B2 (en) * 2013-09-24 2015-07-01 大日本印刷株式会社 Photomask blanks
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
US9804493B2 (en) 2013-11-22 2017-10-31 Samsung Electronics Co., Ltd. Composition for forming topcoat layer and resist pattern formation method employing the same
WO2015112958A1 (en) 2014-01-27 2015-07-30 Corning Incorporated Articles and methods for controlled bonding of thin sheets with carriers
US9618848B2 (en) * 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
JP6196194B2 (en) 2014-08-19 2017-09-13 信越化学工業株式会社 Ultraviolet absorber, resist underlayer film forming composition, and pattern forming method
JP5979268B2 (en) * 2015-03-06 2016-08-24 大日本印刷株式会社 Photomask blanks
TWI593753B (en) * 2015-03-11 2017-08-01 Taimide Tech Incoporation Polyimide film and method of forming a radial circuit board from the film
EP3194502A4 (en) 2015-04-13 2018-05-16 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
RU2626105C1 (en) * 2016-04-11 2017-07-21 Акционерное Общество "Саратовский институт стекла" Method of obtaining solar-gel coating based on silicon dioxide
TWI715765B (en) * 2016-04-28 2021-01-11 日商住友化學股份有限公司 Composition
US10551743B2 (en) 2016-05-13 2020-02-04 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
KR102177192B1 (en) 2016-05-13 2020-11-10 도쿄엘렉트론가부시키가이샤 Critical dimension control by the use of light agents
JP6252623B2 (en) * 2016-05-20 2017-12-27 大日本印刷株式会社 Photomask blanks
TWI613167B (en) * 2016-11-18 2018-02-01 宏益玻璃科技股份有限公司 Method of fabricating an anti-glare, strenthend, anti-microbial and antifingerprint strenthened glass
JP6895317B2 (en) * 2017-05-24 2021-06-30 信越化学工業株式会社 Polycyclic aromatic group-terminated polyorganosiloxane and composition
US10748757B2 (en) * 2017-09-21 2020-08-18 Honeywell International, Inc. Thermally removable fill materials for anti-stiction applications
JP7277700B2 (en) * 2018-01-15 2023-05-19 セントラル硝子株式会社 Chemical solution for forming water-repellent protective film and method for surface treatment of wafer
US11500290B2 (en) 2018-11-13 2022-11-15 International Business Machines Corporation Adhesion promoters
JP7307005B2 (en) 2019-04-26 2023-07-11 信越化学工業株式会社 Method for measuring diffusion distance of curing catalyst
KR20220046598A (en) 2019-08-16 2022-04-14 도쿄엘렉트론가부시키가이샤 Methods and Processes for Probability-Based Defect Correction
CN111362587B (en) * 2020-04-28 2022-05-24 东莞南玻太阳能玻璃有限公司 High-hardness moisture-proof anti-reflection solar glass and preparation method thereof
CN115404005B (en) * 2022-09-01 2024-01-05 北京星驰恒动科技发展有限公司 High-temperature-resistant space stray light eliminating paint and preparation method and application thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0656560A (en) * 1992-08-10 1994-03-01 Sony Corp Sog composition and production of semiconductor device by using this composition
US6174631B1 (en) * 1997-02-10 2001-01-16 E. I. Du Pont De Nemours And Company Attenuating phase shift photomasks
US6365765B1 (en) * 1999-06-10 2002-04-02 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography

Family Cites Families (474)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA586038A (en) 1956-03-26 1959-10-27 General Electric Company Organopolysiloxane resins
US5270285A (en) 1965-02-28 1993-12-14 Dai Nippon Insatsu Kabushiki Kaisha Sheet for heat transference
US3547766A (en) 1966-11-25 1970-12-15 Du Pont Laminated article
CA993709A (en) 1971-01-21 1976-07-27 Leo Roos Composite, mask-forming photohardenable elements
US3784378A (en) 1971-10-18 1974-01-08 Du Pont Double-exposure method for producing reverse images in photopolymers
JPS5125070B2 (en) * 1972-07-11 1976-07-28
US3884702A (en) 1972-12-14 1975-05-20 Unitika Ltd Photosensitive polyamide composition
US3929489A (en) 1973-09-14 1975-12-30 Eastman Kodak Co Lithographic plates having radiation sensitive elements developable with aqueous alcohol
US4043812A (en) 1973-11-19 1977-08-23 Xerox Corporation Electrostatographic imaging member and process using anthracene functional polymers
US4107133A (en) 1974-01-14 1978-08-15 Dainippon Ink & Chemicals, Inc. Colored polyethylene molding compositions
US3925077A (en) 1974-03-01 1975-12-09 Horizons Inc Photoresist for holography and laser recording with bleachout dyes
US4191571A (en) 1974-04-26 1980-03-04 Hitachi, Ltd. Method of pattern forming in a photosensitive composition having a reciprocity law failing property
US4018606A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Organic azo pigment sensitizers for photoconductive layers
US4018607A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Crystalline organic pigment sensitizers for photoconductive layers
US4052367A (en) 1975-10-14 1977-10-04 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4048146A (en) 1975-10-14 1977-09-13 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
US5633286B1 (en) 1977-03-17 2000-10-10 Applied Elastomerics Inc Gelatinous elastomer articles
US4369284A (en) 1977-03-17 1983-01-18 Applied Elastomerics, Incorporated Thermoplastic elastomer gelatinous compositions
US5475890A (en) 1977-03-17 1995-12-19 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US5508334A (en) 1977-03-17 1996-04-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions and articles
US5324222A (en) 1977-03-17 1994-06-28 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic airfoils
US5624294A (en) 1977-03-17 1997-04-29 Applied Elastomerics, Inc. Humdinger, gel spinner
US4618213A (en) 1977-03-17 1986-10-21 Applied Elastomerics, Incorporated Gelatinous elastomeric optical lens, light pipe, comprising a specific block copolymer and an oil plasticizer
US5334646B1 (en) 1977-03-17 1998-09-08 Applied Elastomerics Inc Thermoplastic elastomer gelatinous articles
US5336708A (en) 1977-03-17 1994-08-09 Applied Elastomerics, Inc. Gelatinous elastomer articles
US5153254A (en) 1977-03-17 1992-10-06 Applied Elastomerics, Inc. Reusable lint remover
US5239723A (en) 1977-03-17 1993-08-31 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US5262468A (en) 1977-03-17 1993-11-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions
US5655947A (en) 1977-03-17 1997-08-12 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
DE2720559A1 (en) 1977-05-07 1978-11-09 Basf Ag IMPROVED PHOTOPOLYMERIZABLE COMPOSITIONS FOR THE MANUFACTURE OF PRINT PLATES AND RELIEF SHAPES
GB1604414A (en) 1977-07-27 1981-12-09 Raychem Ltd Silicone resin
JPS6058467B2 (en) 1977-10-22 1985-12-20 株式会社リコー Electrophotographic photoreceptor
US4302503A (en) 1978-05-17 1981-11-24 Libbey-Owens-Ford Company Architectural spandrel
JPS5563335A (en) 1978-11-07 1980-05-13 Yoshiyuki Kitajima Cooling method
JPS55165922A (en) 1979-06-14 1980-12-24 Daicel Chem Ind Ltd Production of thermosetting organopolysiloxane
US4299938A (en) 1979-06-19 1981-11-10 Ciba-Geigy Corporation Photopolymerizable and thermally polymerizable compositions
US4349609A (en) 1979-06-21 1982-09-14 Fujitsu Limited Electronic device having multilayer wiring structure
US4935583A (en) 1980-05-30 1990-06-19 Kyle James C Insulated conductor with ceramic-connected elements
US4413052A (en) 1981-02-04 1983-11-01 Ciba-Geigy Corporation Photopolymerization process employing compounds containing acryloyl group and anthryl group
US4362809A (en) 1981-03-30 1982-12-07 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
US4348471A (en) 1981-06-15 1982-09-07 Polychrome Corporation Positive acting composition yielding pre-development high visibility image after radiation exposure comprising acid free novolak, diazo oxide and acid sensitive dyestuff
US4783347A (en) 1981-12-01 1988-11-08 General Electric Company Method for primerless coating of plastics
US4442197A (en) 1982-01-11 1984-04-10 General Electric Company Photocurable compositions
US5670295A (en) 1982-07-30 1997-09-23 Namba; Kenryo Optical recording medium
DE3231147A1 (en) 1982-08-21 1984-02-23 Basf Ag, 6700 Ludwigshafen POSITIVELY WORKING METHOD FOR PRODUCING RELIEF IMAGES OR RESIST PATTERNS
US6194121B1 (en) 1982-09-25 2001-02-27 Tdk Corp. Optical recording medium
JPH0612452B2 (en) 1982-09-30 1994-02-16 ブリュ−ワ−・サイエンス・インコ−ポレイテッド Method of manufacturing integrated circuit device
US4822718A (en) 1982-09-30 1989-04-18 Brewer Science, Inc. Light absorbing coating
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
JPS59109565A (en) 1982-12-16 1984-06-25 Fujitsu Ltd Coating resin solution and production thereof
US4590117A (en) * 1983-03-10 1986-05-20 Toray Industries, Inc. Transparent material having antireflective coating
JPS59226346A (en) 1983-06-07 1984-12-19 Fuotopori Ouka Kk Formation of photoresist
US4430153A (en) 1983-06-30 1984-02-07 International Business Machines Corporation Method of forming an RIE etch barrier by in situ conversion of a silicon containing alkyl polyamide/polyimide
DE3324795A1 (en) 1983-07-09 1985-01-17 Merck Patent Gmbh, 6100 Darmstadt NEGATIVE WORKING PHOTO RESIST COMPOSITIONS WITH RADIATION-ABSORBING ADDITIVES
GB8333901D0 (en) 1983-12-20 1984-02-01 Minnesota Mining & Mfg Radiationsensitive compositions
DE3561155D1 (en) 1984-02-10 1988-01-21 Ciba-Geigy Ag
DE3565013D1 (en) 1984-02-10 1988-10-20 Ciba Geigy Ag Process for the preparation of a protection layer or a relief pattern
US4831188A (en) 1984-02-29 1989-05-16 Bowling Green State University Perester photoinitiators
US4752649A (en) 1984-02-29 1988-06-21 Bowling Green State University Perester photoinitiators
US4767571A (en) 1984-06-27 1988-08-30 Fuji Photo Film Co., Ltd. Infrared absorbent
US4705739A (en) 1984-07-16 1987-11-10 Minnesota Mining And Manufacturing Company Graphic arts imaging constructions using vapor-deposited colorant and metalloid layers with overlying photosensitive resist layer
US4763966A (en) 1984-07-16 1988-08-16 Fuji Photo Film Co., Ltd. Infrared absorbent
US5674648A (en) 1984-08-06 1997-10-07 Brewer Science, Inc. Anti-reflective coating
US4594309A (en) 1984-10-31 1986-06-10 Allied Corporation α,β Diketone containing polymers as positive photoresist compositions
US4587138A (en) 1984-11-09 1986-05-06 Intel Corporation MOS rear end processing
US4705729A (en) 1984-11-19 1987-11-10 Hewlett-Packard Company Method for photochemically enhancing resolution in photolithography processes
IT1177373B (en) 1984-12-06 1987-08-26 Bioresearch Spa SALTS OF 5'-METHYLLIUM-5'-DEOXYDENOSINE WITH LONG ALCHYLIC CHAIN SULPHONIC ACIDS
US4708925A (en) 1984-12-11 1987-11-24 Minnesota Mining And Manufacturing Company Photosolubilizable compositions containing novolac phenolic resin
JPS61274497A (en) 1985-05-29 1986-12-04 Furuno Electric Co Ltd Sound wave transmitter-receiver
JPS6289907A (en) 1985-06-19 1987-04-24 Sumitomo Bakelite Co Ltd Transparent conductive film united with polarizing film
US4814578A (en) 1985-06-24 1989-03-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4681795A (en) 1985-06-24 1987-07-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4674176A (en) 1985-06-24 1987-06-23 The United States Of America As Represented By The United States Department Of Energy Planarization of metal films for multilevel interconnects by pulsed laser heating
US4609614A (en) 1985-06-24 1986-09-02 Rca Corporation Process of using absorptive layer in optical lithography with overlying photoresist layer to form relief pattern on substrate
US4816049A (en) 1985-07-12 1989-03-28 Hoya Corporation Process of surface treating laser glass
DE3684745D1 (en) 1985-08-29 1992-05-14 Du Pont PHOTOPOLYMERIZABLE COMPOSITION WITH DIZYCLOPENTYL ACRYLATE OR METHACRYLATE CONTAINING ACRYLCOPOLYMERS.
US4786569A (en) 1985-09-04 1988-11-22 Ciba-Geigy Corporation Adhesively bonded photostructurable polyimide film
US4923638A (en) 1985-09-30 1990-05-08 Fuji Photo Film Co., Ltd. Near infrared absorbing composition
EP0225676B1 (en) 1985-12-09 1994-07-06 Nippon Paint Co., Ltd. Photosensitive resin base printing material
US4678835A (en) 1986-01-30 1987-07-07 Ppg Industries, Inc. Coating composition containing an ungelled reaction product as a curative
US4693959A (en) 1986-03-07 1987-09-15 E.I. Du Pont De Nemours And Company Adhesion promotion in photoresist lamination and processing
JPS63139303A (en) 1986-08-05 1988-06-11 Fuji Photo Film Co Ltd Infrared rays absorptive composition
US4732858A (en) 1986-09-17 1988-03-22 Brewer Science, Inc. Adhesion promoting product and process for treating an integrated circuit substrate
US4950583A (en) 1986-09-17 1990-08-21 Brewer Science Inc. Adhesion promoting product and process for treating an integrated circuit substrate therewith
US4731264A (en) 1986-10-03 1988-03-15 Ppg Industries, Inc. Sol-gel compositions containing silane and alumina
US4863827A (en) 1986-10-20 1989-09-05 American Hoechst Corporation Postive working multi-level photoresist
US6033283A (en) 1986-10-21 2000-03-07 Applied Elastomerics, Inc. Humdinger, string spinning toy
JPS63137437A (en) 1986-11-28 1988-06-09 Matsushita Electric Works Ltd Resin sealing method of semiconductor chip
JPS63149949A (en) 1986-12-12 1988-06-22 Fujitsu Ltd Adaptive semi-fixing equalizer
US5079600A (en) 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
US5389496A (en) 1987-03-06 1995-02-14 Rohm And Haas Company Processes and compositions for electroless metallization
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
US4855199A (en) 1987-04-03 1989-08-08 General Electric Company Photopatterned product of silicone polyamic acid on a transparent substrate
US4782009A (en) 1987-04-03 1988-11-01 General Electric Company Method of coating and imaging photopatternable silicone polyamic acid
DE3719844A1 (en) 1987-06-13 1988-12-29 Basf Ag CROSSLINKABLE MIXTURE BY PHOTOPOLYMERSISATION
US4839274A (en) 1987-06-30 1989-06-13 Eastman Kodak Company Novel polymethine dyes and UV absorbers containing a triarylborylisocyano group and imaging compositions containing these dyes
JPS6428032A (en) 1987-07-22 1989-01-30 Nissan Motor Select lever control device for vehicle automatic transmission
EP0301641A1 (en) 1987-07-23 1989-02-01 Koninklijke Philips Electronics N.V. Master disc and method of manufacturing a matrix
US4973510A (en) 1987-09-02 1990-11-27 Teijin Limited Coated sheet material and process for producing same
US5024923A (en) 1987-09-09 1991-06-18 Fuji Photo Film Co., Ltd. Infrared absorbent compositions
DE3735852A1 (en) 1987-10-23 1989-05-03 Hoechst Ag POSITIVELY WORKING LIGHT-SENSITIVE MIXTURE, CONTAINING A COLOR, AND POSITIVELY WORKING LIGHT-SENSITIVE RECORDING MATERIAL THEREOF
DE3789511T2 (en) 1987-10-24 1994-08-18 Ito Optical Ind Co Ltd SOLUTION APPLICATION METHOD FOR REFLEX PREVENTION ON OPTICAL PARTS AND METHOD USING SUCH A SOLUTION.
US4925772A (en) 1987-11-26 1990-05-15 Siemens Aktiengesellschaft Anti-radiation covering for electronic components
US5272026A (en) 1987-12-18 1993-12-21 Ucb S.A. Negative image process utilizing photosensitive compositions containing aromatic fused polycyclic sulfonic acid and partial ester or phenolic resin with diazoquinone sulfonic acid or diazoquinone carboxylic acid, and associated imaged article
GB8729510D0 (en) 1987-12-18 1988-02-03 Ucb Sa Photosensitive compositions containing phenolic resins & diazoquinone compounds
US6040251A (en) 1988-03-14 2000-03-21 Nextec Applications Inc. Garments of barrier webs
US5194364A (en) 1988-03-16 1993-03-16 Fujitsu Limited Process for formation of resist patterns
US5391463A (en) 1988-04-14 1995-02-21 The United States Of America As Represented By The Secretary Of The Navy Surface modification to create regions resistant to adsorption of biomolecules
JP2603291B2 (en) 1988-04-19 1997-04-23 東芝シリコーン株式会社 Fine powder of silicone resin containing quaternary ammonium group
US4914143A (en) * 1988-04-25 1990-04-03 General Electric Company Flexible silicone coatings for plastic substrates and methods for making thermoformable, abrasion-resistant thermoplastic articles
US4942083A (en) 1988-05-16 1990-07-17 Smith Novis W Jr Abrasion resistant coatings
EP0345219B1 (en) 1988-05-31 1994-02-02 Ciba-Geigy Ag Aqueous dispersions of 2-(2'-hydroxyphenyl) benzotriazoles
JPH02145511A (en) 1988-08-22 1990-06-05 A Baron Nevley Ultraviolet-absorbing eye softening agent
US5403680A (en) 1988-08-30 1995-04-04 Osaka Gas Company, Ltd. Photolithographic and electron beam lithographic fabrication of micron and submicron three-dimensional arrays of electronically conductive polymers
US5173368A (en) 1988-09-14 1992-12-22 Pilkington Visioncare Holdings, Inc. Solution-applied antireflective coatings
EP0436639B1 (en) 1988-09-28 1998-01-14 Brewer Science, Inc. Multifunctional photolithographic compositions
US4954414A (en) 1988-11-08 1990-09-04 The Mead Corporation Photosensitive composition containing a transition metal coordination complex cation and a borate anion and photosensitive materials employing the same
US5199979A (en) 1988-11-25 1993-04-06 Ppg Industries, Inc. UV resistant, abrasion resistant coatings
US5455145A (en) 1988-12-24 1995-10-03 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing double layer resist pattern and double layer resist structure
US5300402A (en) 1988-12-30 1994-04-05 International Business Machines Corporation Composition for photo imaging
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US5747223A (en) 1988-12-30 1998-05-05 International Business Machines Corporation Composition for photoimaging
US6180317B1 (en) 1988-12-30 2001-01-30 International Business Machines Corporation Composition for photoimaging
US5026624A (en) 1989-03-03 1991-06-25 International Business Machines Corporation Composition for photo imaging
US4940651A (en) 1988-12-30 1990-07-10 International Business Machines Corporation Method for patterning cationic curable photoresist
DE68908872T2 (en) 1989-02-03 1994-02-10 Mitsubishi Metal Corp Method of pulling single crystals.
US6210862B1 (en) 1989-03-03 2001-04-03 International Business Machines Corporation Composition for photoimaging
US5278010A (en) 1989-03-03 1994-01-11 International Business Machines Corporation Composition for photo imaging
DE69027799T2 (en) 1989-03-14 1997-01-23 Ibm Chemically amplified photoresist
US5009809A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5250224A (en) 1989-05-16 1993-10-05 J. M. Huber Corporation Foamed products containing endothermic blowing agents and processes
US5009810A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5252618A (en) 1989-05-16 1993-10-12 J. M. Huber Corporation Endothermic blowing agents for strengthening weld lines in molded thermoplastic resins and products
US5306736A (en) 1989-05-16 1994-04-26 J. M. Huber Corporation Endothermic blowing agents for surface migration of components in foamed products, compositions and applications
US5317044A (en) 1989-05-16 1994-05-31 J. M. Huber Corporation Endothermic blowing agents for surface migration of components in foamed products, compositions and applications
US5302455A (en) 1989-05-16 1994-04-12 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5106534A (en) 1989-05-16 1992-04-21 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5137655A (en) 1989-05-16 1992-08-11 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5102695A (en) 1989-07-07 1992-04-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5013608A (en) 1989-07-07 1991-05-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5112728A (en) 1989-10-05 1992-05-12 Konica Corporation Silver halide photographic light-sensitive material
US5059512A (en) 1989-10-10 1991-10-22 International Business Machines Corporation Ultraviolet light sensitive photoinitiator compositions, use thereof and radiation sensitive compositions
US5212046A (en) 1989-10-17 1993-05-18 Shipley Company Inc. Near UV photoresist
US5190804A (en) * 1989-11-27 1993-03-02 Toshiba Silicone Co., Ltd. Coated inorganic hardened product
US5252340A (en) 1989-12-14 1993-10-12 Isolyser Company, Inc. Method of producing an absorbent composition
US5043789A (en) * 1990-03-15 1991-08-27 International Business Machines Corporation Planarizing silsesquioxane copolymer coating
US5104692A (en) 1990-04-20 1992-04-14 Pilkington Visioncare Holdings, Inc. Two-layer antireflective coating applied in solution
US5055372A (en) 1990-04-23 1991-10-08 The Mead Corporation Photohardenable composition containing borate salts and ketone initiators
US5868597A (en) 1990-05-21 1999-02-09 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US6148830A (en) 1994-04-19 2000-11-21 Applied Elastomerics, Inc. Tear resistant, multiblock copolymer gels and articles
US6333374B1 (en) 1990-05-21 2001-12-25 Applied Elastomerics, Inc. Fluffy, strong, solid elastic gels, articles and method of making same
US5938499A (en) 1993-11-15 1999-08-17 Applied Elastomerics, Inc. Elastic gel toy
US5884639A (en) 1996-03-08 1999-03-23 Applied Elastomerics, Inc. Crystal gels with improved properties
US6552109B1 (en) 1994-04-19 2003-04-22 Applied Elastomerics, Inc. Gelatinous elastomer compositions and articles
US6117176A (en) 1993-11-15 2000-09-12 Applied Elastomerics, Inc. Elastic-crystal gel
US5760117A (en) 1990-05-21 1998-06-02 Applied Elastomerics, Inc. Gelatinous composition and articles
US5962572A (en) 1994-04-19 1999-10-05 Applied Elastomerics, Inc. Oriented gel and oriented gel articles
US6050871A (en) 1994-04-19 2000-04-18 Applied Elastomerics, Inc. Crystal gel airfoils with improved tear resistance and gel airfoils with profiles capable of exhibiting time delay recovery from deformation
EP0458651B1 (en) 1990-05-25 1994-03-09 Matsushita Electric Industrial Co., Ltd. Photosensitive materials comprising organic photoconductive substances in a binder polymer having aromatic rings, OH groups and bromine joined at the aromatic ring or rings
US5126289A (en) 1990-07-20 1992-06-30 At&T Bell Laboratories Semiconductor lithography methods using an arc of organic material
JP2517785B2 (en) 1990-08-02 1996-07-24 信越化学工業株式会社 Impregnable waterproofing agent composition
US5082758A (en) 1990-08-31 1992-01-21 Xerox Corporation Toner and developer compositions with charge enhancing additives
US5472488A (en) 1990-09-14 1995-12-05 Hyundai Electronics America Coating solution for forming glassy layers
US5527872A (en) 1990-09-14 1996-06-18 At&T Global Information Solutions Company Electronic device with a spin-on glass dielectric layer
US5152834A (en) 1990-09-14 1992-10-06 Ncr Corporation Spin-on glass composition
US5100503A (en) 1990-09-14 1992-03-31 Ncr Corporation Silica-based anti-reflective planarizing layer
US5302198A (en) 1990-09-14 1994-04-12 Ncr Corporation Coating solution for forming glassy layers
US5140396A (en) 1990-10-10 1992-08-18 Polaroid Corporation Filter and solid state imager incorporating this filter
US5059500A (en) 1990-10-10 1991-10-22 Polaroid Corporation Process for forming a color filter
KR950002949B1 (en) 1990-10-16 1995-03-28 미쓰이세끼유 가가꾸고오교오 가부시끼가이샤 Highly light-transmitting dust protective film process for preparation thereof and dust protective member
US5055376A (en) 1990-11-13 1991-10-08 Eastman Kodak Company Curable compositions containing onium salt photoinitiators which have a chromophore linked to the onium salt moiety through the 3-position and method of use
JP2712817B2 (en) * 1990-11-15 1998-02-16 信越化学工業株式会社 Method for producing polyorganosiloxane resin
DE59106479D1 (en) 1990-12-13 1995-10-19 Ciba Geigy Ag Aqueous dispersion of poorly soluble UV absorbers.
US5256510A (en) 1990-12-21 1993-10-26 Eastman Kodak Company Photoelectrographic imaging with near-infrared sensitizing dyes
US5219788A (en) 1991-02-25 1993-06-15 Ibm Corporation Bilayer metallization cap for photolithography
US5648201A (en) 1991-04-25 1997-07-15 The United Sates Of America As Represented By The Secretary Of The Navy Efficient chemistry for selective modification and metallization of substrates
JPH05202483A (en) 1991-04-25 1993-08-10 Shipley Co Inc Method and composition for electroless metallization
US5166093A (en) 1991-07-31 1992-11-24 Micron Technology, Inc. Method to reduce the reflectivity of a semi-conductor metallic surface
DE4132697A1 (en) 1991-10-01 1993-04-08 Wacker Chemie Gmbh METHOD FOR PRODUCING ORGANOPOLYSILOXANE RESIN
US5418136A (en) 1991-10-01 1995-05-23 Biostar, Inc. Devices for detection of an analyte based upon light interference
JPH0597478A (en) * 1991-10-04 1993-04-20 Nippon Sheet Glass Co Ltd Water repellent glass article and its production
US5212218A (en) 1991-10-15 1993-05-18 A. B. Chance Company Hydrophobic, erodiable synthetic resin composition for electrical insulators
US6528235B2 (en) 1991-11-15 2003-03-04 Shipley Company, L.L.C. Antihalation compositions
US6165697A (en) 1991-11-15 2000-12-26 Shipley Company, L.L.C. Antihalation compositions
US6472128B2 (en) 1996-04-30 2002-10-29 Shipley Company, L.L.C. Antihalation compositions
US6773864B1 (en) 1991-11-15 2004-08-10 Shipley Company, L.L.C. Antihalation compositions
KR0151545B1 (en) 1992-02-05 1998-10-01 마에다 가쓰노스케 Multilayer coated article
JP2694097B2 (en) 1992-03-03 1997-12-24 インターナショナル・ビジネス・マシーンズ・コーポレイション Antireflection coating composition
US5457081A (en) 1992-05-15 1995-10-10 Dai Nippon Printing Co., Ltd. Thermal transfer image receiving sheet
JP2753921B2 (en) 1992-06-04 1998-05-20 富士写真フイルム株式会社 Positive photoresist composition
US5576247A (en) 1992-07-31 1996-11-19 Matsushita Electric Industrial Co., Ltd. Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture
US6909220B2 (en) 1994-04-19 2005-06-21 Applied Elastomerics, Inc. High strain tear resistant gels and gel composites for use as artificial muscle actuators
US6420475B1 (en) 1994-04-19 2002-07-16 Applied Elastomerics, Inc. Tear resistant elastic crystal gels gel composites and their uses
US6794440B2 (en) 1994-04-19 2004-09-21 Applied Elastomerics, Inc. Tear resistant gelatinous elastomer compositions and articles for use as fishing bait
US6627275B1 (en) 1994-04-19 2003-09-30 Applied Elastomerics, Incorporated Tear resistant elastic crystal gels suitable for inflatable restraint cushions and other uses
US6867253B1 (en) 1994-04-19 2005-03-15 Applied Elastomerics, Inc. Tear resistant, crystalline midblock copolymer gels and articles
US6324703B1 (en) 1994-04-19 2001-12-04 Applied Elastomerics, Inc. Strong, soft, tear resistant insulating compositions and composites for extreme cold weather use
DE4394591T1 (en) 1992-09-24 1994-10-20 Kansai Paint Co Ltd Deck coat composition and film forming method using it
US5432007A (en) 1992-10-06 1995-07-11 Shizu Naito Solvent-free organosiloxane composition and its use
US5873931A (en) 1992-10-06 1999-02-23 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
JPH06140396A (en) 1992-10-23 1994-05-20 Yamaha Corp Semiconductor device and manufacture thereof
US5384357A (en) 1992-11-02 1995-01-24 General Electric Company Infrared radiation curable organopolysiloxane compositions
US5719249A (en) 1993-11-29 1998-02-17 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Reactive silicon group-containing polyoxyalkylene-polysiloxane copolymer
US5395734A (en) 1992-11-30 1995-03-07 Minnesota Mining And Manufacturing Company Shoot and run printing materials
US5349002A (en) * 1992-12-02 1994-09-20 General Electric Company Heat curable primerless silicone hardcoat compositions, and thermoplastic composites
DE4241727A1 (en) 1992-12-10 1994-06-16 Wacker Chemie Gmbh Self-dispersing organo-polysiloxane compsns., esp. for wood treatment - contain salt of an organo-polysiloxane contg. basic nitrogen, water-insoluble filler, etc., and opt. a basic nitrogen-contg. organo-silicon cpd.
US5449712A (en) 1993-01-13 1995-09-12 Thoro System Products, Inc. Organosilicon emulsions for rendering porous substrates water repellent
US5512418A (en) 1993-03-10 1996-04-30 E. I. Du Pont De Nemours And Company Infra-red sensitive aqueous wash-off photoimaging element
JP3152544B2 (en) 1993-06-24 2001-04-03 シャープ株式会社 Scanner
US5498748A (en) 1993-07-20 1996-03-12 Wako Pure Chemical Industries, Ltd. Anthracene derivatives
US5576359A (en) 1993-07-20 1996-11-19 Wako Pure Chemical Industries, Ltd. Deep ultraviolet absorbent composition
JP3064753B2 (en) 1993-08-10 2000-07-12 東亞合成株式会社 Method for producing antibacterial thermoplastic resin molding
JP3200257B2 (en) 1993-09-13 2001-08-20 キヤノン株式会社 Image decoding device
DE4331162A1 (en) 1993-09-14 1995-03-16 Bayer Ag Process for the preparation of cyanine dyes
US5382615A (en) 1993-10-01 1995-01-17 Eastman Chemical Company Modified polyethylene based hot-melt adhesives for use in packaging
US5467626A (en) 1993-10-01 1995-11-21 The Boeing Company Integral forming die system for superplastic metal forming
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5460911A (en) 1994-03-14 1995-10-24 Xerox Corporation Electrophotographic imaging member free of reflection interference
DE69511141T2 (en) 1994-03-28 2000-04-20 Wako Pure Chem Ind Ltd Resist composition for deep ultraviolet exposure
US6161555A (en) 1994-04-19 2000-12-19 Applied Elastomerics, Inc. Crystal gels useful as dental floss with improved high tear, high tensile, and resistance to high stress rupture properties
US5759625A (en) 1994-06-03 1998-06-02 E. I. Du Pont De Nemours And Company Fluoropolymer protectant layer for high temperature superconductor film and photo-definition thereof
US5468591A (en) 1994-06-14 1995-11-21 Eastman Kodak Company Barrier layer for laser ablative imaging
JP3209476B2 (en) 1994-06-17 2001-09-17 フジコピアン株式会社 Fabric ink ribbon
US5705116A (en) 1994-06-27 1998-01-06 Sitzmann; Eugene Valentine Increasing the useful range of cationic photoinitiators in stereolithography
FR2721720B1 (en) 1994-06-27 1996-09-06 Essilor Int Ophthalmic lens made of organic glass with shock-absorbing interlayer and its manufacturing process.
US20020034630A1 (en) 1994-06-27 2002-03-21 Jean-Paul Cano Ophthalmic lens made of organic glass with a shockproof intermediate layer, and method for making same
WO1996000758A1 (en) 1994-06-30 1996-01-11 Hitachi Chemical Company, Ltd. Material for forming silica-base coated insulation film, process for producing the material, silica-base insulation film, semiconductor device, and process for producing the device
US5910021A (en) 1994-07-04 1999-06-08 Yamaha Corporation Manufacture of semiconductor device with fine pattens
US5976666A (en) 1994-08-29 1999-11-02 Sri International Electromagnetic radiation absorbing devices and associated methods of manufacture and use
US5498468A (en) 1994-09-23 1996-03-12 Kimberly-Clark Corporation Fabrics composed of ribbon-like fibrous material and method to make the same
US5449639A (en) 1994-10-24 1995-09-12 Taiwan Semiconductor Manufacturing Company Ltd. Disposable metal anti-reflection coating process used together with metal dry/wet etch
KR0129950B1 (en) 1994-11-30 1998-04-03 김광호 Anit-reflective coating composition
US5679128A (en) 1995-01-31 1997-10-21 Latting; John Alvis Dry-bonded nonionic adjuvants
US5964917A (en) 1995-01-31 1999-10-12 Latting; John Alvis Free-flowing fertilizer compositions
US5580819A (en) 1995-03-22 1996-12-03 Ppg Industries, Inc. Coating composition, process for producing antireflective coatings, and coated articles
US5945249A (en) 1995-04-20 1999-08-31 Imation Corp. Laser absorbable photobleachable compositions
US5935758A (en) 1995-04-20 1999-08-10 Imation Corp. Laser induced film transfer system
GB9508031D0 (en) 1995-04-20 1995-06-07 Minnesota Mining & Mfg UV-absorbing media bleachable by IR-radiation
GB9617416D0 (en) 1996-08-20 1996-10-02 Minnesota Mining & Mfg Thermal bleaching of infrared dyes
US6103779A (en) 1995-04-26 2000-08-15 Reinforced Polmers, Inc. Method of preparing molding compositions with fiber reinforcement and products obtained therefrom
US5747553A (en) 1995-04-26 1998-05-05 Reinforced Polymer Inc. Low pressure acrylic molding composition with fiber reinforcement
US6150250A (en) 1995-07-05 2000-11-21 Yamaha Corporation Conductive layer forming method using etching mask with direction <200>
JP3512911B2 (en) 1995-07-11 2004-03-31 富士写真フイルム株式会社 Ultraviolet absorber precursor compound, photosensitive resin composition containing the same and image forming method
JP3824334B2 (en) * 1995-08-07 2006-09-20 東京応化工業株式会社 Silica-based coating forming coating solution and coating forming method
US5583195A (en) 1995-09-29 1996-12-10 General Electric Company Photocurable epoxy silicones functionalized with fluorescent or photosensitizing marker dyes
JPH09120157A (en) 1995-10-25 1997-05-06 Fuji Photo Film Co Ltd Damping waterless photosensitive planographic printing plate
US5955140A (en) * 1995-11-16 1999-09-21 Texas Instruments Incorporated Low volatility solvent-based method for forming thin film nanoporous aerogels on semiconductor substrates
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
TW376408B (en) 1995-12-01 1999-12-11 Nissan Chemical Ind Ltd Coating film having water repellency and low refractive index
JP3930591B2 (en) 1995-12-22 2007-06-13 東陶機器株式会社 Photocatalytic hydrophilic coating composition, method for forming hydrophilic film and coated article
AU715653B2 (en) 1996-02-13 2000-02-10 Sola International Inc. Color-neutral UV blocking coating for plastic lens
US5756257A (en) 1996-02-14 1998-05-26 Imation Corp. Color proofing article incorporating novel antihalation dye
JP3436843B2 (en) 1996-04-25 2003-08-18 東京応化工業株式会社 Base material for lithography and resist material for lithography using the same
JP3694703B2 (en) * 1996-04-25 2005-09-14 Azエレクトロニックマテリアルズ株式会社 Anti-reflection coating composition
US6291586B2 (en) 1996-05-03 2001-09-18 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyurethanes and polyureas
US5994431A (en) 1996-05-03 1999-11-30 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyolefins
TW354392B (en) * 1996-07-03 1999-03-11 Du Pont Photomask blanks
TW515926B (en) 1996-07-10 2003-01-01 Matsushita Electric Ind Co Ltd Liquid crystal alignment film and method for producing the same, and liquid crystal display apparatus using the same and method for producing the same
JP3222386B2 (en) 1996-07-12 2001-10-29 信越化学工業株式会社 Coating composition and article treated with the coating composition
US6040053A (en) 1996-07-19 2000-03-21 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
AU4991097A (en) 1996-10-25 1998-05-22 Blue River International, L.L.C. Silicon coating compositions and uses thereof
TW438860B (en) * 1996-11-20 2001-06-07 Japan Synthetic Rubber Co Ltd Curable resin composition and cured products
JPH10161315A (en) 1996-12-05 1998-06-19 Nippon Steel Chem Co Ltd Alkali-soluble photosensitive resin composition
US5695551A (en) 1996-12-09 1997-12-09 Dow Corning Corporation Water repellent composition
WO1998026019A1 (en) 1996-12-13 1998-06-18 Matsushita Electric Works, Ltd. Silicone emulsion coating composition and processes for the preparation thereof
US5939236A (en) 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US6491840B1 (en) 2000-02-14 2002-12-10 The Procter & Gamble Company Polymer compositions having specified PH for improved dispensing and improved stability of wrinkle reducing compositions and methods of use
DE19710461A1 (en) 1997-03-13 1998-09-17 Wacker Chemie Gmbh Organopolysiloxanes containing dye residues
ES2182274T3 (en) 1997-05-13 2003-03-01 Kirin Brewery COATING MATERIAL TO FORM A VITREA FILM, COATING PROCEDURE WITH THE SAME AND COATING APPLICATOR.
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
US5883011A (en) 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
JP4012600B2 (en) 1997-06-23 2007-11-21 富士通株式会社 Acid-sensitive polymer, resist composition, resist pattern forming method, and semiconductor device manufacturing method
JP3473887B2 (en) 1997-07-16 2003-12-08 東京応化工業株式会社 Composition for forming antireflection film and method for forming resist pattern using the same
JP4053631B2 (en) 1997-10-08 2008-02-27 Azエレクトロニックマテリアルズ株式会社 Composition for antireflection film or light absorption film and polymer used therefor
US6190839B1 (en) 1998-01-15 2001-02-20 Shipley Company, L.L.C. High conformality antireflective coating compositions
ATE210951T1 (en) 1998-01-22 2002-01-15 Kettenbach Gmbh & Co Kg BACKING FOR PROSTHESIS AND METHOD FOR PRODUCTION
US6190955B1 (en) 1998-01-27 2001-02-20 International Business Machines Corporation Fabrication of trench capacitors using disposable hard mask
US6565813B1 (en) 1998-02-04 2003-05-20 Merck & Co., Inc. Virtual wells for use in high throughput screening assays
US7022821B1 (en) 1998-02-20 2006-04-04 O'brien Timothy J Antibody kit for the detection of TADG-15 protein
US5972616A (en) 1998-02-20 1999-10-26 The Board Of Trustees Of The University Of Arkansas TADG-15: an extracellular serine protease overexpressed in breast and ovarian carcinomas
US6503586B1 (en) 1998-02-25 2003-01-07 Arteva North America S.A.R.L. Title improved infrared absorbing polyester packaging polymer
US6962727B2 (en) 1998-03-20 2005-11-08 Honeywell International Inc. Organosiloxanes
US5985444A (en) 1998-04-03 1999-11-16 3M Innovative Properties Company Amide functional ultraviolet light absorbers for fluoropolymers
CN1171961C (en) * 1998-04-10 2004-10-20 松下电工株式会社 Method of forming hydrophilic inorganic coating film and inorganic coating composition
US5986344A (en) 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
DE19817069A1 (en) 1998-04-17 1999-10-21 Clariant Gmbh Colorants reflecting infrared radiation
EP1090332A4 (en) 1998-04-29 2001-08-29 Brewer Science Inc Fast-etching, thermosetting anti-reflective coatings derived from cellulosic binders
US6576408B2 (en) 1998-04-29 2003-06-10 Brewer Science, Inc. Thermosetting anti-reflective coatings comprising aryl urethanes of hydroxypropyl cellulose
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
WO2000003303A1 (en) 1998-07-10 2000-01-20 Clariant International Ltd. Composition for bottom reflection preventive film and novel polymeric dye for use in the same
US6444584B1 (en) 1998-07-16 2002-09-03 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming composite silicon/dielectric/silicon stack layer
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
DE19834745A1 (en) 1998-08-01 2000-02-03 Agfa Gevaert Ag Radiation-sensitive mixture with IR-absorbing, anionic cyanine dyes and recording material produced therewith
US6217890B1 (en) 1998-08-25 2001-04-17 Susan Carol Paul Absorbent article which maintains or improves skin health
US6238379B1 (en) 1998-08-25 2001-05-29 Kimberly-Clark Worldwide, Inc. Absorbent article with increased wet breathability
US6287286B1 (en) 1998-08-25 2001-09-11 Kimberly-Clark Worldwide, Inc. Absorbent article having a reduced viability of candida albicans
US6448464B1 (en) 1999-07-30 2002-09-10 Kimberly-Clark Worldwide, Inc. Absorbent article which maintains skin temperature when wet
US6497893B1 (en) 1999-06-30 2002-12-24 Kimberly-Clark Worldwide, Inc. Silk protein treatment composition and treated substrate for transfer to skin
US6152906A (en) 1998-08-25 2000-11-28 Kimberly-Clark Worldwide, Inc. Absorbent article having improved breathability
US6149934A (en) 1999-04-23 2000-11-21 Kimberly-Clark Worldwide, Inc. Absorbent article having a lotionized bodyside liner
US20020102483A1 (en) 1998-09-15 2002-08-01 Timothy Adams Antireflective coating compositions
US6190830B1 (en) 1998-09-29 2001-02-20 Kodak Polychrome Graphics Llc Processless direct write printing plate having heat sensitive crosslinked vinyl polymer with organoonium group and methods of imaging and printing
US6503233B1 (en) 1998-10-02 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent article having good body fit under dynamic conditions
US6667424B1 (en) 1998-10-02 2003-12-23 Kimberly-Clark Worldwide, Inc. Absorbent articles with nits and free-flowing particles
US6673982B1 (en) 1998-10-02 2004-01-06 Kimberly-Clark Worldwide, Inc. Absorbent article with center fill performance
US6562192B1 (en) 1998-10-02 2003-05-13 Kimberly-Clark Worldwide, Inc. Absorbent articles with absorbent free-flowing particles and methods for producing the same
JP3702108B2 (en) 1998-10-07 2005-10-05 株式会社東芝 Resist pattern forming method
JP2000129073A (en) 1998-10-26 2000-05-09 Toyo Ink Mfg Co Ltd Ordinary-temperature-curable resin composition and substrate coated therewith
DE19852852A1 (en) 1998-11-11 2000-05-18 Inst Halbleiterphysik Gmbh Lithographic process used in emitter structuring of bipolar transistors comprises forming photo-lacquer layer on antireflection layer on substrate and etching
US6251973B1 (en) 1998-11-23 2001-06-26 Akzo Nobel N.V. Coatings and coating compositions of a reactive group-containing polymer, a hydrazide and a silane
US5981675A (en) 1998-12-07 1999-11-09 Bausch & Lomb Incorporated Silicone-containing macromonomers and low water materials
US6326231B1 (en) 1998-12-08 2001-12-04 Advanced Micro Devices, Inc. Use of silicon oxynitride ARC for metal layers
US6235456B1 (en) 1998-12-09 2001-05-22 Advanced Micros Devices, Inc. Graded anti-reflective barrier films for ultra-fine lithography
US6342249B1 (en) 1998-12-23 2002-01-29 Alza Corporation Controlled release liquid active agent formulation dosage forms
US6635281B2 (en) 1998-12-23 2003-10-21 Alza Corporation Gastric retaining oral liquid dosage form
KR100363695B1 (en) 1998-12-31 2003-04-11 주식회사 하이닉스반도체 Organic diffuse reflection prevention polymer and its manufacturing method
US6210856B1 (en) 1999-01-27 2001-04-03 International Business Machines Corporation Resist composition and process of forming a patterned resist layer on a substrate
TW476865B (en) 1999-01-28 2002-02-21 Tokyo Ohka Kogyo Co Ltd Undercoating composition for photolithographic resist
US6544717B2 (en) 1999-01-28 2003-04-08 Tokyo Ohka Kogyo Co., Ltd. Undercoating composition for photolithographic resist
US6187505B1 (en) 1999-02-02 2001-02-13 International Business Machines Corporation Radiation sensitive silicon-containing resists
EP1031579B1 (en) 1999-02-26 2005-07-27 Showa Denko Kabushiki Kaisha Photopolymerization initiator for color filter, photosensitive coloring composition, and color filter
US6316165B1 (en) * 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
JP4270632B2 (en) 1999-03-12 2009-06-03 株式会社東芝 Manufacturing method of semiconductor device using dry etching
US6849923B2 (en) 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6409883B1 (en) 1999-04-16 2002-06-25 Kimberly-Clark Worldwide, Inc. Methods of making fiber bundles and fibrous structures
US6824879B2 (en) * 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
WO2000077575A1 (en) * 1999-06-10 2000-12-21 Alliedsignal Inc. Spin-on-glass anti-reflective coatings for photolithography
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
WO2001009231A1 (en) 1999-07-30 2001-02-08 Ppg Industries Ohio, Inc. Cured coatings having improved scratch resistance, coated substrates and methods related thereto
US6623791B2 (en) 1999-07-30 2003-09-23 Ppg Industries Ohio, Inc. Coating compositions having improved adhesion, coated substrates and methods related thereto
US6475892B1 (en) 1999-08-02 2002-11-05 Aadvanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6107167A (en) 1999-08-02 2000-08-22 Advanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6649083B1 (en) * 1999-08-12 2003-11-18 Board Of Trustees Of Michigan State University Combined porous organic and inorganic oxide materials prepared by non-ionic surfactant templating route
US6335235B1 (en) 1999-08-17 2002-01-01 Advanced Micro Devices, Inc. Simplified method of patterning field dielectric regions in a semiconductor device
AR027842A1 (en) 1999-08-23 2003-04-16 Kimberly Clark Co AN ABSORBENT ARTICLE WHICH MAINTAINS OR IMPROVES SKIN HEALTH
AR025300A1 (en) 1999-08-23 2002-11-20 Kimberly Clark Co A DISPOSABLE ABSORBENT ARTICLE WITH CAPACITY TO BREATHE IN INCREASED MOISTURE.
JP2001079491A (en) 1999-09-10 2001-03-27 Koito Mfg Co Ltd Method for forming coating film and lamp for vehicle formed by the method
WO2001020641A1 (en) 1999-09-13 2001-03-22 Koninklijke Philips Electronics N.V. Electric lamp
JP4248098B2 (en) 1999-09-20 2009-04-02 東京応化工業株式会社 Antireflection film forming composition and resist pattern forming method
US6315946B1 (en) 1999-10-21 2001-11-13 The United States Of America As Represented By The Secretary Of The Navy Ultra low carbon bainitic weathering steel
US6232424B1 (en) 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
US6403464B1 (en) 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6391524B2 (en) 1999-11-19 2002-05-21 Kodak Polychrome Graphics Llc Article having imagable coatings
TW468053B (en) 1999-12-14 2001-12-11 Nissan Chemical Ind Ltd Antireflection film, process for forming the antireflection film, and antireflection glass
IL146385A (en) 2000-02-08 2007-03-08 Adsil Lc Method for improving heat efficiency using silane coatings and coated articles produced thereby
EP1255806B1 (en) 2000-02-14 2006-09-27 The Procter & Gamble Company Stable, aqueous compositions for treating surfaces, especially fabrics
KR100610406B1 (en) 2000-02-22 2006-08-09 브레우어 사이언스 인코포레이션 Organic polymeric antireflective coatings deposited by chemical vapor deposition
KR20030011778A (en) * 2000-02-28 2003-02-11 애드실, 엘씨 Silane-based, coating compositions, coated articles obtained therefrom and methods of using same
DE60138327D1 (en) * 2000-02-28 2009-05-28 Jsr Corp Film-making composition, film-forming method and silica-based film
US6451420B1 (en) 2000-03-17 2002-09-17 Nanofilm, Ltd. Organic-inorganic hybrid polymer and method of making same
JP3604007B2 (en) * 2000-03-29 2004-12-22 富士通株式会社 Low dielectric constant film forming material, and film and semiconductor device manufacturing method using the same
JP3795333B2 (en) 2000-03-30 2006-07-12 東京応化工業株式会社 Anti-reflection film forming composition
WO2001074937A1 (en) 2000-03-30 2001-10-11 General Electric Company Transparent, flame retardant poly(arylene ether) blends
US6593388B2 (en) 2000-04-04 2003-07-15 Renssealer Polytechnic Institute Oligomeric and polymeric photosensitizers comprising a polynuclear aromatic group
US6268294B1 (en) 2000-04-04 2001-07-31 Taiwan Semiconductor Manufacturing Company Method of protecting a low-K dielectric material
EP1146099B1 (en) 2000-04-13 2006-03-22 JSR Corporation Coating composition, method for producing the same, cured product and coating film
US6374738B1 (en) 2000-05-03 2002-04-23 Presstek, Inc. Lithographic imaging with non-ablative wet printing members
JP3846545B2 (en) 2000-06-08 2006-11-15 信越化学工業株式会社 Coating agent composition, coating method and coated article
US6632535B1 (en) 2000-06-08 2003-10-14 Q2100, Inc. Method of forming antireflective coatings
US6852766B1 (en) 2000-06-15 2005-02-08 3M Innovative Properties Company Multiphoton photosensitization system
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US6891237B1 (en) 2000-06-27 2005-05-10 Lucent Technologies Inc. Organic semiconductor device having an active dielectric layer comprising silsesquioxanes
US6323268B1 (en) 2000-06-27 2001-11-27 Dow Corning Corporation Organosilicon water repellent compositions
JP2002023350A (en) 2000-07-07 2002-01-23 Fuji Photo Film Co Ltd Negative type original plate of planographic printing plate
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6368400B1 (en) * 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
TW556047B (en) 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
US6635341B1 (en) 2000-07-31 2003-10-21 Ppg Industries Ohio, Inc. Coating compositions comprising silyl blocked components, coating, coated substrates and methods related thereto
US6803034B2 (en) 2000-08-18 2004-10-12 Procter & Gamble Company Compositions and methods for odor and fungal control in ballistic fabric and other protective garments
EP1314193A2 (en) 2000-08-21 2003-05-28 Dow Global Technologies Inc. Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
US6645685B2 (en) 2000-09-06 2003-11-11 Mitsubishi Paper Mills Limited Process for producing printed wiring board
JP3993373B2 (en) 2000-09-14 2007-10-17 信越化学工業株式会社 Polyorganosiloxane compound and coating composition containing the same
JP3772077B2 (en) 2000-09-27 2006-05-10 株式会社東芝 Pattern formation method
US6465358B1 (en) 2000-10-06 2002-10-15 Intel Corporation Post etch clean sequence for making a semiconductor device
EP1197511A1 (en) * 2000-10-10 2002-04-17 Shipley Company LLC Antireflective composition
US6864040B2 (en) 2001-04-11 2005-03-08 Kodak Polychrome Graphics Llc Thermal initiator system using leuco dyes and polyhalogene compounds
US6884568B2 (en) 2000-10-17 2005-04-26 Kodak Polychrome Graphics, Llc Stabilized infrared-sensitive polymerizable systems
US6503526B1 (en) 2000-10-20 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent articles enhancing skin barrier function
US6756520B1 (en) 2000-10-20 2004-06-29 Kimberly-Clark Worldwide, Inc. Hydrophilic compositions for use on absorbent articles to enhance skin barrier
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
KR100789583B1 (en) * 2000-12-11 2007-12-28 제이에스알 가부시끼가이샤 Radiation sensitive refractive index changing composition and refractive index changing method
US6699647B2 (en) 2000-12-21 2004-03-02 Eastman Kodak Company High speed photothermographic materials containing tellurium compounds and methods of using same
US20020128615A1 (en) 2000-12-22 2002-09-12 Tyrrell David John Absorbent articles with non-aqueous compositions containing anionic polymers
US6749860B2 (en) 2000-12-22 2004-06-15 Kimberly-Clark Worldwide, Inc. Absorbent articles with non-aqueous compositions containing botanicals
US6832064B2 (en) 2000-12-29 2004-12-14 Samsung Electronics Co., Ltd. Seamless drying belt for electrophotographic process
WO2002058699A1 (en) 2001-01-25 2002-08-01 Bristol-Myers Squibb Company Pharmaceutical forms of epothilones for oral administration
US6465889B1 (en) 2001-02-07 2002-10-15 Advanced Micro Devices, Inc. Silicon carbide barc in dual damascene processing
US7011773B2 (en) 2001-02-20 2006-03-14 Q2100, Inc. Graphical interface to display mold assembly position in a lens forming apparatus
US6875005B2 (en) 2001-02-20 2005-04-05 Q1200, Inc. Apparatus for preparing an eyeglass lens having a gating device
US6726463B2 (en) 2001-02-20 2004-04-27 Q2100, Inc. Apparatus for preparing an eyeglass lens having a dual computer system controller
US6612828B2 (en) 2001-02-20 2003-09-02 Q2100, Inc. Fill system with controller for monitoring use
US6893245B2 (en) 2001-02-20 2005-05-17 Q2100, Inc. Apparatus for preparing an eyeglass lens having a computer system controller
US6758663B2 (en) 2001-02-20 2004-07-06 Q2100, Inc. System for preparing eyeglass lenses with a high volume curing unit
US6702564B2 (en) 2001-02-20 2004-03-09 Q2100, Inc. System for preparing an eyeglass lens using colored mold holders
US7052262B2 (en) 2001-02-20 2006-05-30 Q2100, Inc. System for preparing eyeglasses lens with filling station
US6709257B2 (en) 2001-02-20 2004-03-23 Q2100, Inc. Eyeglass lens forming apparatus with sensor
US6790024B2 (en) 2001-02-20 2004-09-14 Q2100, Inc. Apparatus for preparing an eyeglass lens having multiple conveyor systems
US6752613B2 (en) 2001-02-20 2004-06-22 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for initiation of lens curing
US6655946B2 (en) 2001-02-20 2003-12-02 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for conveyor and curing units
US6676398B2 (en) 2001-02-20 2004-01-13 Q2100, Inc. Apparatus for preparing an eyeglass lens having a prescription reader
US6840752B2 (en) 2001-02-20 2005-01-11 Q2100, Inc. Apparatus for preparing multiple eyeglass lenses
US7139636B2 (en) 2001-02-20 2006-11-21 Q2100, Inc. System for preparing eyeglass lenses with bar code reader
US6712331B2 (en) 2001-02-20 2004-03-30 Q2100, Inc. Holder for mold assemblies with indicia
US6808381B2 (en) 2001-02-20 2004-10-26 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller
US6717181B2 (en) 2001-02-22 2004-04-06 Semiconductor Energy Laboratory Co., Ltd. Luminescent device having thin film transistor
US20030198578A1 (en) 2002-04-18 2003-10-23 Dielectric Systems, Inc. Multi-stage-heating thermal reactor for transport polymerization
US6797343B2 (en) 2001-12-20 2004-09-28 Dielectric Systems, Inc. Dielectric thin films from fluorinated precursors
US6825303B2 (en) 2001-02-26 2004-11-30 Dielectric Systems, Inc. Integration of low ε thin films and Ta into Cu dual damascene
US6703462B2 (en) 2001-08-09 2004-03-09 Dielectric Systems Inc. Stabilized polymer film and its manufacture
US6582861B2 (en) 2001-03-16 2003-06-24 Applied Materials, Inc. Method of reshaping a patterned organic photoresist surface
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US6846614B2 (en) 2002-02-04 2005-01-25 Kodak Polychrome Graphics Llc On-press developable IR sensitive printing plates
US20040091811A1 (en) 2002-10-30 2004-05-13 Munnelly Heidi M. Hetero-substituted aryl acetic acid co-initiators for IR-sensitive compositions
US6893797B2 (en) 2001-11-09 2005-05-17 Kodak Polychrome Graphics Llc High speed negative-working thermal printing plates
TW576859B (en) 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
US6713643B2 (en) * 2001-05-24 2004-03-30 Board Of Trustees Of Michigan State University Ultrastable organofunctional microporous to mesoporous silica compositions
JP4146105B2 (en) 2001-05-30 2008-09-03 富士フイルム株式会社 Ultraviolet absorber and method for producing the same, composition containing ultraviolet absorber, and image forming method
US6448185B1 (en) 2001-06-01 2002-09-10 Intel Corporation Method for making a semiconductor device that has a dual damascene interconnect
WO2002099470A2 (en) 2001-06-05 2002-12-12 Flexplay Technologies, Inc. Limited play optical devices with interstitial reactive layer and methods of making same
US6558880B1 (en) 2001-06-06 2003-05-06 Eastman Kodak Company Thermally developable imaging materials containing heat-bleachable antihalation composition
JP4181312B2 (en) 2001-06-25 2008-11-12 富士フイルム株式会社 Negative image recording material
JP2003025510A (en) 2001-07-16 2003-01-29 Shin Etsu Chem Co Ltd Multilayered laminate having reflection preventing properties and scratch resistance
US6703169B2 (en) 2001-07-23 2004-03-09 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US6592999B1 (en) 2001-07-31 2003-07-15 Ppg Industries Ohio, Inc. Multi-layer composites formed from compositions having improved adhesion, coating compositions, and methods related thereto
JP2003050459A (en) 2001-08-07 2003-02-21 Hitachi Chem Co Ltd Photosensitive resin composition, photosensitive element, method for producing resist pattern and method for producing printed wiring board
KR100436220B1 (en) 2001-08-30 2004-06-12 주식회사 네패스 Organic polymers for bottom antireflective coating, processes for preparing the same, and compositions containing the same
US6514677B1 (en) 2001-08-31 2003-02-04 Eastman Kodak Company Thermally developable infrared sensitive imaging materials containing heat-bleachable antihalation composition
US6824952B1 (en) 2001-09-13 2004-11-30 Microchem Corp. Deep-UV anti-reflective resist compositions
TW591341B (en) 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
DE10151264A1 (en) * 2001-10-17 2003-04-30 Degussa Aminoalkylalkoxysiloxane-containing mixtures, their preparation and their use
US6730461B2 (en) 2001-10-26 2004-05-04 Eastman Kodak Company Thermally developable imaging materials with reduced mottle providing improved image uniformity
US6949297B2 (en) 2001-11-02 2005-09-27 3M Innovative Properties Company Hybrid adhesives, articles, and methods
CN1606713B (en) * 2001-11-15 2011-07-06 霍尼韦尔国际公司 Spincoating antireflection paint for photolithography
US6573175B1 (en) 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US20030171729A1 (en) 2001-12-28 2003-09-11 Kaun James Martin Multifunctional containment sheet and system for absorbent atricles
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
TW200401944A (en) 2002-02-01 2004-02-01 Seiko Epson Corp Circuit substrate, electro-optical device and electronic appliances
CA2474913A1 (en) 2002-02-05 2003-08-14 Gencell Corporation Silane coated metallic fuel cell components and methods of manufacture
WO2003077029A1 (en) 2002-03-04 2003-09-18 Shipley Company, Llc Negative photoresists for short wavelength imaging
DE10213294B4 (en) 2002-03-25 2015-05-13 Osram Gmbh Use of a UV-resistant polymer in optoelectronics and outdoor applications, UV-resistant polymer and optical component
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6787281B2 (en) 2002-05-24 2004-09-07 Kodak Polychrome Graphics Llc Selected acid generating agents and their use in processes for imaging radiation-sensitive elements
US7307343B2 (en) * 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US7897979B2 (en) 2002-06-07 2011-03-01 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and manufacturing method thereof
CN1248556C (en) 2002-08-05 2006-03-29 佳能株式会社 Underlying pattern forming material for electrode and wiring material absorption and application thereof
US6896821B2 (en) 2002-08-23 2005-05-24 Dalsa Semiconductor Inc. Fabrication of MEMS devices with spin-on glass
US20040067437A1 (en) 2002-10-06 2004-04-08 Shipley Company, L.L.C. Coating compositions for use with an overcoated photoresist
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US7038328B2 (en) 2002-10-15 2006-05-02 Brewer Science Inc. Anti-reflective compositions comprising triazine compounds
AU2003287194A1 (en) 2002-10-21 2004-05-13 Shipley Company L.L.C. Photoresists containing sulfonamide component
US6783468B2 (en) 2002-10-24 2004-08-31 Acushnet Company Low deformation golf ball
US7122384B2 (en) 2002-11-06 2006-10-17 E. I. Du Pont De Nemours And Company Resonant light scattering microparticle methods
US7465414B2 (en) 2002-11-14 2008-12-16 Transitions Optical, Inc. Photochromic article
JP2004177952A (en) 2002-11-20 2004-06-24 Rohm & Haas Electronic Materials Llc Multilayer photoresist system
US7018779B2 (en) 2003-01-07 2006-03-28 International Business Machines Corporation Apparatus and method to improve resist line roughness in semiconductor wafer processing
US7507783B2 (en) 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
US6902861B2 (en) 2003-03-10 2005-06-07 Kodak Polychrome Graphics, Llc Infrared absorbing compounds and their use in photoimageable elements
KR100882409B1 (en) 2003-06-03 2009-02-05 신에쓰 가가꾸 고교 가부시끼가이샤 Antireflective Silicone Resin, Antireflective Film Material, and Antireflective Film and Pattern Formation Method Using the Same
US7202013B2 (en) 2003-06-03 2007-04-10 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
US7008476B2 (en) 2003-06-11 2006-03-07 Az Electronic Materials Usa Corp. Modified alginic acid of alginic acid derivatives and thermosetting anti-reflective compositions thereof
US6899988B2 (en) 2003-06-13 2005-05-31 Kodak Polychrome Graphics Llc Laser thermal metallic donors
JP2005049542A (en) 2003-07-31 2005-02-24 Fuji Photo Film Co Ltd Picture forming method and developer
US7172849B2 (en) 2003-08-22 2007-02-06 International Business Machines Corporation Antireflective hardmask and uses thereof
US7270931B2 (en) 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
US20050074981A1 (en) 2003-10-06 2005-04-07 Meagley Robert P. Increasing the etch resistance of photoresists
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
TWI367686B (en) 2004-04-07 2012-07-01 Semiconductor Energy Lab Light emitting device, electronic device, and television device
US20060155594A1 (en) 2005-01-13 2006-07-13 Jess Almeida Adaptive step-by-step process with guided conversation logs for improving the quality of transaction data
JP4513966B2 (en) 2005-03-07 2010-07-28 信越化学工業株式会社 Primer composition and electrical and electronic parts using the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0656560A (en) * 1992-08-10 1994-03-01 Sony Corp Sog composition and production of semiconductor device by using this composition
US6174631B1 (en) * 1997-02-10 2001-01-16 E. I. Du Pont De Nemours And Company Attenuating phase shift photomasks
US6365765B1 (en) * 1999-06-10 2002-04-02 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1478683A4 *

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1695142A4 (en) * 2003-11-18 2007-05-30 Honeywell Int Inc Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
EP1695142A2 (en) * 2003-11-18 2006-08-30 Honeywell International, Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US8129491B2 (en) 2004-12-17 2012-03-06 Dow Corning Corporation Siloxane resin coating
JP2008524650A (en) * 2004-12-17 2008-07-10 ダウ・コーニング・コーポレイション Method for forming antireflection film
US7833696B2 (en) 2004-12-17 2010-11-16 Dow Corning Corporation Method for forming anti-reflective coating
US7838615B2 (en) 2004-12-17 2010-11-23 Dow Corning Corporation Siloxane resin coating
NO325797B1 (en) * 2005-10-14 2008-07-21 Nor X Ind As Light preservative based on organic / inorganic hybrid polymer, process for preparation and use of same
US8263312B2 (en) 2006-02-13 2012-09-11 Dow Corning Corporation Antireflective coating material
US8026040B2 (en) 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
US8524441B2 (en) 2007-02-27 2013-09-03 Az Electronic Materials Usa Corp. Silicon-based antireflective coating compositions
US8318258B2 (en) 2008-01-08 2012-11-27 Dow Corning Toray Co., Ltd. Silsesquioxane resins
US9023433B2 (en) 2008-01-15 2015-05-05 Dow Corning Corporation Silsesquioxane resins and method of using them to form an antireflective coating
US8304161B2 (en) 2008-03-04 2012-11-06 Dow Corning Corporation Silsesquioxane resins
US8241707B2 (en) 2008-03-05 2012-08-14 Dow Corning Corporation Silsesquioxane resins
US8084193B2 (en) * 2008-07-12 2011-12-27 International Business Machines Corporation Self-segregating multilayer imaging stack with built-in antireflective properties
EP2932496A4 (en) * 2012-12-13 2016-11-02 Corning Inc Glass and methods of making glass articles
US11192340B2 (en) 2014-04-09 2021-12-07 Corning Incorporated Device modified substrate article and methods for making
US11167532B2 (en) 2015-05-19 2021-11-09 Corning Incorporated Articles and methods for bonding sheets with carriers
US11660841B2 (en) 2015-05-19 2023-05-30 Corning Incorporated Articles and methods for bonding sheets with carriers
US11905201B2 (en) 2015-06-26 2024-02-20 Corning Incorporated Methods and articles including a sheet and a carrier
US11097509B2 (en) 2016-08-30 2021-08-24 Corning Incorporated Siloxane plasma polymers for sheet bonding
US11535553B2 (en) 2016-08-31 2022-12-27 Corning Incorporated Articles of controllably bonded sheets and methods for making same
US11331692B2 (en) 2017-12-15 2022-05-17 Corning Incorporated Methods for treating a substrate and method for making articles comprising bonded sheets

Also Published As

Publication number Publication date
KR20050042069A (en) 2005-05-04
TWI324626B (en) 2010-05-11
KR100897575B1 (en) 2009-05-15
KR20050042068A (en) 2005-05-04
CN1615332A (en) 2005-05-11
JP4703745B2 (en) 2011-06-15
TW200302259A (en) 2003-08-01
US20140227538A1 (en) 2014-08-14
US20020095018A1 (en) 2002-07-18
JP2005509913A (en) 2005-04-14
TWI308585B (en) 2009-04-11
EP1478683A4 (en) 2005-06-15
AU2002336709A1 (en) 2003-06-10
TW200306342A (en) 2003-11-16
JP2009282524A (en) 2009-12-03
EP1478683A1 (en) 2004-11-24
US6824879B2 (en) 2004-11-30
JP2005509914A (en) 2005-04-14
US9069133B2 (en) 2015-06-30
CN1615333A (en) 2005-05-11

Similar Documents

Publication Publication Date Title
US6824879B2 (en) Spin-on-glass anti-reflective coatings for photolithography
EP1190277B1 (en) Semiconductor having spin-on-glass anti-reflective coatings for photolithography
US6506497B1 (en) Spin-on-glass anti-reflective coatings for photolithography
US8344088B2 (en) Spin-on anti-reflective coatings for photolithography
US6605362B2 (en) Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
KR100818678B1 (en) Spin-On Glass Anti-Reflective Coatings For Photolithography
KR100917241B1 (en) Spin-on anti-reflective coatings for photolithography

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SI SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020047007485

Country of ref document: KR

Ref document number: 1020047007490

Country of ref document: KR

Ref document number: 1020047007484

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2003545713

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2002773961

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 20028271661

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 2002773961

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020047007485

Country of ref document: KR

Ref document number: 1020047007490

Country of ref document: KR