WO2003055791A2 - Improved etch process for etching microstructures - Google Patents

Improved etch process for etching microstructures Download PDF

Info

Publication number
WO2003055791A2
WO2003055791A2 PCT/US2002/029853 US0229853W WO03055791A2 WO 2003055791 A2 WO2003055791 A2 WO 2003055791A2 US 0229853 W US0229853 W US 0229853W WO 03055791 A2 WO03055791 A2 WO 03055791A2
Authority
WO
WIPO (PCT)
Prior art keywords
silicon
layer
opening
silicon oxide
oxide layer
Prior art date
Application number
PCT/US2002/029853
Other languages
French (fr)
Other versions
WO2003055791A3 (en
Inventor
Jeffrey D. Chinn
Sofiane Soukane
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/265,698 external-priority patent/US7358008B2/en
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2003055791A2 publication Critical patent/WO2003055791A2/en
Publication of WO2003055791A3 publication Critical patent/WO2003055791A3/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00912Treatments or methods for avoiding stiction of flexible or moving parts of MEMS
    • B81C1/0092For avoiding stiction during the manufacturing process of the device, e.g. during wet etching
    • B81C1/00928Eliminating or avoiding remaining moisture after the wet etch release of the movable structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0132Dry etching, i.e. plasma etching, barrel etching, reactive ion etching [RIE], sputter etching or ion milling

Definitions

  • This invention relates to a method of releasing
  • microelectromechanical devices from a substrate using a
  • this invention relates to a method of releasing silicon-containing devices using a two-
  • MEMS Microelectromechanical systems
  • Compliant silicon-containing microstructures are provided.
  • silicon oxide layer is etched to separate at least a portion
  • FIG. 1 A simple MEMS device is shown in Fig. 1.
  • the etchant of choice heretofore for isotropically etching silicon oxide is aqueous hydrogen fluoride (HF) .
  • An alternative etch is anhydrous HF, which does not leave
  • moisture from a microstructure causes as many problems as it
  • the processing chambers as well as the substrate to be etched.
  • the amount of water present can vary depending on the
  • silicon oxides which are hygroscopic, absorb water from the
  • Dense silicon oxides such as thermal,
  • the silicon fluoride compound can decompose to form either
  • a mass flow controller regulated the flow
  • Polysilicon cantilevers having a thickness of 2 microns, a width of 10
  • microns a length of 1000 microns and a gap between the
  • the process of the invention comprises two steps that can
  • the first step uses a gaseous HF-H 2 0 etchant mixture to
  • timing for this first step is chosen to be such that the amount of etching is limited to that length of a
  • a second solvent or drying agent is
  • solvent also must be able to dissolve the residue produced by the etching reaction, thereby preventing future stiction
  • Fig. 1 is a cross sectional view of a simple MEM device.
  • Fig. 2 is a cross sectional view of a simple trilayer
  • Fig. 3 is a cross sectional view of a substrate after
  • Fig. 4 is a cross sectional view of a substrate
  • Fig. 5 is a cross sectional view of a partially released substrate after performing step 2 of the present process .
  • Fig. 6 is a schematic cross sectional view of a chamber
  • Figs. 2-5 illustrate the steps of the present process.
  • MEM device comprising a sacrificial silicon oxide
  • Suitable silicon-containing materials can include polysilicon, crystalline silicon, doped silicon, a silicon wafer, and the like.
  • the two layers 24 and 26 can be the same or different.
  • silicon oxides on a silicon-containing substrate For example, silicon
  • oxide can be deposited by chemical vapor deposition (CVD) ; by
  • PECVD plasma-enhanced chemical vapor deposition
  • LPCVD chemical vapor deposition
  • silicon oxides can be deposited from silane or
  • the silicon oxides can be variously doped
  • Typical useful silicon oxides include
  • PSG phosphosilicate glass
  • BPSG borophosphosilicate glass
  • TEOS tetraethoxysilane
  • dopants such as boron and phosphorus
  • the oxide layer 22 is partially etched away with a water-HF
  • HF of water 1-10% by weight of HF of water can be added, preferably from
  • a drying agent is added to the etchant.
  • drying agents are polar solvents, and include methanol,
  • drying agent is added both to remove or substitute for the
  • the polar drying agent dissolves and removes the residue
  • drying agent acts to dissolve the residue
  • Fig. 5 is a cross sectional view of the substrate at the
  • the oxide layer 22 is thus
  • one or more gases such as oxygen, ammonia, nitrogen
  • precursor gases can be used to clean or ash residues that
  • 650 and 652 supply various etch and reaction gases to the chamber 624 through lines 634 and 635 using valves 626a, 628a,
  • the chamber 624 includes a mount 636 for the substrate to
  • the mount 636 is connected to a temperature
  • control means 639 which can be a resistance heater as shown,
  • temperature control means 639 can also be an array of lamps,
  • the chamber 624 is suitably maintained at about room temperature during the etch. However,
  • the temperature can be elevated somewhat to ensure that
  • the drying agent should remain in the liquid phase to dissolve
  • An exhaust line 640 maintains a suitable pressure in the
  • nickel can be applied to those lines and surfaces that come in
  • the chamber 624 is also capable of depositing a
  • passivation layer over the etched feature to protect it and to
  • processing equipment can be substituted, as will be known to

Abstract

A two-step method of releasing microelectromechanical devices from a substrate is disclosed. The first step comprises isotropically etching a silicon oxide layer sandwiched between two silicon-containing layers with a gaseous hydrogen fluoride-water mixture, the overlying silicon layer to be separated from the underlying silicon layer or substrate for a time sufficient to form an opening but not to release the overlying layer, and the second step comprises adding a drying agent to substitute for moisture remaining in the opening and to dissolve away any residues in the opening that can cause stiction.

Description

IMPROVED ETCH PROCESS FOR ETCHING MICROSTRUCTURES
This application claims the priority of Provisional
Application 60/344,497 filed October 17, 2001.
This invention relates to a method of releasing
microelectromechanical devices from a substrate using a
gaseous etchant. More particularly, this invention relates to a method of releasing silicon-containing devices using a two-
step method.
BACKGROUND OF THE INVENTION
Microelectromechanical systems (hereinafter MEMS) require
controllable, partial separation of device parts from a
substrate. Compliant silicon-containing microstructures are
etched so as to completely release them from an underlying
silicon-containing substrate. For example, an intermediate
silicon oxide layer is etched to separate at least a portion
of a silicon-containing layer from a substrate.
A simple MEMS device is shown in Fig. 1. A device part,
or beam 10, is partially isotropically etched from a substrate
12, leaving a support or connector 14 between them, that allows the part 10 to move, e.g., up and down, with respect to
the substrate 12.
The etchant of choice heretofore for isotropically etching silicon oxide is aqueous hydrogen fluoride (HF) .
A major problem with processing such parts is that as
etching proceeds, adherent residues form as by-products on the
substrate, and capillary, van der Walls and electrostatic
attraction between the etched part 10 and the substrate 12
causes collapse of the part 10. In effect the beam 10 of Fig.
1 under this attraction bends down toward the substrate, and
sticks to it, generally permanently. This phenomenon is known
as stiction. In addition, etch by-products and contaminants in rinse waters also precipitate out of solution during drying
steps, and cause adhesion bonding between the device part and
the substrate that is even stronger than the electrostatic
bonding, and interferes or prevents release of the final
structure from the substrate .
Several ways of minimizing stiction have been proposed,
including wet etching with HF, rinsing the residues away with
a solvent, and drying the parts with a liquid that has no or little surface tension, such as supercritical carbon dioxide.
An alternative etch is anhydrous HF, which does not leave
residues. However, because it is a very strong acid, special
equipment is required to handle it.
Since other steps in the formation of MEMS devices use dry, rather than wet methods, and large multichamber units can
be used to transfer a substrate from one processing step to
another without requiring that the substrate be exposed to the
atmosphere, it is undesirable to mix wet and dry processes
when forming such devices. The use of rinse solvents to remove
moisture from a microstructure causes as many problems as it
solves; the use of supercritical carbon dioxide requires a
complex and difficult setup, and thus adds to the expense of
manufacture .
The possibility then, of using anhydrous HF as the
etchant, appears to be advantageous because it is easy to implement in a multi-chamber processor, it is an efficient,
isotropic etch for silicon oxides, and it does not require
mixing wet and dry processing. However, the etch rate is lower
than when using aqueous HF. Further, anhydrous HF is a very
powerful etchant, and can etch the materials used for making
the processing chambers as well as the substrate to be etched.
Thus damage to the processing chamber occurs which must be
repaired, adding to the cost of manufacture.
Generally, semiconductor processes using semiconductor
materials, particularly silicon and silicon oxide, are used to
make MEMS devices. Because of their varying water content, doped silicon oxides, which have a high moisture content, etch
faster than undoped oxides.
When an anhydrous HF etch is used to etch a silicon
oxide, the amount of water present can vary depending on the
water content of the silicon oxide to be etched away. Doped
silicon oxides, which are hygroscopic, absorb water from the
atmosphere to form internal hydroxyl groups, and thus have a
high water content. Dense silicon oxides, such as thermal,
undoped thermally densified TEOS and high temperatures oxides,
have a lower moisture content because their water absorption
is limited to the surface layer of the oxide. However, as will
be further explained below, since water initiates and promotes
the etch reaction between HF and silicon oxides, the presence
of some water is necessary to maintain an adequate etch rate.
The overall etch reaction is
4HF + Si02 SiF4 + 2H20
Thus water molecules are formed on the surface of the oxide
during the etch step. High water content silicon oxides
initiate the etch reaction rapidly and come to a steady etch
rate rapidly as well. On the other hand, the etch rate of low
water content silicon oxides begins slowly, i.e., there is an
initiation period, and the etch rate thus increases over time. However, overall the etch rate of these oxides remains low.
Other reactions between HF and silicon oxides are also
possible :
2) 6HF + Si02 → H2SiF6 + 2H20
The silicon fluoride compound can decompose to form either
silicon tetrafluoride, as
3) H2SiF6 → 2HF + SiF4,
which does not leave a residue, and wherein the reaction
products are in the gaseous phase; or to form a silicate and
more HF, as
4) 2 H2SiFs + 3 H20 → H3Si03 + 6 HF .
This latter reaction does leave a residue which can cause
stiction. Thus this reaction should be avoided to prevent
deposits on the surface of the structure or feature being
formed.
Further, the initial etching reaction also leaves a
residue, and thus a rinse is necessary at completion of the
anhydrous HF etch to remove the residue; this etch then is
difficult to integrate into a multichamber or cluster tool
that otherwise uses dry processes.
In efforts to solve the stiction problems, it has also
been suggested to use anhydrous HF alone; but since water initiates the etch reaction, particularly for thermal oxides
with a low moisture content, the etch rate for anhydrous HF
alone is low. Etching with anhydrous HF can take up to 10
hours to form complex microstructures .
The addition of methanol to anhydrous HF as a substitute
for water has been suggested. This would be advantageous
because capillary forces are reduced, and no residue is
observed on some oxides when methanol is used. However, again,
the etch rate is low initially until sufficient water is
generated in the reaction, which leads to a low yield;
further, the unknown initiation time hinders determination of
the time required for release.
The addition of acetic acid to anhydrous HF also has been
suggested as a catalyst for the etch reaction with anhydrous
HF, since acetic acid repels water vapor. However, the etch
rate here is low as well .
Thus using anhydrous HF as the etchant results in a dry,
isotropic, non-plasma etch method that does not leave a
residue on the etched surface, that does not cause stiction,
and that can be used in a cluster tool. However, the etch rate
is too low for commercial applications.
Prior art workers have tried a combination of anhydrous HF and methanol, using an etch chamber of aluminum coated with
tetrafluoroethylene. A mass flow controller regulated the flow
rate of anhydrous HF and a mass spectrometer regulated the
flow rate of methanol in a nitrogen carrier gas. Polysilicon cantilevers having a thickness of 2 microns, a width of 10
microns, a length of 1000 microns and a gap between the
polysilicon and the substrate of 2 microns, were fabricated
without stiction. The detachment length is much higher than
when conventional wet release etching is performed. The etch rate however can only be estimated, at about 10-15 microns/hr
at an HF partial pressure of 15 torr and a methanol partial
pressure of 4.5 torr. Thus the etch rate remains low, and
about 100 hours was required to etch a cantilever beam about 1000 microns long.
Thus the problem remains that by using anhydrous HF, the
total time needed for release of a microstructure is long, and
the etch rate cannot be known with certainty because it
depends on the type of silicon oxide employed and the amount of water generated in the reaction.
An improved and more reliable method of releasing a
feature from a MEM device has thus been sought that will
maintain high etch rates. SUMMARY OF THE INVENTION
The process of the invention comprises two steps that can
be cycled.
The first step uses a gaseous HF-H20 etchant mixture to
etch a silicon oxide to form an opening between two silicon-
containing layers. This step is continued for that amount of
time sufficient to form structures that will not collapse
during a subsequent drying step, but one that does not
completely release the structure either. This first step does
produce a residue however, as an excess of water is used to
increase the etch rate of the silicon oxide to an acceptable
level . Thus the timing for this first step is chosen to be such that the amount of etching is limited to that length of a
device that cannot contact the underlying substrate during a
subsequent step. Thus stiction is avoided by limiting the
amount of etching that occurs during this first step.
In the second step, a second solvent or drying agent is
added, one that will repel or substitute for the water present in the opening during the first step. Thus the second solvent
substitutes and displaces the water remaining in the opening
under conditions that vaporize the moisture. The second
solvent also must be able to dissolve the residue produced by the etching reaction, thereby preventing future stiction
problems. These two steps can be repeated or cycled until the
desired features are formed and released.
BRIEF DESCRIPTION OF THE DRAWING
Fig. 1 is a cross sectional view of a simple MEM device.
Fig. 2 is a cross sectional view of a simple trilayer
substrate to be processed in accordance with the invention.
Fig. 3 is a cross sectional view of a substrate after
performing step 1 of the present process.
Fig. 4 is a cross sectional view of a substrate while
performing step 2 of the present process.
Fig. 5 is a cross sectional view of a partially released substrate after performing step 2 of the present process .
Fig. 6 is a schematic cross sectional view of a chamber
suitable for carrying out the inventive steps.
DETAILED DESCRIPTION OF THE INVENTION
Figs. 2-5 illustrate the steps of the present process.
Referring to Fig. 2, a simple substrate 20 for making a
MEM device is shown comprising a sacrificial silicon oxide
layer 22 between two silicon-containing layers 24 and 26.
Suitable silicon-containing materials can include polysilicon, crystalline silicon, doped silicon, a silicon wafer, and the like. The two layers 24 and 26 can be the same or different.
Various methods are well known to deposit various silicon
oxides on a silicon-containing substrate. For example, silicon
oxide can be deposited by chemical vapor deposition (CVD) ; by
plasma-enhanced chemical vapor deposition (PECVD) ; by high
temperature chemical vapor deposition (HTO) ; by low pressure
chemical vapor deposition (LPCVD) and the like. Suitable
silicon oxides can be deposited from silane or
tetraethoxysilane. The silicon oxides can be variously doped
or can be undoped. Typical useful silicon oxides include
phosphosilicate glass (PSG) ; borophosphosilicate glass (BPSG) ;
silicon oxide deposited from tetraethoxysilane (TEOS) ,
including dopants such as boron and phosphorus; and can be
hygroscopic or dense. Differently doped or made silicon oxides
vary as to the amount of moisture absorbed or adsorbed on
their surface .
In a first step of the present process, as shown in Fig.
3, the oxide layer 22 is partially etched away with a water-HF
mixture for a first timed interval to form an opening 21, in
which some of the silicon oxide layer 22 is removed, leaving
some aqueous solution 27 and a residue 28 in the opening 21.
This timed interval only partially releases the MEM device. The addition of excess water for the reaction increases
the etch rate of the initial step, so that the amount of
moisture in the oxide to be etched becomes immaterial . About
1-10% by weight of HF of water can be added, preferably from
1-5% by weight of the HF .
In the second step of the present process, as shown in
Fig. 4, a drying agent is added to the etchant. Suitable
drying agents are polar solvents, and include methanol,
ethanol, isopropyl alcohol, acetic acid and the like. The
drying agent is added both to remove or substitute for the
water on the surface of the etched oxide, and to dissolve and
rinse away the residue 28 produced in the first step that
causes stiction.
The polar drying agent dissolves and removes the residue
28, and, as shown by the arrows, replaces the water 27 present
initially. Thus the drying agent acts to dissolve the residue,
to at least partially replace the water present, and thus to
dry the opening 21.
Fig. 5 is a cross sectional view of the substrate at the
end of step 2 of the present process. A partial opening 21
having a length "d" has been made in the silicon oxide layer
22, which opening is now dry and residue-free . By cycling these two steps, the oxide etch rate remains
high due to the water present initially, and the residue which
causes stiction is continually removed. The growing opening is
also repeatedly dried with the polar solvent to prevent
moisture buildup in the opening. The oxide layer 22 is thus
removed using a non-plasma process wherein water is
continually removed so that the present process can be
integrated into a cluster tool used to form the structures,
and to release them from the substrate.
The above two steps can be repeated or cycled as needed
to etch away sufficient oxide for full release of the desired
device part .
The above two-step process can be carried out in an
apparatus as described below in Fig. 6.
Referring to Fig. 6, a remote plasma source chamber 610
is commercially available. A plurality of gas sources are
connected to suitable lines 612, 614, 616, 618 and 620 to feed
one or more gases such as oxygen, ammonia, nitrogen
trifluoride, argon and nitrogen, as examples, through valves
612a, 614a, 616a, 618a, and 620a respectively. Such plasma
precursor gases can be used to clean or ash residues that
build up in the main chamber 624. The plasma is then fed through a line 622 into an etch chamber 624. A valve 626
adjusts the pressure in the remote plasma chamber 610 and
passes plasma to the chamber 624.
A plurality of gas lines 626, 628, 630, 632, 646, 648,
650 and 652, supply various etch and reaction gases to the chamber 624 through lines 634 and 635 using valves 626a, 628a,
630a, 632a, 646a, 648a, 650a and 652a respectively.
The chamber 624 includes a mount 636 for the substrate to
be etched 638. The mount 636 is connected to a temperature
control means 639, which can be a resistance heater as shown,
that maintains the temperature of the substrate generally
between about 10 and 40°C during the etch reaction. The
temperature control means 639 can also be an array of lamps,
or a water cooled jacket. The chamber 624 is suitably maintained at about room temperature during the etch. However,
the temperature can be elevated somewhat to ensure that
moisture is removed from the substrate 638, but without
bringing the drying agent to the boiling point. Temperatures
that will condense the drying agent are to be avoided as well.
The drying agent should remain in the liquid phase to dissolve
the residues that cause stiction, and the HF-water mixture
should be in the gaseous phase during the reaction. An exhaust line 640 maintains a suitable pressure in the
chamber 624 by means of a valve 642.
Separate gas lines are required in some instances to
avoid reactions in the gas lines, rather than in the chamber
624, as for example, a reaction between xenon difluoride and
water.
In order to reduce damage to the chamber interior walls
and fixtures caused by the use of anhydrous HF, a layer of
nickel can be applied to those lines and surfaces that come in
contact with HF .
The chamber 624 is also capable of depositing a
passivation layer over the etched feature to protect it and to
prevent stiction during or after etching.
Additional lines and valves can be provided as needed for
other process steps, such as cleaning the chambers or
depositing a protective film over the released part in known
manner .
The invention will be further described in the following
example, but the invention is not meant to be limited by the
details set forth therein.
Example
A layer of silicon dioxide deposited over a silicon layer and in turn having a polysilicon layer to be released
deposited thereon, was etched using a mixture of 1.0 standard
liters per minute (slm) of 5% by weight aqueous HF at a
pressure of 100 millitorr and a temperature of about 40°C to
form a small opening in the silicon oxide layer. About 33 ml
of liquid methanol were then added to the opening to dissolve
any residue and rinse the opening.
The resultant opening was free of residues and methanol
had replaced much of the water generated during etching.
These etch and rinse steps were repeated to form the
desired device.
Although the invention has been described in terms of
particular materials, other materials used to make MEMS
devices can be substituted, and other reaction conditions and
processing equipment can be substituted, as will be known to
those skilled in the art. Thus the invention is meant to be
limited only by the scope of the claims appended hereto.

Claims

We Claim :
1. A method of releasing a microelectromechanical structure
comprising a silicon oxide layer sandwiched between two
silicon-containing layers, a first, substrate layer and a
second, overlying layer to be released from the silicon oxide
layer comprising, in sequence,
a) isotropically etching a partial opening in the silicon
oxide layer using a gaseous aqueous hydrogen fluoride etchant;
and
b) adding a polar drying agent to replace the moisture
remaining in the partial opening, and dissolve away residues
remaining therein.
2. A method according to claim 1 wherein steps a) and b) are
repeated.
3. A method according to claim 1 wherein steps a) and b) are
cycled until complete release of the structure is achieved.
4. A method according to claim 1 wherein the initial isotropic
etch is continued for a time period that only partially
removes the silicon oxide layer such that the second layer
cannot touch the substrate layer and adhere to it.
5. A method according to claim 1 wherein from about 1 to 10%
by weight of HF of water is added.
6. A method according to claim 1 wherein the drying agent is
methanol .
7. A method according to claim 1 wherein the drying agent is
acetic acid.
8. A method of forming a microelectromechanical feature
comprising
a) isotropically etching a silicon oxide layer between
two silicon-containing layers with gaseous aqueous hydrogen
fluoride for a time period that provides an opening in the
silicon oxide layer, but that does not allow the overlying
silicon layer to collapse onto and adhere to the underlying
silicon-containing layer; and
b) adding a quantity of a drying agent so as to
substitute the drying agent for water remaining in the opening
and to dissolve residues remaining in the opening; and
c) repeating steps a) and b) until complete release of
the feature to be made is achieved.
PCT/US2002/029853 2001-10-17 2002-10-11 Improved etch process for etching microstructures WO2003055791A2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US34449701P 2001-10-17 2001-10-17
US60/344,497 2001-10-17
US10/265,698 US7358008B2 (en) 2001-10-12 2002-10-08 Electrochemical device including electrolyte
US10/265,698 2002-10-08

Publications (2)

Publication Number Publication Date
WO2003055791A2 true WO2003055791A2 (en) 2003-07-10
WO2003055791A3 WO2003055791A3 (en) 2004-03-18

Family

ID=26951373

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/029853 WO2003055791A2 (en) 2001-10-17 2002-10-11 Improved etch process for etching microstructures

Country Status (1)

Country Link
WO (1) WO2003055791A2 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1700822A2 (en) 2004-12-27 2006-09-13 DALSA Semiconductor Inc. Anhydrous HF release process for MEMS devices
DE102007046498A1 (en) 2007-09-18 2009-04-02 Austriamicrosystems Ag Microelectromechanical component and manufacturing method
US7923151B2 (en) 2003-09-18 2011-04-12 Commonwealth Scientific And Industrial Research Organisation High performance energy storage devices
GB2487716A (en) * 2011-01-24 2012-08-08 Memsstar Ltd Vapour Etch of Silicon Dioxide with Improved Selectivity in MEMS structures
CN103547176A (en) * 2011-05-03 2014-01-29 阿瑟塔纳红酒醋公司 Drink
US9203116B2 (en) 2006-12-12 2015-12-01 Commonwealth Scientific And Industrial Research Organisation Energy storage device
US9401508B2 (en) 2009-08-27 2016-07-26 Commonwealth Scientific And Industrial Research Organisation Electrical storage device and electrode thereof
US9450232B2 (en) 2009-04-23 2016-09-20 Commonwealth Scientific And Industrial Research Organisation Process for producing negative plate for lead storage battery, and lead storage battery
US9508493B2 (en) 2009-08-27 2016-11-29 The Furukawa Battery Co., Ltd. Hybrid negative plate for lead-acid storage battery and lead-acid storage battery
US9524831B2 (en) 2009-08-27 2016-12-20 The Furukawa Battery Co., Ltd. Method for producing hybrid negative plate for lead-acid storage battery and lead-acid storage battery
US9666860B2 (en) 2007-03-20 2017-05-30 Commonwealth Scientific And Industrial Research Organisation Optimised energy storage device having capacitor material on lead based negative electrode
US9812703B2 (en) 2010-12-21 2017-11-07 Commonwealth Scientific And Industrial Research Organisation Electrode and electrical storage device for lead-acid system

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2736934A1 (en) * 1995-07-21 1997-01-24 Commissariat Energie Atomique METHOD OF MANUFACTURING A STRUCTURE WITH A USEFUL LAYER MAINTAINED REMOTE FROM A SUBSTRATE BY STOPS, AND OF DESOLIDARIZATION OF SUCH LAYER

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2736934A1 (en) * 1995-07-21 1997-01-24 Commissariat Energie Atomique METHOD OF MANUFACTURING A STRUCTURE WITH A USEFUL LAYER MAINTAINED REMOTE FROM A SUBSTRATE BY STOPS, AND OF DESOLIDARIZATION OF SUCH LAYER

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
ALLEY R L ET AL: "The effect of release-etch processing on surface microstructure stiction" SOLID-STATE SENSOR AND ACTUATOR WORKSHOP, 1992. 5TH TECHNICAL DIGEST., IEEE HILTON HEAD ISLAND, SC, USA 22-25 JUNE 1992, NEW YORK, NY, USA,IEEE, US, 22 June 1992 (1992-06-22), pages 202-207, XP010056353 ISBN: 0-7803-0456-X *
ANGUITA J ET AL: "HF/H2O vapor etching of SiO2 sacrificial layer for large-area surface-micromachined membranes" SENSORS AND ACTUATORS A, ELSEVIER SEQUOIA S.A., LAUSANNE, CH, vol. 64, no. 3, 31 January 1998 (1998-01-31), pages 247-251, XP004116352 ISSN: 0924-4247 *
WON ICK JANG ET AL: "Silicon surface micromachining by anhydrous HF gas-phase etching with methanol" PROCEEDINGS OF THE SPIE, SPIE, BELLINGHAM, VA, US, vol. 3511, 21 September 1998 (1998-09-21), pages 143-150, XP009015809 ISSN: 0277-786X *

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7923151B2 (en) 2003-09-18 2011-04-12 Commonwealth Scientific And Industrial Research Organisation High performance energy storage devices
US8232006B2 (en) 2003-09-18 2012-07-31 Commonwealth Scientific And Industrial Research Organisation High performance energy storage devices
EP1700822A3 (en) * 2004-12-27 2007-11-21 DALSA Semiconductor Inc. Anhydrous HF release process for MEMS devices
US7365016B2 (en) 2004-12-27 2008-04-29 Dalsa Semiconductor Inc. Anhydrous HF release of process for MEMS devices
EP1700822A2 (en) 2004-12-27 2006-09-13 DALSA Semiconductor Inc. Anhydrous HF release process for MEMS devices
US9203116B2 (en) 2006-12-12 2015-12-01 Commonwealth Scientific And Industrial Research Organisation Energy storage device
US9666860B2 (en) 2007-03-20 2017-05-30 Commonwealth Scientific And Industrial Research Organisation Optimised energy storage device having capacitor material on lead based negative electrode
DE102007046498A1 (en) 2007-09-18 2009-04-02 Austriamicrosystems Ag Microelectromechanical component and manufacturing method
DE102007046498B4 (en) * 2007-09-18 2011-08-25 Austriamicrosystems Ag Method for producing a microelectromechanical component
US9450232B2 (en) 2009-04-23 2016-09-20 Commonwealth Scientific And Industrial Research Organisation Process for producing negative plate for lead storage battery, and lead storage battery
US9401508B2 (en) 2009-08-27 2016-07-26 Commonwealth Scientific And Industrial Research Organisation Electrical storage device and electrode thereof
US9508493B2 (en) 2009-08-27 2016-11-29 The Furukawa Battery Co., Ltd. Hybrid negative plate for lead-acid storage battery and lead-acid storage battery
US9524831B2 (en) 2009-08-27 2016-12-20 The Furukawa Battery Co., Ltd. Method for producing hybrid negative plate for lead-acid storage battery and lead-acid storage battery
US9812703B2 (en) 2010-12-21 2017-11-07 Commonwealth Scientific And Industrial Research Organisation Electrode and electrical storage device for lead-acid system
GB2487716B (en) * 2011-01-24 2015-06-03 Memsstar Ltd Vapour Etch of Silicon Dioxide with Improved Selectivity
GB2487716A (en) * 2011-01-24 2012-08-08 Memsstar Ltd Vapour Etch of Silicon Dioxide with Improved Selectivity in MEMS structures
US10354884B2 (en) 2011-01-24 2019-07-16 Memsstar Limited Vapour etch of silicon dioxide with improved selectivity
CN103547176A (en) * 2011-05-03 2014-01-29 阿瑟塔纳红酒醋公司 Drink

Also Published As

Publication number Publication date
WO2003055791A3 (en) 2004-03-18

Similar Documents

Publication Publication Date Title
US6936183B2 (en) Etch process for etching microstructures
KR100448291B1 (en) Method and system for in situ cleaning of semiconductor manufacturing equipment using combination chemistries
US6806205B2 (en) Stiction-free microstructure releasing method for fabricating MEMS device
WO2003055791A2 (en) Improved etch process for etching microstructures
KR20070054100A (en) Selective etching of titanium nitride with xenon difluoride
WO2008002443A1 (en) Repairing and restoring strength of etch-damaged low-k dielectric materials
KR100565032B1 (en) Production device and production method for silicon-based structure
US11814726B2 (en) Dry etching method or dry cleaning method
TWI475611B (en) Selective etching and formation of xenon difluoride
US7229694B2 (en) Micromechanical component and method for producing an anti-adhesive layer on a micromechanical component
Du Bois et al. HF etching of Si-oxides and Si-nitrides for surface micromachining
WO2010087930A1 (en) Molecular fluorine etching of silicon thin films for photovoltaic and other lower-temperature chemical vapor deposition processes
JP2002285338A (en) Deposition method for thick dielectric film
US20220388837A1 (en) Method of manufacturing a microstructure
KR20240009492A (en) Manufacturing method of microstructure
Sim et al. Studying the etch rates and selectivity of SiO2 and Al in BHF solutions
Bhatt et al. Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining
KR20070071026A (en) Method for preventing stiction in microsystem
Shimaoka et al. A new full-dry processing method for MEMS
Jang et al. Silicon surface micromachining by anhydrous HF gas-phase etching with methanol
DU BOIS et al. Kapeldreej75, B-3001 Leuven, Belgium
JP2002009038A (en) Treatment method of semiconductor substrate
WO2015078749A1 (en) Etching process
JP2003197548A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): CN JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase in:

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP