WO2003072672A1 - Method and composition for polishing a substrate - Google Patents

Method and composition for polishing a substrate Download PDF

Info

Publication number
WO2003072672A1
WO2003072672A1 PCT/US2003/006058 US0306058W WO03072672A1 WO 2003072672 A1 WO2003072672 A1 WO 2003072672A1 US 0306058 W US0306058 W US 0306058W WO 03072672 A1 WO03072672 A1 WO 03072672A1
Authority
WO
WIPO (PCT)
Prior art keywords
acid
volume
weight
composition
combinations
Prior art date
Application number
PCT/US2003/006058
Other languages
French (fr)
Inventor
Feng Q. Liu
Stan D. Tsai
Yongqi Hu
Siew S. Neo
Yan Wang
Alain Duboust
Liang-Yuh Chen
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to EP03711289A priority Critical patent/EP1478708A1/en
Priority to KR10-2004-7013347A priority patent/KR20040093725A/en
Priority to JP2003571365A priority patent/JP2005518670A/en
Publication of WO2003072672A1 publication Critical patent/WO2003072672A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23HWORKING OF METAL BY THE ACTION OF A HIGH CONCENTRATION OF ELECTRIC CURRENT ON A WORKPIECE USING AN ELECTRODE WHICH TAKES THE PLACE OF A TOOL; SUCH WORKING COMBINED WITH OTHER FORMS OF WORKING OF METAL
    • B23H5/00Combined machining
    • B23H5/06Electrochemical machining combined with mechanical working, e.g. grinding or honing
    • B23H5/08Electrolytic grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • H01L21/32125Planarisation by chemical mechanical polishing [CMP] by simultaneously passing an electrical current, i.e. electrochemical mechanical polishing, e.g. ECMP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only

Definitions

  • Embodiments of the present invention relate to compositions and methods for removing a conductive material from a substrate.
  • VLSI very large scale integration
  • ULSI ultra large-scale integration
  • Reliably producing sub-half micron and smaller features is one of the key technologies for the next generation of very large scale integration (VLSI) and ultra large-scale integration (ULSI) of semiconductor devices.
  • VLSI very large scale integration
  • ULSI ultra large-scale integration
  • Reliable formation of interconnects is important to VLSI and ULSI success and to the continued effort to increase circuit density and quality of individual substrates and die.
  • Multilevel interconnects are formed using sequential material deposition and material removal techniques on a substrate surface to form features therein. As layers of materials are sequentially deposited and removed, the uppermost surface of the substrate may become non-planar across its surface and require planarization prior to further processing. Planarization or "polishing" is a process where material is removed from the surface of the substrate to form a generally even, planar surface. Planarization is useful in removing excess deposited material and removing undesired surface topography and surface defects, such as rough surfaces, agglomerated materials, crystal lattice damage, scratches, and contaminated layers or materials to provide an even surface for subsequent lithography and processing.
  • Electrochemical mechanical polishing is one method of planarizing a surface of a substrate.
  • ECMP removes conductive materials, such as copper, from a substrate surface by electrochemical "anodic" dissolution while polishing the substrate with a reduced mechanical abrasion compared to conventional chemical mechanical planarization (CMP) processes.
  • a typical ECMP system includes a substrate support and two electrodes disposed within a polishing composition containment basin. The substrate is in electrical contact with one of the electrodes, and in effect during processing, the substrate becomes an electrode for material removal.
  • metal atoms on a surface of a substrate are ionized by an electrical current from a source of potential, such as a voltage source connected to the two electrodes. The metal ions dissolve into the surrounding polishing composition.
  • ECMP processes typically have been observed to have reduced removal rates compared to conventional chemical mechanical polishing processes, and modifying processing conditions, such as increasing pressure between a substrate and polishing pad and increasing processing time, to improve removal rate have not proven to be satisfactory in increasing removal rates and in some instances, increased dishing and increased damage to the substrate surface.
  • increased polishing pressure on substrate containing low dielectric constant (low k dielectric) materials have been observed to form defects in the deposited material, such as delamination or scratches from increased shear forces derived from increased polishing pressures.
  • compositions and methods for removing conductive materials by an electrochemical polishing technique provide compositions and methods for removing conductive materials by an electrochemical polishing technique.
  • a composition for removing at least a conductive material from a substrate surface including an acid based electrolyte system, one or more chelating agents, one or more corrosion inhibitors, one or more inorganic or organic acid salts, one or more pH adjusting agents to provide a pH between about 3 and about 10, a polishing enhancing material selected from the group of abrasive particles, one or more oxidizers, and combinations thereof, anda solvent.
  • the composition is used in a method provided for processing a substrate including disposing a substrate having a conductive material layer formed thereon in a process apparatus comprising a first electrode and a second electrode, wherein the substrate in electrical contact with the second electrode, providing the composition between the first electrode and the substrate, applying a bias between the first electrode and the second electrode, and removing conductive material from the conductive material layer.
  • Figure 1 is a cross-sectional view of one embodiment of a polishing process station.
  • aspects of the invention provide compositions and methods for removing at least a conductive material from a substrate surface.
  • the invention will be described below in reference to a planarizing process for the removal of conductive materials from a substrate surface by an electrochemical mechanical polishing (ECMP) technique.
  • ECMP electrochemical mechanical polishing
  • Chemical polishing should be broadly construed and includes, but is not limited to, planarizing a substrate surface using chemical activity.
  • Electropolishing should be broadly construed and includes, but is not limited to, planarizing a substrate by the application of electrochemical activity.
  • Electrochemical mechanical polishing (ECMP) should be broadly construed and includes, but is not limited to, planarizing a substrate by the application of electrochemical activity, mechanical activity, or a combination of both electrochemical and mechanical activity to remove material from a substrate surface.
  • Anodic dissolution should be broadly construed and includes, but is not limited to, the application of an anodic bias to a substrate directly or indirectly which results in the removal of conductive material from a substrate surface and into a surrounding polishing composition.
  • Polishing composition should be broadly construed and includes, but is not limited to, a composition that provides ionic conductivity, and thus, electrical conductivity, in a liquid medium. Percentages of electrolyte components in polishing compositions by volume or weight refer to percentages based on volume for liquid composition components and percentages based on weight for solid composition components.
  • FIG. 1 depicts a cross-sectional view of one embodiment of a "face-down" process cell 200.
  • the process cell 200 generally includes a basin 204 and a polishing head 202.
  • a substrate 208 is retained in the polishing head 202 and lowered into the basin 204 during processing in a face-down (e.g., backside up) orientation.
  • An electrolyte, such as described herein is flowed into the basin 204 and in contact with the substrate's surface and a pad assembly 222, while the polishing head 202 places the substrate 208 in contact with the pad assembly 222.
  • the basin 204 includes the pad assembly 222, a bottom 244 and sidewalls 246 that define a container that houses the pad assembly 222.
  • the sidewalls 246 include a port 218 formed therethrough to allow removal of polishing composition from the basin 204.
  • the port 218 is coupled to a valve 220 to selectively drain or retain the polishing composition in the basin 204.
  • the substrate 208 and the pad assembly 222 disposed in the basin 204 are moved relative to each other to provide a polishing motion (or motion that enhances plating uniformity).
  • the polishing motion generally comprises at least one motion defined by an orbital, rotary, linear or curvilinear motion, or combinations thereof, among other motions.
  • the polishing motion may be achieved by moving either or both of the polishing head 202 and/or the basin 204.
  • the polishing head 202 may be stationary or driven to provide at least a portion of the relative motion between the basin 204 and the substrate 208 held by the polishing head 202.
  • the polishing head 202 is coupled to a drive system 210.
  • the drive system 210 moves the polishing head 202 with at least a rotary, orbital, sweep motion or combinations thereof.
  • the polishing head 202 generally retains the substrate 208 during processing.
  • the polishing head 202 includes a housing 214 enclosing a bladder 216.
  • the bladder 216 may be deflated when contacting the substrate to create a vacuum therebetween, thus securing the substrate to the polishing head 202.
  • the bladder 216 may additionally be inflated to press the substrate in contact with the pad assembly 222 retained in the basin 204.
  • a retaining ring 238 is coupled to the housing 214 and circumscribes the substrate 208 to prevent the substrate from slipping out from the polishing head 202 while processing.
  • polishing head that may be adapted to benefit from the invention is a TITAN HEADTM carrier head available from Applied Materials, Inc., located in Santa Clara, California.
  • TITAN HEADTM carrier head available from Applied Materials, Inc., located in Santa Clara, California.
  • Another example of a polishing head that may be adapted to benefit from the invention is described in United States Patent No. 6,159,079, issued December 12, 2001 , which is hereby incorporated herein by reference in its entirety.
  • the basin 204 is generally fabricated from a plastic such as fluoropolymers, TEFLON ® polymers, perfluoroalkoxy resin (PFA), polyethylene-based plastics (PE), sulfonated polyphenylether sulfones (PES), or other materials that are compatible or non-reactive with polishing compositions that may be used in electroplating or electropolishing.
  • the basin 204 is rotationally supported above a base 206 by bearings 234.
  • a drive system 236 is coupled to the basin 204 and rotates the basin 204 during processing.
  • a catch basin 228 is disposed on the base 206 and circumscribes the basin 204 to collect processing fluids, such as a polishing composition, that flow out of port 218 disposed through the basin 204 during and/or after processing.
  • a polishing composition delivery system 232 is generally disposed adjacent the basin 204.
  • the polishing composition delivery system 232 includes a nozzle or outlet 230 coupled to a polishing composition source 242.
  • the outlet 230 flows polishing composition or other processing fluid from the polishing composition source 242 to into the basin 204.
  • the polishing composition generally provides an electrical path for biasing the substrate 208 and driving an electrochemical process to remove and/or deposit material on the substrate 208.
  • the polishing composition delivery system may provide polishing composition through the bottom 244 of the process cell and flow polishing composition through the pad assembly to contact the polishing pad and substrate.
  • a recycling system might be used to recover and reuse the polishing composition.
  • a recirculation system may be used to extend the useful manufacturing life of the polishing composition for the same or additional processing steps.
  • a conditioning device 250 may be provided proximate the basin 204 to periodically condition or regenerate the pad assembly 222.
  • the conditioning device 250 includes an arm 252 coupled to a stanchion 254 that is adapted to position and sweep a conditioning element 258 across pad assembly 222.
  • the conditioning element 258 is coupled to the arm 252 by a shaft 256 to allow clearance between the arm 252 and sidewalls 246 of the basin 204 while the conditioning element 258 is lowered to contact the pad assembly 222.
  • the conditioning element 258 is typically a diamond or silicon carbide disk, which may be patterned to enhance working the surface of the pad assembly 222 into a predetermined surface condition/state that enhances process uniformity.
  • a power source 224 is coupled to the pad assembly 222 by electrical leads 223A, 223B.
  • the power source 224 applies an electrical bias to the pad assembly 222 to drive an electrochemical process as described further below.
  • the 223A, 223B are routed through a slip ring 226 disposed below the basin 204.
  • the slip ring 226 facilitates continuous electrical connection between the power source 224 and the pad assembly 222 as the basin 204 rotates.
  • the leads 223A, 223B may be wires, tapes or other conductors compatible with process fluids or having a covering or coating that protects the leads from the process fluids.
  • Examples of materials that may be utilized in the leads 223A, 223B include insulated copper, graphite, titanium, platinum, gold, and HASTELOY® among other materials.
  • Coatings disposed around the leads may include polymers such as fluorocarbons, PVC, polyamide, and the like.
  • both the anode and cathode may be replaced simultaneously by simply removing a used pad assembly 222 from the basin 204 and inserting a new pad assembly 222 with fresh electrical and supporting components into the basin 204.
  • the pad assembly 222 depicted includes a conductive pad 203 coupled to a backing 207.
  • the backing 207 may be coupled to an electrode 209.
  • a dielectric insert (not shown) may be disposed between the conductive pad 203 and the backing 207 or electrode 209 to regulate electrolyte flow through all or a portion of the conductive pad 203.
  • the conductive pad 203 is used to apply a uniform bias to the substrate surface without the use of a conventional bias application apparatus, such as an edge contact.
  • the electrode 209 is generally biased as a cathode through a connection to the power supply 224 via a lead, for example lead 223A, and the conductive pad 203, and substrate, is bias as an anode for anodic dissolution in an ECMP process.
  • the conductive pad 203, the backing 207, optionally, the dielectric insert, and the electrode 209 are secured together to form an unitary body that facilitates removal and replacement of the pad assembly 222 from the basin 204.
  • the conductive pad 203, the backing 207, optionally the dielectric insert, and the electrode 209 are adhered or bonded to one another.
  • conductive pad 203 may be coupled by other methods or combination thereof, including sewing, binding, heat staking, riveting, screwing and clamping among others. Examples of the conductive pad 203 are more fully disclosed in United States Patent Application No. 10/033,732, filed on December 27, 2001 , which is incorporated by reference herein to the extent not inconsistent with the claimed aspects and disclosure herein.
  • the process cell 200 may be disposed on a polishing platform, such as the Reflexion ® CMP System, the MirraTMCMP system, and the MirraTM Mesa CMP System, which are commercially available from Applied Materials, Inc., of Santa Clara, California. Additionally, any system enabling electrochemical mechanical polishing using the method or composition described herein can be used to advantage.
  • a polishing platform such as the Reflexion ® CMP System, the MirraTMCMP system, and the MirraTM Mesa CMP System, which are commercially available from Applied Materials, Inc., of Santa Clara, California. Additionally, any system enabling electrochemical mechanical polishing using the method or composition described herein can be used to advantage.
  • polishing compositions that can planarize metals, such as copper, are provided.
  • the polishing composition comprises an acid based electrolyte system, one or more chelating agents, one or more corrosion inhibitors, one or more inorganic or organic acid salts, one or more pH adjusting agents, a pH between about 2 and about 10, a polishing enhancing material selected from the group of abrasive particles, one or more oxidizers, and combinations thereof and a solvent. It is believed that the polishing compositions described herein contribute to a improved polishing and effective removal rate of conductive materials, such as copper, during ECMP with effective planarization of substrates and smooth surfaces after polishing.
  • polishing compositions are particularly useful for removing copper, it is believed that the polishing compositions also may be used for the removal of other conductive materials, such as aluminum, platinum, tungsten, titanium, titanium nitride, tantalum, tantalum nitride, cobalt, gold, silver, and combinations thereof.
  • Other material used in forming conductive material features on a substrate surface include barrier layer materials, for example, tantalum, tantalum nitride, titanium, and titanium nitride, may be removed by the processes described herein.
  • Mechanical abrasion such as from contact with the polishing pad and/or abrasives, may be used to improve planarity and removal of conductive materials and also remove dielectric materials including carbon doped silicon oxide and doped or undoped silicon carbide.
  • the polishing composition includes an acid based electrolyte system for providing electrical conductivity.
  • Suitable acid based electrolyte systems include, for example, sulfuric acid based electrolytes, phosphoric acid based electrolytes, perchloric acid based electrolytes, acetic acid based electrolytes, and combinations thereof.
  • Suitable acid based electrolyte systems include an acid electrolyte, such as phosphoric acid and sulfuric acid, as well as acid electrolyte derivatives, including ammonium and potassium salts thereof.
  • the acid based electrolyte system may also buffer the composition to maintain a desired pH level for processing a substrate.
  • Suitable acid based electrolytes include compounds having a phosphate group (PO 3 " ), such as, phosphoric acid, potassium phosphate (K 3 PO ), copper phosphate, ammonium dihydrogen phosphate (NH 4 H 2 P0 ), diammonium hydrogen phosphate ((NH 4 )HPO 4 ), and compounds having a sulfate group (SO 4 3 *), such as sulfuric acid, ammonium hydrogen sulfate ((NH 4 ) 2 HSO 4 ), copper sulfate, or combinations thereof.
  • PO 3 " phosphate group
  • phosphoric acid potassium phosphate
  • K 3 PO potassium phosphate
  • Cu 4 H 2 P0 ammonium dihydrogen phosphate
  • SO 4 3 * sulfate group
  • SO 4 3 * sulfuric acid, ammonium hydrogen sulfate ((NH 4 ) 2 HSO 4 ), copper sulfate, or combinations thereof.
  • the invention also contemplates that conventional electrolytes known and unknown may
  • the acid based electrolyte system may be provided in an amount between about 1 and about 30 percent by weight (wt.%) or volume (vol%) of the composition to provide suitable conductivity for practicing the processes described herein.
  • dihydrogen phosphate and/or diammonium hydrogen phosphate may be present in the composition in amounts between about 15 and about 25 percent by weight or volume of the solution.
  • Phosphoric acid may be present in concentrations up to 30 wt.%, for example, between about 2 wt.% and about 6 wt.%.
  • the chelating agents can bind to a conductive material, such as copper ions, increase the removal rate of metal materials, and may also be used to buffer or adjust the polishing composition to maintain a desired pH level for processing a substrate.
  • the one or more chelating agents can include compounds having one or more functional groups selected from the group of amine groups, amide groups, carboxylate groups, dicarboxylate groups, tri-carboxylate groups, hydroxyl groups, a mixture of hydroxyl and carboxylate groups, and combinations thereof.
  • the one or more chelating agents may also include salts of the chelating agents described herein.
  • the metal materials for removal, such as copper, may be in any oxidation state, such as 0, 1 , or 2, before, during or after ligating with a functional group.
  • the functional groups can bind the metal materials created on the substrate surface during processing and remove the metal materials from the substrate surface.
  • the polishing composition may include one or more chelating agents at a concentration between about 0.1% and about 15% by volume or weight, for example, between about 0.1% and about 4% by volume or weight. For example, about 2% by volume or weight of ethylenediamine may be used as a chelating agent.
  • Suitable chelating agents include compounds having one ore more amine and amide functional groups, such as ethylenediamine, diethylenetriamine, diethylenetriamine derivatives, hexadiamine, amino acids, ethylenediaminetetraacetic acid, methylformamide, or combinations thereof.
  • suitable chelating agents having one or more carboxylate groups include citric acid, tartaric acid, succinic acid, oxalic acid, and combinations thereof.
  • Other suitable acids having one or more carboxylate groups include acetic acid, adipic acid, butyric acid, capric acid, caproic acid, caprylic acid, glutaric acid, glycolic acid, formaic acid, fumaric acid, lactic acid, lauric acid, malic acid, maleic acid, malonic acid, myristic acid, plamitic acid, phthalic acid, propionic acid, pyruvic acid, stearic acid, valeric acid, and combinations thereof.
  • the inorganic or organic acid salts may perform as a chelating agent.
  • the polishing composition may include one or more inorganic or organic salts at a concentration between about 0.1% and about 15% by volume or weight of the composition, for example, between about 0.1% and about 6% by volume or weight. For example, about 2% by volume or weight of ammonium citrate may be used in the polishing composition.
  • suitable inorganic or organic acid salts include ammonium and potassium salts or organic acids, such as ammonium oxalate, ammonium citrate, ammonium succinate, monobasic potassium citrate, dibasic potassium citrate, tribasic potassium citrate, potassium tartarate, ammonium tartarate, potassium succinate, potassium oxalate, and combinations thereof. Additionally, ammonium and potassium salts of the carboxylate acids described herein may also be used as the organic acid salts in the compositions described herein.
  • the corrosion inhibitors can prevent the oxidation or corrosion of metal surfaces by forming a layer of material to reduce or minimize chemical interaction between material deposited on a substrate surface and the surrounding electrolyte.
  • the layer of material formed by the corrosion inhibitors insulates the surface from the surrounding electrolyte, and thus, suppresses or minimizes the electrochemical current from the substrate surface to limit electrochemical deposition and/or dissolution.
  • the polishing composition may include between about 0.001% and about 5.0% by weight of the organic compound having azole groups, for example, between about 0.2% and about 0.4% by weight.
  • the one or more corrosion inhibitors can include an organic compound having one or more azole groups.
  • organic compounds having azole groups include benzotriazole, mercaptobenzotriazole, 5-methyl-1 -benzotriazole, and combinations thereof.
  • suitable corrosion inhibitors include film forming agents that are cyclic compounds, for example, imidazole, benzimidazole, triazole, and combinations thereof. Derivatives of benzotriazole, imidazole, benzimidazole, triazole, with hydroxy, amino, imino, carboxy, mercapto, nitro and alkyl substituted groups may also be used as corrosion inhibitors.
  • Other corrosion inhibitor include urea and thiourea among others.
  • polymeric inhibitors for non-limiting examples, polyalkylaryl ether phosphate or ammonium nonylphenol ethoxylate sulfate, may be used in replacement or conjunction with azole containing corrosion inhibitors in an amount between about 0.002% and about 1.0% by volume or weight of the composition.
  • the one or more pH adjusting agents contribute to the pH adjustment of the polishing composition.
  • the preferred pH of the polishing composition may be between about 2 and about 10, for example, between a pH of about 4 and about 6.
  • the polishing composition may include up to about 70 wt.% of the one or more pH adjusting agents, for example, between about 0.2% and about 25% by volume or weight of the one or more pH adjusting agents.
  • Different compounds may provide different pH levels for a given concentration, for example, the composition may include between about 0.1% and about 10% by volume of a base, such as potassium hydroxide, ammonium hydroxide, or combinations thereof, to provide the desired pH level.
  • the one or more pH adjusting agents that can be organic acids, for example, carboxylic acids, such as acetic acid, citric acid, oxalic acid, phosphate-containing components including phosphoric acid, ammonium phosphates, potassium phosphates, and combinations thereof, or a combination thereof.
  • carboxylic acids such as acetic acid, citric acid, oxalic acid, phosphate-containing components including phosphoric acid, ammonium phosphates, potassium phosphates, and combinations thereof, or a combination thereof.
  • Inorganic acids such as strong acids including sulfuric acid, nitric acid, and combinations thereof, may also be used in the polishing composition.
  • the one or more pH adjusting agents may also include a base, for example, potassium hydroxide, ammonium hydroxide, or combinations thereof.
  • a base for example, potassium hydroxide, ammonium hydroxide, or combinations thereof.
  • the amount of base used in the polishing composition is typically the amount required to adjust the pH of the composition to the desired level between about 2 and about 10.
  • the polishing composition may include a base and a compound selected from the group consisting of acetic acid, citric acid, oxalic acid, phosphoric acid, ammonium phosphates, potassium phosphates, or combinations thereof.
  • the composition may comprise between about 0.1% and about 10% by volume of the base, and between about 0.2% and about 25% by volume or weight of the compound selected from the group consisting of acetic acid, citric acid, oxalic acid, phosphoric acid, ammonium phosphates, potassium phosphates, or combinations thereof.
  • the polishing composition includes one or more polishing enhancing materials including abrasive particles, one or more oxidizers, and combinations thereof.
  • Abrasive particles may be used to improve the removal rate or removal of conductive materials from the substrate surface during polishing.
  • Abrasive particles may comprise up to about 35 wt.% of the polishing composition during processing.
  • a concentration between about 0.001 wt.% and about 5 wt.% of abrasive particles may be used in the polishing composition.
  • Suitable abrasives particles include inorganic abrasives, polymeric abrasives, and combinations thereof.
  • Inorganic abrasive particles that may be used in the electrolyte include, but are not limited to, silica, alumina, zirconium oxide, titanium oxide, cerium oxide, germania, or any other abrasives of metal oxides, known or unknown, and have an average size between about 20 nm and about 1000 nm.
  • suitable inorganic abrasives have a Mohs hardness of more than 6, and the invention contemplates the use of abrasives having a lower Mohs hardness in the polishing composition.
  • the polymer abrasives described herein may also be referred to as "organic polymer particle abrasives", “organic abrasives” or “organic particles.”
  • the polymeric abrasives may comprise abrasive polymeric materials. Examples of polymeric abrasives materials include polymethylmethacrylate, polymethyl acrylate, polystyrene, polymethacrylonitrile, and combinations thereof.
  • the polymeric abrasives may have a Hardness Shore D of between about 60 and about 80, and can vary to have greater or lesser hardness.
  • the polymer abrasives may also be softer than the inorganic particles described herein, which can result in reduced friction between a polishing article and substrate and may result in a reduction in the number and the severity of scratches and other surface defects as compared to inorganic particles.
  • the polymer abrasives may also be harder than the materials used in any polishing pad materials to provide improved polishing performance as compared to the polishing pad material alone.
  • the polymeric abrasives may be modified to have functional groups, e.g., one or more functional groups, that have an affinity for, i.e., can bind to, the conductive material or conductive material ions which are to be removed from the substrate or composition, thereby facilitating the removal of a conductive material from the surface of a substrate during processing.
  • functional groups e.g., one or more functional groups, that have an affinity for, i.e., can bind to, the conductive material or conductive material ions which are to be removed from the substrate or composition, thereby facilitating the removal of a conductive material from the surface of a substrate during processing.
  • the organic polymer particles can be modified to have an amine group, a carboxylate group, a pyridine group, a hydroxide group, ligands with a high affinity for copper, or combinations thereof, to bind the removed copper, for example, to be used in addition to, or as substitutes for, the chemically active agents in the composition, such as chelating agents.
  • the metal materials for removal, such as copper may be in any oxidation state, such as 0, 1 , or 2, before, during or after ligating with a functional group.
  • the functional groups can bind the metal materials created on the substrate surface during processing and remove the metal materials from the substrate surface.
  • the polymeric abrasives have desirable chemical properties, for example, the polymer abrasives are stable over a broad pH range and are not prone to aggregating to each other, which allow the polymeric abrasives to be used with reduced or no surfactant or no dispersing agent in the composition.
  • inorganic particles coated with the polymeric materials described herein may also be used with the polishing composition.
  • the abrasives used in the composition may be combinations of the polymeric abrasives, the inorganic abrasives, the polymeric coated inorganic abrasives, depending on the desired polishing performance and results.
  • an oxidizing agent is generally an agent that accepts electrons from the layer or layers of a substrate that is to be polished, oxidizes material thereon for more effective removal of the material.
  • an oxidizer may be used to oxidize a metal layer to a corresponding oxide or hydroxide, for example, copper to copper oxide.
  • existing copper that has been oxidized, including Cu 1+ ions, may further be oxidized to a higher oxidation state, such as Cu 2+ ions, which may then react with chelating agents.
  • the oxidizer can be present in the polishing composition in an amount ranging between about 0.01% and about 90% by volume or weight, for example, between about 0.1% and about 20% by volume or weight. In an embodiment of the polishing composition, between about 0.1% to about 15% by volume or weight of hydrogen peroxide is present in the polishing composition.
  • Suitable oxidizers include peroxy compounds, e.g., compounds that may disassociate through hydroxy radicals, such as hydrogen peroxide and its adducts including urea hydrogen peroxide, percarbonates, and organic peroxides including, for example, alkyl peroxides, cyclical or aryl peroxides, benzoyl peroxide, peracetic acid, and di-t-butyl peroxide.
  • Sulfates and sulfate derivatives such as monopersulfates and dipersulfates may also be used including for example, ammonium peroxydisulfate, potassium peroxydisulfate, ammonium persulfate, and potassium persulfate. Salts of peroxy compounds, such as sodium percarbonate and sodium peroxide may also be used.
  • the oxidizing agent can also be an inorganic compound or a compound containing an element in its highest oxidation state.
  • inorganic compounds and compounds containing an element in its highest oxidation state include but are not limited to periodic acid, periodate salts, perbromic acid, perbromate salts, perchloric acid, perchloric salts, perbonic acid, nitrate salts (such as cerium nitrate, iron nitrate, ammonium nitrate), perborate salts and permanganates.
  • Other oxidizing agents include bromates, chlorates, chromates, iodates, iodic acid, and cerium (IV) compounds such as ammonium cerium nitrate.
  • the balance or remainder of the polishing compositions described above is a solvent, such as a polar solvent, including water, preferably deionized water, and organic solvents, for example, alcohols or glycols.
  • a solvent such as a polar solvent, including water, preferably deionized water, and organic solvents, for example, alcohols or glycols.
  • An example of a polishing composition described herein includes about 2% by volume ethylenediamine, about 2% by weight ammonium citrate, about 0.3% by weight benzotriazole, between about 0.1% and about 3% by volume or weight, for example, about 0.45% hydrogen peroxide, and/or about between about 0.01% and 1% by weight, for example 0.15% by weight, of abrasive particles, and about 6% by volume phosphoric acid.
  • the pH of the composition is about 5, which may be achieved by, for example, the composition further including potassium hydroxide to adjust the pH to the preferred range.
  • the remainder of the polishing composition is deionized water.
  • the polishing composition may further include electrolyte additives including suppressors, enhancers, levelers, brighteners, stabilizers, and stripping agents to improve the effectiveness of the polishing composition in polishing of the substrate surface.
  • electrolyte additives including suppressors, enhancers, levelers, brighteners, stabilizers, and stripping agents to improve the effectiveness of the polishing composition in polishing of the substrate surface.
  • certain additives may decrease the ionization rate of the metal atoms, thereby inhibiting the dissolution process, whereas other additives may provide a finished, shiny substrate surface.
  • the additives may be present in the polishing composition in concentrations up to about 15% by weight or volume, and may vary based upon the desired result after polishing.
  • one or more surfactants may be used in the polishing composition.
  • Surfactants may be used to increase the dissolution or solubility of materials, such as metals and metal ions or by-products produced during processing, reduce any potential agglomeration of abrasive particles in the polishing composition, and improve chemical stability and reduce decomposition of components of the polishing composition.
  • the one or more surfactants can comprise a concentration between about 0.001% and about 10% by volume or weight of the polishing composition.
  • a concentration between about 0.01% and about 2% by volume or weight, for example between about 0.1% and about 1 % by volume or weight, of the surfactants may be used in one embodiment of the polishing composition.
  • the one or more surfactants may include non-ionic surfactants as well as ionic surfactants including anionic surfactants, cationic surfactants, amphoteric surfactants, and ionic surfactants having more than one ionic functional group, such as Zweitter- ionic surfactants.
  • Dispersers or dispersing agents are considered to be surfactants as surfactants are used herein.
  • Compositions containing the polymeric abrasives are stable over a broad pH range and are not prone to aggregating to each other, which allow the polymeric abrasives to be used with reduced or no surfactant or no dispersing agent in the composition.
  • additives include one or more leveling agents, which are broadly defined herein as additives that suppress dissolution current on the surface of a substrate.
  • Leveling agents suppress dissolution current by attaching to conductive materials, by inhibiting the electrochemical reactions between the electrolyte and conductive material, and/or form depolarizing agents that limit electrochemical reactions.
  • a concentration of leveling agents between about 0.005% and about 10% by volume or weight, for example, between about 0.05% and about 2% by volume or weight of the electrolyte solution can be used.
  • Leveling agents include, but are not limited to, polyethylene glycol and polyethylene glycol derivatives.
  • Other leveling agents which can be employed in the process described herein include any employed in the electroplating art, such as polyamines, polyamides and polyimides including polyethyleneimine, polyglycine, 2- amino-1-naphthalenesulfonic acid, 3-amino-1-propanesulfonic acid, 4-aminotoluene-2- sulfonic acid.
  • Suppressors such as electrically resistive additives that reduce the conductivity of the polishing composition may be added to the composition in an amount between about 0.005% and about 2% by volume or weight of the composition.
  • Suppressors include polyacrylamide, polyacrylic acid polymers, polycarboxylate copolymers, coconut diethanolamide, oleic diethanolamide, ethanolamide derivatives, or combinations thereof
  • One or more stabilizers may be present in an amount that is sufficient to produce measurable improvements in composition stability.
  • the one or more stabilizers may be present in an amount ranging from about 100 ppm to about 5.0 weight percent (wt.%).
  • preferred stabilizers include but are not limited to phosphoric acids and phosphoric acid derivatives including aminotri(methylenephosphonic) acid, 1-hydroxyethylidene-4-diphosphonic acid, hexamethylenediaminetetramethylene phosphoric acid, and diethylenetetramine pentamethylenephosphonic acid, and derivative salts thereof.
  • Accelerators are another example of an additive that may be included in the polishing composition.
  • Accelerators increase electrochemical reactions of metals disposed on the substrate surface to increase metal removal.
  • the composition may include one or more accelerators at a concentration between about 0.1% and about 1% by volume or weight, for example between about 0.25 and about 0.8% by volume or weight.
  • Accelerators may include sulfur containing compounds, such as sulfite or di- sulfate.
  • substrate processed with the polishing composition described herein has improved surface finish, including less surface defects, such as dishing, erosion (removal of dielectric material surrounding metal features), and scratches, as well as improved planarity
  • Power may be applied to the substrate having a conductive material layer formed thereon in a process apparatus, such as cell 200 described above, by applying a bias between an electrode and the substrate to remove the conductive material.
  • a substrate 208 is disposed in the polishing head 202 used in a planarization process as shown in Figure 1.
  • the polishing head 202 may be contacted with a polishing pad assembly 222 to apply a pressure in the range between about 0.01 psi and about 1 psi, for example between about 0.1 psi and about 0.5 psi, to the substrate surface to be electrochemically and mechanically polished.
  • the polishing pad assembly 222 is disposed in a basin containing an electrolyte described herein.
  • the substrate 208 is exposed to the polishing composition and electrically contacted with conductive pad 203.
  • a bias from a power source 224 is then applied between the substrate 208 and the conductive pad 203.
  • the bias is generally provided to produce anodic dissolution of the conductive material from the surface of the substrate at a current density up to about 100 milliamps/cm 2 to include processing of substrate up to about 300 mm, for example, between about 0.01 and about 40 milliamps/cm 2 for a 200 mm substrate.
  • the bias may be varied in power and application depending upon the user requirements in removing material from the substrate surface.
  • the bias may also be applied by an electrical pulse modulation technique, which applies a constant current density or voltage for a first time period, then applies a constant reverse current density or voltage for a second time period, and repeats the first and second steps, as is described in co-pending U.S Patent Serial No. 6,379,223, entitled “Method And Apparatus For Electrochemical Mechanical Planarization", issued on April 22, 2002, which is incorporated by reference herein to the extent not inconsistent with the claimed aspects and disclosure herein.
  • the bias is generally applied to the substrate surface exposed to the polishing composition to remove copper containing material at a rate of about 15,000 A/min, such as between about 100 A/min and about 15,000 A/min. In one embodiment of the invention where the copper material to be removed is less than 5,000 A thick, the voltage may be applied to provide a removal rate between about 100 A/min and about 5,000 A/min.
  • the substrate is typically exposed to the polishing composition and power application for a period of time sufficient to remove at least a portion or all of the desired material disposed thereon.
  • a passivation layer which chemically and/or electrically insulates the surface of the substrate is formed from the exposure of the substrate surface to the corrosion inhibitor, or other materials capable of forming a passivating or insulating film with the material to be removed, for example oxidizers, which can form oxidized layers, and/or chelating agents, which can for chelating layers.
  • a bias is applied to remove material or enhance removal of conductive material, such as copper-containing material, from the substrate surface by anodic dissolution.
  • the passivation layer insulates or suppresses the current for anodic dissolution, and mechanical abrasion is provided between the substrate and permeable disk to remove the passivation layer from areas of contact between the permeable disk and the substrate, such as from peaks formed on the substrate surface from excessive deposition or topography of underlying layers, and expose the underlying copper- containing material.
  • the passivation layer is retained in areas of minimal or no contact, such as recesses or valleys in the substrate surface.
  • the exposed copper-containing material is then electrically connected with the electrolyte solution and may be removed by anodic dissolution.
  • the selective removal of the passivation layer from peaks by contact with a polishing article, for example, conductive pad 203 under the applied bias while retaining the passivation layer in valleys allows for increased dissolution and/or removal of excessive copper-containing materials from passivation-free portions of the substrate surface in relation to the removal of the conductive materials underlying the passivation layer.
  • the increased dissolution and removal of the copper-containing materials without a passivation layer formed thereon allows for increased reduction of the peaks formed on the substrate surface compared to the valleys formed thereon, resulting in enhanced planarization of the substrate surface.
  • polishing pressures i.e., about 2 psi or less
  • polishing pressures correspond to lower shear forces and frictional forces which make this process suitable for planarizing substrate surfaces sensitive to contact pressures between the substrate and polishing pads, such as polishing low k dielectric materials, with reduced or minimal deformations and defect formation from polishing.
  • the lower shear forces and frictional forces have been observed to reduce or minimize formation of topographical defects, such as dishing and scratches, during polishing.
  • Example 1 The following non-limiting examples are provided to further illustrate embodiments of the invention. However, the examples are not intended to be all inclusive and are not intended to limit the scope of the invention described herein.
  • Example 1 Example 1 :
  • a copper plated wafer was polished and planarized using the following polishing composition within a modified cell on a Reflection® system, available from Applied Materials, Inc. of Santa Clara, California. about 6% by volume phosphoric acid; about 2% by volume ethylenediamine; about 2% by weight ammonium citrate; about 0.3% by weight benzotriazole; between about 2% and about 6% potassium hydroxide to provide a pH of about 5; about 0.45% by volume of hydrogen peroxide; and deionized water.
  • Example 2 [0085] A copper plated wafer was polished and planarized using the following polishing composition within a modified cell on a Reflection® system, available from Applied Materials, Inc. of Santa Clara, California. about 6% by volume phosphoric acid; about 2% by volume ethylenediamine; about 2% by weight ammonium citrate; about 0.3% by weight benzotriazole; between about 2% and about 6% potassium hydroxide to provide a pH of about 5; about 0.45% by volume of hydrogen peroxide; about 0.15% by weight of silica (SiO 2 ) abrasive particles; and deionized water.
  • a Reflection® system available from Applied Materials, Inc. of Santa Clara, California. about 6% by volume phosphoric acid; about 2% by volume ethylenediamine; about 2% by weight ammonium citrate; about 0.3% by weight benzotriazole; between about 2% and about 6% potassium hydroxide to provide a pH of about 5; about 0.45% by volume of hydrogen
  • a copper plated wafer was polished and planarized using the following polishing composition within a modified cell on a Reflection® system, available from Applied Materials, Inc. of Santa Clara, California. about 6% by volume phosphoric acid; about 2% by volume ethylenediamine; about 2% by weight ammonium citrate; about 0.3% by weight benzotriazole; between about 2% and about 6% potassium hydroxide to provide a pH of about 6; about 0.1% by weight of silica (SiO 2 ) abrasive particles; and deionized water.
  • a Reflection® system available from Applied Materials, Inc. of Santa Clara, California. about 6% by volume phosphoric acid; about 2% by volume ethylenediamine; about 2% by weight ammonium citrate; about 0.3% by weight benzotriazole; between about 2% and about 6% potassium hydroxide to provide a pH of about 6; about 0.1% by weight of silica (SiO 2 ) abrasive particles; and de

Abstract

Polishing compositions and methods for removing conductive materials from a substrate surface are provided. In one aspect, a composition includes an acid based electrolyte system, one or more chelating agents, one or more corrosion inhibitors, one or more inorganic or organic acid salts, one or more pH adjusting agents to provide a pH between about 3 and about 10, a polishing enhancing material selected from the group of abrasive particles, one or more oxidizers, and combinations thereof, and a solvent. The composition may be used in an conductive material removal process including disposing a substrate having a conductive material layer formed thereon in a process apparatus comprising an electrode, providing the composition between the electrode and substrate, applying a bias between the electrode and the substrate, and removing conductive material from the conductive material layer.

Description

METHOD AND COMPOSITION FOR POLISHING A SUBSTRATE
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This application claims priority to United States Provisional Patent Application Serial No. 60/359,746, filed February 26, 2002, entitled "Copper CMP Slurries With Organic Polymer Particles," [Attorney Docket No. 6505L], which application is herein incorporated by reference. This application is also a continuation-in-part of co-pending United States Patent Application Serial No. 10/032,275, filed December 21, 2001, entitled "Polishing Composition and Treatment for Electrolytic Chemical Mechanical Polishing," [Attorney Docket No. 5998], and co-pending United States Patent Application Serial No. 10/038,066, filed January 3, 2002, entitled "Planarization Of Substrates Using Electrochemical Mechanical Polishing," [Attorney Docket No. 5699], and each application is herein incorporated by reference.
BACKGROUND OF INVENTION
Field of Invention
[0002] Embodiments of the present invention relate to compositions and methods for removing a conductive material from a substrate.
Background of Related Art
[0003] Reliably producing sub-half micron and smaller features is one of the key technologies for the next generation of very large scale integration (VLSI) and ultra large-scale integration (ULSI) of semiconductor devices. However, as the limits of circuit technology are pushed, the shrinking dimensions of interconnects in VLSI and ULSI technology have placed additional demands on processing capabilities. Reliable formation of interconnects is important to VLSI and ULSI success and to the continued effort to increase circuit density and quality of individual substrates and die.
[0004] Multilevel interconnects are formed using sequential material deposition and material removal techniques on a substrate surface to form features therein. As layers of materials are sequentially deposited and removed, the uppermost surface of the substrate may become non-planar across its surface and require planarization prior to further processing. Planarization or "polishing" is a process where material is removed from the surface of the substrate to form a generally even, planar surface. Planarization is useful in removing excess deposited material and removing undesired surface topography and surface defects, such as rough surfaces, agglomerated materials, crystal lattice damage, scratches, and contaminated layers or materials to provide an even surface for subsequent lithography and processing.
[0005] Electrochemical mechanical polishing (ECMP) is one method of planarizing a surface of a substrate. ECMP removes conductive materials, such as copper, from a substrate surface by electrochemical "anodic" dissolution while polishing the substrate with a reduced mechanical abrasion compared to conventional chemical mechanical planarization (CMP) processes. A typical ECMP system includes a substrate support and two electrodes disposed within a polishing composition containment basin. The substrate is in electrical contact with one of the electrodes, and in effect during processing, the substrate becomes an electrode for material removal. In operation, metal atoms on a surface of a substrate are ionized by an electrical current from a source of potential, such as a voltage source connected to the two electrodes. The metal ions dissolve into the surrounding polishing composition.
[0006] However, ECMP processes typically have been observed to have reduced removal rates compared to conventional chemical mechanical polishing processes, and modifying processing conditions, such as increasing pressure between a substrate and polishing pad and increasing processing time, to improve removal rate have not proven to be satisfactory in increasing removal rates and in some instances, increased dishing and increased damage to the substrate surface. For example, increased polishing pressure on substrate containing low dielectric constant (low k dielectric) materials have been observed to form defects in the deposited material, such as delamination or scratches from increased shear forces derived from increased polishing pressures.
[0007] Therefore, there is a need for compositions and methods for removing conductive material from a substrate that minimizes damage to the substrate during planarization. SUMMARY OF INVENTION
[0008] Aspects of the invention provide compositions and methods for removing conductive materials by an electrochemical polishing technique. In one aspect, a composition is provided for removing at least a conductive material from a substrate surface including an acid based electrolyte system, one or more chelating agents, one or more corrosion inhibitors, one or more inorganic or organic acid salts, one or more pH adjusting agents to provide a pH between about 3 and about 10, a polishing enhancing material selected from the group of abrasive particles, one or more oxidizers, and combinations thereof, anda solvent.
[0009] In another aspect, the composition is used in a method provided for processing a substrate including disposing a substrate having a conductive material layer formed thereon in a process apparatus comprising a first electrode and a second electrode, wherein the substrate in electrical contact with the second electrode, providing the composition between the first electrode and the substrate, applying a bias between the first electrode and the second electrode, and removing conductive material from the conductive material layer.
BRIEF DESCRIPTION OF DRAWINGS
[0010] So that the manner in which the above recited aspects of the present invention are attained and can be understood in detail, a more particular description of embodiments of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
[0011] It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0012] Figure 1 is a cross-sectional view of one embodiment of a polishing process station.
DETAILED DESCRIPTION OF PREFERRED EMBODIMENT
[0013] In general, aspects of the invention provide compositions and methods for removing at least a conductive material from a substrate surface. The invention will be described below in reference to a planarizing process for the removal of conductive materials from a substrate surface by an electrochemical mechanical polishing (ECMP) technique.
[0014] The words and phrases used herein should be given their ordinary and customary meaning in the art by one skilled in the art unless otherwise further defined. Chemical polishing should be broadly construed and includes, but is not limited to, planarizing a substrate surface using chemical activity. Electropolishing should be broadly construed and includes, but is not limited to, planarizing a substrate by the application of electrochemical activity. Electrochemical mechanical polishing (ECMP) should be broadly construed and includes, but is not limited to, planarizing a substrate by the application of electrochemical activity, mechanical activity, or a combination of both electrochemical and mechanical activity to remove material from a substrate surface.
[0015] Anodic dissolution should be broadly construed and includes, but is not limited to, the application of an anodic bias to a substrate directly or indirectly which results in the removal of conductive material from a substrate surface and into a surrounding polishing composition. Polishing composition should be broadly construed and includes, but is not limited to, a composition that provides ionic conductivity, and thus, electrical conductivity, in a liquid medium. Percentages of electrolyte components in polishing compositions by volume or weight refer to percentages based on volume for liquid composition components and percentages based on weight for solid composition components.
One Apparatus Embodiment
[0016] Figure 1 depicts a cross-sectional view of one embodiment of a "face-down" process cell 200. The process cell 200 generally includes a basin 204 and a polishing head 202. A substrate 208 is retained in the polishing head 202 and lowered into the basin 204 during processing in a face-down (e.g., backside up) orientation. An electrolyte, such as described herein is flowed into the basin 204 and in contact with the substrate's surface and a pad assembly 222, while the polishing head 202 places the substrate 208 in contact with the pad assembly 222. The basin 204 includes the pad assembly 222, a bottom 244 and sidewalls 246 that define a container that houses the pad assembly 222. The sidewalls 246 include a port 218 formed therethrough to allow removal of polishing composition from the basin 204. The port 218 is coupled to a valve 220 to selectively drain or retain the polishing composition in the basin 204.
[0017] The substrate 208 and the pad assembly 222 disposed in the basin 204 are moved relative to each other to provide a polishing motion (or motion that enhances plating uniformity). The polishing motion generally comprises at least one motion defined by an orbital, rotary, linear or curvilinear motion, or combinations thereof, among other motions. The polishing motion may be achieved by moving either or both of the polishing head 202 and/or the basin 204. The polishing head 202 may be stationary or driven to provide at least a portion of the relative motion between the basin 204 and the substrate 208 held by the polishing head 202. In the embodiment depicted in Figure 1 , the polishing head 202 is coupled to a drive system 210. The drive system 210 moves the polishing head 202 with at least a rotary, orbital, sweep motion or combinations thereof.
[0018] The polishing head 202 generally retains the substrate 208 during processing. In one embodiment, the polishing head 202 includes a housing 214 enclosing a bladder 216. The bladder 216 may be deflated when contacting the substrate to create a vacuum therebetween, thus securing the substrate to the polishing head 202. The bladder 216 may additionally be inflated to press the substrate in contact with the pad assembly 222 retained in the basin 204. A retaining ring 238 is coupled to the housing 214 and circumscribes the substrate 208 to prevent the substrate from slipping out from the polishing head 202 while processing. One polishing head that may be adapted to benefit from the invention is a TITAN HEAD™ carrier head available from Applied Materials, Inc., located in Santa Clara, California. Another example of a polishing head that may be adapted to benefit from the invention is described in United States Patent No. 6,159,079, issued December 12, 2001 , which is hereby incorporated herein by reference in its entirety.
[0019] The basin 204 is generally fabricated from a plastic such as fluoropolymers, TEFLON® polymers, perfluoroalkoxy resin (PFA), polyethylene-based plastics (PE), sulfonated polyphenylether sulfones (PES), or other materials that are compatible or non-reactive with polishing compositions that may be used in electroplating or electropolishing. The basin 204 is rotationally supported above a base 206 by bearings 234. A drive system 236 is coupled to the basin 204 and rotates the basin 204 during processing. A catch basin 228 is disposed on the base 206 and circumscribes the basin 204 to collect processing fluids, such as a polishing composition, that flow out of port 218 disposed through the basin 204 during and/or after processing.
[0020] A polishing composition delivery system 232 is generally disposed adjacent the basin 204. The polishing composition delivery system 232 includes a nozzle or outlet 230 coupled to a polishing composition source 242. The outlet 230 flows polishing composition or other processing fluid from the polishing composition source 242 to into the basin 204. During processing, the polishing composition generally provides an electrical path for biasing the substrate 208 and driving an electrochemical process to remove and/or deposit material on the substrate 208. Alternatively, the polishing composition delivery system may provide polishing composition through the bottom 244 of the process cell and flow polishing composition through the pad assembly to contact the polishing pad and substrate. Alternatively, a recycling system might be used to recover and reuse the polishing composition. Further, a recirculation system may be used to extend the useful manufacturing life of the polishing composition for the same or additional processing steps.
[0021] Optionally, and shown in Figure 1, a conditioning device 250 may be provided proximate the basin 204 to periodically condition or regenerate the pad assembly 222. Typically, the conditioning device 250 includes an arm 252 coupled to a stanchion 254 that is adapted to position and sweep a conditioning element 258 across pad assembly 222. The conditioning element 258 is coupled to the arm 252 by a shaft 256 to allow clearance between the arm 252 and sidewalls 246 of the basin 204 while the conditioning element 258 is lowered to contact the pad assembly 222. The conditioning element 258 is typically a diamond or silicon carbide disk, which may be patterned to enhance working the surface of the pad assembly 222 into a predetermined surface condition/state that enhances process uniformity. One conditioning element 258 that may be adapted to benefit from the invention is described in United States Patent Application Serial No. 09/676,280, filed September 28, 2000 by Li et al., which is incorporated herein by reference to the extent not inconsistent with the claims aspects and description herein. [0022] A power source 224 is coupled to the pad assembly 222 by electrical leads 223A, 223B. The power source 224 applies an electrical bias to the pad assembly 222 to drive an electrochemical process as described further below. The 223A, 223B are routed through a slip ring 226 disposed below the basin 204. The slip ring 226 facilitates continuous electrical connection between the power source 224 and the pad assembly 222 as the basin 204 rotates. The leads 223A, 223B may be wires, tapes or other conductors compatible with process fluids or having a covering or coating that protects the leads from the process fluids. Examples of materials that may be utilized in the leads 223A, 223B include insulated copper, graphite, titanium, platinum, gold, and HASTELOY® among other materials. Coatings disposed around the leads may include polymers such as fluorocarbons, PVC, polyamide, and the like.
[0023] As the pad assembly 222 includes elements comprising both an anode and cathode of an electrochemical cell, both the anode and cathode may be replaced simultaneously by simply removing a used pad assembly 222 from the basin 204 and inserting a new pad assembly 222 with fresh electrical and supporting components into the basin 204.
[0024] The pad assembly 222 depicted includes a conductive pad 203 coupled to a backing 207. The backing 207 may be coupled to an electrode 209. A dielectric insert (not shown) may be disposed between the conductive pad 203 and the backing 207 or electrode 209 to regulate electrolyte flow through all or a portion of the conductive pad 203. The conductive pad 203 is used to apply a uniform bias to the substrate surface without the use of a conventional bias application apparatus, such as an edge contact. The electrode 209 is generally biased as a cathode through a connection to the power supply 224 via a lead, for example lead 223A, and the conductive pad 203, and substrate, is bias as an anode for anodic dissolution in an ECMP process.
[0025] Typically, the conductive pad 203, the backing 207, optionally, the dielectric insert, and the electrode 209 are secured together to form an unitary body that facilitates removal and replacement of the pad assembly 222 from the basin 204. Typically, the conductive pad 203, the backing 207, optionally the dielectric insert, and the electrode 209 are adhered or bonded to one another. Alternatively, the conductive pad 203, the backing 207, optionally the dielectric insert, and the electrode
209 may be coupled by other methods or combination thereof, including sewing, binding, heat staking, riveting, screwing and clamping among others. Examples of the conductive pad 203 are more fully disclosed in United States Patent Application No. 10/033,732, filed on December 27, 2001 , which is incorporated by reference herein to the extent not inconsistent with the claimed aspects and disclosure herein.
[0026] The face-down polishing apparatus is more fully disclosed in U.S. Patent Application Serial No. 10/151 ,538, filed May 16, 2002 [Attorney Docket No. 6906], entitled "Method and Apparatus for Substrate Polishing," commonly assigned to Applied Materials Inc., of which paragraphs 25-81 are incorporated herein by reference to the extent not inconsistent with the claims aspects and description herein. Similarly to face-up polishing, relative motion is provided between the substrate and the electrode and/or pad.
[0027] The process cell 200 may be disposed on a polishing platform, such as the Reflexion® CMP System, the Mirra™CMP system, and the Mirra™ Mesa CMP System, which are commercially available from Applied Materials, Inc., of Santa Clara, California. Additionally, any system enabling electrochemical mechanical polishing using the method or composition described herein can be used to advantage.
Polishing Composition and Process
[0028] In one aspect, polishing compositions that can planarize metals, such as copper, are provided. Generally, the polishing composition comprises an acid based electrolyte system, one or more chelating agents, one or more corrosion inhibitors, one or more inorganic or organic acid salts, one or more pH adjusting agents, a pH between about 2 and about 10, a polishing enhancing material selected from the group of abrasive particles, one or more oxidizers, and combinations thereof and a solvent. It is believed that the polishing compositions described herein contribute to a improved polishing and effective removal rate of conductive materials, such as copper, during ECMP with effective planarization of substrates and smooth surfaces after polishing.
[0029] Although the polishing compositions are particularly useful for removing copper, it is believed that the polishing compositions also may be used for the removal of other conductive materials, such as aluminum, platinum, tungsten, titanium, titanium nitride, tantalum, tantalum nitride, cobalt, gold, silver, and combinations thereof. Other material used in forming conductive material features on a substrate surface include barrier layer materials, for example, tantalum, tantalum nitride, titanium, and titanium nitride, may be removed by the processes described herein. Mechanical abrasion, such as from contact with the polishing pad and/or abrasives, may be used to improve planarity and removal of conductive materials and also remove dielectric materials including carbon doped silicon oxide and doped or undoped silicon carbide.
[0030] The polishing composition includes an acid based electrolyte system for providing electrical conductivity. Suitable acid based electrolyte systems include, for example, sulfuric acid based electrolytes, phosphoric acid based electrolytes, perchloric acid based electrolytes, acetic acid based electrolytes, and combinations thereof. Suitable acid based electrolyte systems include an acid electrolyte, such as phosphoric acid and sulfuric acid, as well as acid electrolyte derivatives, including ammonium and potassium salts thereof. The acid based electrolyte system may also buffer the composition to maintain a desired pH level for processing a substrate.
[0031] Examples of suitable acid based electrolytes include compounds having a phosphate group (PO 3 "), such as, phosphoric acid, potassium phosphate (K3PO ), copper phosphate, ammonium dihydrogen phosphate (NH4H2P0 ), diammonium hydrogen phosphate ((NH4)HPO4), and compounds having a sulfate group (SO4 3*), such as sulfuric acid, ammonium hydrogen sulfate ((NH4)2HSO4), copper sulfate, or combinations thereof. The invention also contemplates that conventional electrolytes known and unknown may also be used in forming the composition described herein using the processes described herein.
[0032] Alternatively, the acid based electrolyte system may be provided in an amount between about 1 and about 30 percent by weight (wt.%) or volume (vol%) of the composition to provide suitable conductivity for practicing the processes described herein. For example, dihydrogen phosphate and/or diammonium hydrogen phosphate may be present in the composition in amounts between about 15 and about 25 percent by weight or volume of the solution. Phosphoric acid may be present in concentrations up to 30 wt.%, for example, between about 2 wt.% and about 6 wt.%.
[0033] In any of the embodiments described herein, the chelating agents can bind to a conductive material, such as copper ions, increase the removal rate of metal materials, and may also be used to buffer or adjust the polishing composition to maintain a desired pH level for processing a substrate.
[0034] The one or more chelating agents can include compounds having one or more functional groups selected from the group of amine groups, amide groups, carboxylate groups, dicarboxylate groups, tri-carboxylate groups, hydroxyl groups, a mixture of hydroxyl and carboxylate groups, and combinations thereof. The one or more chelating agents may also include salts of the chelating agents described herein. The metal materials for removal, such as copper, may be in any oxidation state, such as 0, 1 , or 2, before, during or after ligating with a functional group. The functional groups can bind the metal materials created on the substrate surface during processing and remove the metal materials from the substrate surface.
[0035] The polishing composition may include one or more chelating agents at a concentration between about 0.1% and about 15% by volume or weight, for example, between about 0.1% and about 4% by volume or weight. For example, about 2% by volume or weight of ethylenediamine may be used as a chelating agent.
[0036] Examples of suitable chelating agents include compounds having one ore more amine and amide functional groups, such as ethylenediamine, diethylenetriamine, diethylenetriamine derivatives, hexadiamine, amino acids, ethylenediaminetetraacetic acid, methylformamide, or combinations thereof.
[0037] Examples of suitable chelating agents having one or more carboxylate groups include citric acid, tartaric acid, succinic acid, oxalic acid, and combinations thereof. Other suitable acids having one or more carboxylate groups include acetic acid, adipic acid, butyric acid, capric acid, caproic acid, caprylic acid, glutaric acid, glycolic acid, formaic acid, fumaric acid, lactic acid, lauric acid, malic acid, maleic acid, malonic acid, myristic acid, plamitic acid, phthalic acid, propionic acid, pyruvic acid, stearic acid, valeric acid, and combinations thereof.
[0038] In any of the embodiments described herein, the inorganic or organic acid salts may perform as a chelating agent. The polishing composition may include one or more inorganic or organic salts at a concentration between about 0.1% and about 15% by volume or weight of the composition, for example, between about 0.1% and about 6% by volume or weight. For example, about 2% by volume or weight of ammonium citrate may be used in the polishing composition.
[0039] Examples of suitable inorganic or organic acid salts include ammonium and potassium salts or organic acids, such as ammonium oxalate, ammonium citrate, ammonium succinate, monobasic potassium citrate, dibasic potassium citrate, tribasic potassium citrate, potassium tartarate, ammonium tartarate, potassium succinate, potassium oxalate, and combinations thereof. Additionally, ammonium and potassium salts of the carboxylate acids described herein may also be used as the organic acid salts in the compositions described herein.
[0040] In any of the embodiments described herein, the corrosion inhibitors can prevent the oxidation or corrosion of metal surfaces by forming a layer of material to reduce or minimize chemical interaction between material deposited on a substrate surface and the surrounding electrolyte. The layer of material formed by the corrosion inhibitors insulates the surface from the surrounding electrolyte, and thus, suppresses or minimizes the electrochemical current from the substrate surface to limit electrochemical deposition and/or dissolution. The polishing composition may include between about 0.001% and about 5.0% by weight of the organic compound having azole groups, for example, between about 0.2% and about 0.4% by weight.
[0041] The one or more corrosion inhibitors can include an organic compound having one or more azole groups. Examples of organic compounds having azole groups include benzotriazole, mercaptobenzotriazole, 5-methyl-1 -benzotriazole, and combinations thereof. Other suitable corrosion inhibitors include film forming agents that are cyclic compounds, for example, imidazole, benzimidazole, triazole, and combinations thereof. Derivatives of benzotriazole, imidazole, benzimidazole, triazole, with hydroxy, amino, imino, carboxy, mercapto, nitro and alkyl substituted groups may also be used as corrosion inhibitors. Other corrosion inhibitor include urea and thiourea among others.
[0042] Alternatively, polymeric inhibitors, for non-limiting examples, polyalkylaryl ether phosphate or ammonium nonylphenol ethoxylate sulfate, may be used in replacement or conjunction with azole containing corrosion inhibitors in an amount between about 0.002% and about 1.0% by volume or weight of the composition. [0043] The one or more pH adjusting agents contribute to the pH adjustment of the polishing composition. The preferred pH of the polishing composition may be between about 2 and about 10, for example, between a pH of about 4 and about 6. The polishing composition may include up to about 70 wt.% of the one or more pH adjusting agents, for example, between about 0.2% and about 25% by volume or weight of the one or more pH adjusting agents. Different compounds may provide different pH levels for a given concentration, for example, the composition may include between about 0.1% and about 10% by volume of a base, such as potassium hydroxide, ammonium hydroxide, or combinations thereof, to provide the desired pH level.
[0044] The one or more pH adjusting agents that can be organic acids, for example, carboxylic acids, such as acetic acid, citric acid, oxalic acid, phosphate-containing components including phosphoric acid, ammonium phosphates, potassium phosphates, and combinations thereof, or a combination thereof. Inorganic acids, such as strong acids including sulfuric acid, nitric acid, and combinations thereof, may also be used in the polishing composition.
[0045] The one or more pH adjusting agents may also include a base, for example, potassium hydroxide, ammonium hydroxide, or combinations thereof. The amount of base used in the polishing composition is typically the amount required to adjust the pH of the composition to the desired level between about 2 and about 10.
[0046] Alternatively, the polishing composition may include a base and a compound selected from the group consisting of acetic acid, citric acid, oxalic acid, phosphoric acid, ammonium phosphates, potassium phosphates, or combinations thereof. In compositions which include both a base and a compound selected from the group identified herein, the composition may comprise between about 0.1% and about 10% by volume of the base, and between about 0.2% and about 25% by volume or weight of the compound selected from the group consisting of acetic acid, citric acid, oxalic acid, phosphoric acid, ammonium phosphates, potassium phosphates, or combinations thereof.
[0047] The polishing composition includes one or more polishing enhancing materials including abrasive particles, one or more oxidizers, and combinations thereof. [0048] Abrasive particles may be used to improve the removal rate or removal of conductive materials from the substrate surface during polishing. Abrasive particles may comprise up to about 35 wt.% of the polishing composition during processing. A concentration between about 0.001 wt.% and about 5 wt.% of abrasive particles may be used in the polishing composition.
[0049] Suitable abrasives particles include inorganic abrasives, polymeric abrasives, and combinations thereof. Inorganic abrasive particles that may be used in the electrolyte include, but are not limited to, silica, alumina, zirconium oxide, titanium oxide, cerium oxide, germania, or any other abrasives of metal oxides, known or unknown, and have an average size between about 20 nm and about 1000 nm. Generally, suitable inorganic abrasives have a Mohs hardness of more than 6, and the invention contemplates the use of abrasives having a lower Mohs hardness in the polishing composition.
[0050] The polymer abrasives described herein may also be referred to as "organic polymer particle abrasives", "organic abrasives" or "organic particles." The polymeric abrasives may comprise abrasive polymeric materials. Examples of polymeric abrasives materials include polymethylmethacrylate, polymethyl acrylate, polystyrene, polymethacrylonitrile, and combinations thereof.
[0051] The polymeric abrasives may have a Hardness Shore D of between about 60 and about 80, and can vary to have greater or lesser hardness. The polymer abrasives may also be softer than the inorganic particles described herein, which can result in reduced friction between a polishing article and substrate and may result in a reduction in the number and the severity of scratches and other surface defects as compared to inorganic particles. The polymer abrasives may also be harder than the materials used in any polishing pad materials to provide improved polishing performance as compared to the polishing pad material alone.
[0052] The hardness of the polymer abrasives can be varied by controlling the extent of polymeric cross-linking in the abrasives, for example, a higher degree of cross-linking produces a greater hardness of polymer and, thus, abrasive. The polymeric abrasives are typically formed as spherical shaped beads having an average diameter between about 1 micron to about 20 microns, or less. [0053] The polymeric abrasives may be modified to have functional groups, e.g., one or more functional groups, that have an affinity for, i.e., can bind to, the conductive material or conductive material ions which are to be removed from the substrate or composition, thereby facilitating the removal of a conductive material from the surface of a substrate during processing. For example, if copper is to be removed in the polishing process, the organic polymer particles can be modified to have an amine group, a carboxylate group, a pyridine group, a hydroxide group, ligands with a high affinity for copper, or combinations thereof, to bind the removed copper, for example, to be used in addition to, or as substitutes for, the chemically active agents in the composition, such as chelating agents. The metal materials for removal, such as copper, may be in any oxidation state, such as 0, 1 , or 2, before, during or after ligating with a functional group. The functional groups can bind the metal materials created on the substrate surface during processing and remove the metal materials from the substrate surface.
[0054] Additionally, the polymeric abrasives have desirable chemical properties, for example, the polymer abrasives are stable over a broad pH range and are not prone to aggregating to each other, which allow the polymeric abrasives to be used with reduced or no surfactant or no dispersing agent in the composition.
[0055] Alternatively, inorganic particles coated with the polymeric materials described herein may also be used with the polishing composition. The abrasives used in the composition may be combinations of the polymeric abrasives, the inorganic abrasives, the polymeric coated inorganic abrasives, depending on the desired polishing performance and results.
[0056] One or more oxidizers may be used herein to enhance the removal or removal rate of the conductive material from the substrate surface. As used herein, an oxidizing agent is generally an agent that accepts electrons from the layer or layers of a substrate that is to be polished, oxidizes material thereon for more effective removal of the material. For example, an oxidizer may be used to oxidize a metal layer to a corresponding oxide or hydroxide, for example, copper to copper oxide. Existing copper that has been oxidized, including Cu1+ ions, may further be oxidized to a higher oxidation state, such as Cu2+ ions, which may then react with chelating agents. [0057] The oxidizer can be present in the polishing composition in an amount ranging between about 0.01% and about 90% by volume or weight, for example, between about 0.1% and about 20% by volume or weight. In an embodiment of the polishing composition, between about 0.1% to about 15% by volume or weight of hydrogen peroxide is present in the polishing composition.
[0058] Examples of suitable oxidizers include peroxy compounds, e.g., compounds that may disassociate through hydroxy radicals, such as hydrogen peroxide and its adducts including urea hydrogen peroxide, percarbonates, and organic peroxides including, for example, alkyl peroxides, cyclical or aryl peroxides, benzoyl peroxide, peracetic acid, and di-t-butyl peroxide. Sulfates and sulfate derivatives, such as monopersulfates and dipersulfates may also be used including for example, ammonium peroxydisulfate, potassium peroxydisulfate, ammonium persulfate, and potassium persulfate. Salts of peroxy compounds, such as sodium percarbonate and sodium peroxide may also be used.
[0059] The oxidizing agent can also be an inorganic compound or a compound containing an element in its highest oxidation state. Examples of inorganic compounds and compounds containing an element in its highest oxidation state include but are not limited to periodic acid, periodate salts, perbromic acid, perbromate salts, perchloric acid, perchloric salts, perbonic acid, nitrate salts (such as cerium nitrate, iron nitrate, ammonium nitrate), perborate salts and permanganates. Other oxidizing agents include bromates, chlorates, chromates, iodates, iodic acid, and cerium (IV) compounds such as ammonium cerium nitrate.
[0060] The balance or remainder of the polishing compositions described above is a solvent, such as a polar solvent, including water, preferably deionized water, and organic solvents, for example, alcohols or glycols.
[0061] Further, controlling the amounts and types of constituents of the polishing composition, such as corrosion inhibitors and oxidizers, can result in tuning the desired removal rate of the process. For example reduced amounts of corrosion inhibitor will result in increase removal rates compared to compositions having higher corrosion inhibitor rates; and reduced amounts of oxidizers will result in lower removal rates compared to compositions having higher oxidizer compositions. [0062] An example of a polishing composition described herein includes about 2% by volume ethylenediamine, about 2% by weight ammonium citrate, about 0.3% by weight benzotriazole, between about 0.1% and about 3% by volume or weight, for example, about 0.45% hydrogen peroxide, and/or about between about 0.01% and 1% by weight, for example 0.15% by weight, of abrasive particles, and about 6% by volume phosphoric acid. The pH of the composition is about 5, which may be achieved by, for example, the composition further including potassium hydroxide to adjust the pH to the preferred range. The remainder of the polishing composition is deionized water.
[0063] Alternatively, the polishing composition may further include electrolyte additives including suppressors, enhancers, levelers, brighteners, stabilizers, and stripping agents to improve the effectiveness of the polishing composition in polishing of the substrate surface. For example, certain additives may decrease the ionization rate of the metal atoms, thereby inhibiting the dissolution process, whereas other additives may provide a finished, shiny substrate surface. The additives may be present in the polishing composition in concentrations up to about 15% by weight or volume, and may vary based upon the desired result after polishing.
[0064] For example, one or more surfactants may be used in the polishing composition. Surfactants may be used to increase the dissolution or solubility of materials, such as metals and metal ions or by-products produced during processing, reduce any potential agglomeration of abrasive particles in the polishing composition, and improve chemical stability and reduce decomposition of components of the polishing composition. The one or more surfactants can comprise a concentration between about 0.001% and about 10% by volume or weight of the polishing composition. A concentration between about 0.01% and about 2% by volume or weight, for example between about 0.1% and about 1 % by volume or weight, of the surfactants may be used in one embodiment of the polishing composition.
[0065] The one or more surfactants may include non-ionic surfactants as well as ionic surfactants including anionic surfactants, cationic surfactants, amphoteric surfactants, and ionic surfactants having more than one ionic functional group, such as Zweitter- ionic surfactants. Dispersers or dispersing agents are considered to be surfactants as surfactants are used herein. Compositions containing the polymeric abrasives are stable over a broad pH range and are not prone to aggregating to each other, which allow the polymeric abrasives to be used with reduced or no surfactant or no dispersing agent in the composition.
[0066] Other examples of additives include one or more leveling agents, which are broadly defined herein as additives that suppress dissolution current on the surface of a substrate. Leveling agents suppress dissolution current by attaching to conductive materials, by inhibiting the electrochemical reactions between the electrolyte and conductive material, and/or form depolarizing agents that limit electrochemical reactions. A concentration of leveling agents between about 0.005% and about 10% by volume or weight, for example, between about 0.05% and about 2% by volume or weight of the electrolyte solution can be used.
[0067] Leveling agents include, but are not limited to, polyethylene glycol and polyethylene glycol derivatives. Other leveling agents which can be employed in the process described herein include any employed in the electroplating art, such as polyamines, polyamides and polyimides including polyethyleneimine, polyglycine, 2- amino-1-naphthalenesulfonic acid, 3-amino-1-propanesulfonic acid, 4-aminotoluene-2- sulfonic acid.
[0068] Suppressors, such as electrically resistive additives that reduce the conductivity of the polishing composition may be added to the composition in an amount between about 0.005% and about 2% by volume or weight of the composition. Suppressors include polyacrylamide, polyacrylic acid polymers, polycarboxylate copolymers, coconut diethanolamide, oleic diethanolamide, ethanolamide derivatives, or combinations thereof
[0069] One or more stabilizers may be present in an amount that is sufficient to produce measurable improvements in composition stability. The one or more stabilizers may be present in an amount ranging from about 100 ppm to about 5.0 weight percent (wt.%). Non-limiting examples of preferred stabilizers include but are not limited to phosphoric acids and phosphoric acid derivatives including aminotri(methylenephosphonic) acid, 1-hydroxyethylidene-4-diphosphonic acid, hexamethylenediaminetetramethylene phosphoric acid, and diethylenetetramine pentamethylenephosphonic acid, and derivative salts thereof. [0070] Accelerators are another example of an additive that may be included in the polishing composition. Accelerators increase electrochemical reactions of metals disposed on the substrate surface to increase metal removal. The composition may include one or more accelerators at a concentration between about 0.1% and about 1% by volume or weight, for example between about 0.25 and about 0.8% by volume or weight. Accelerators may include sulfur containing compounds, such as sulfite or di- sulfate.
[0071] Further examples of additives to the polishing composition are more fully described in United States Patent Application No. 10/141 ,459, filed on May 7, 2002, which is incorporated by reference herein to the extent not inconsistent with the claimed aspects and disclosure herein.
[0072] It has been observed that substrate processed with the polishing composition described herein has improved surface finish, including less surface defects, such as dishing, erosion (removal of dielectric material surrounding metal features), and scratches, as well as improved planarity
Power Application and Processing:
[0073] Power may be applied to the substrate having a conductive material layer formed thereon in a process apparatus, such as cell 200 described above, by applying a bias between an electrode and the substrate to remove the conductive material.
[0074] In an example of a polishing process, a substrate 208 is disposed in the polishing head 202 used in a planarization process as shown in Figure 1. The polishing head 202 may be contacted with a polishing pad assembly 222 to apply a pressure in the range between about 0.01 psi and about 1 psi, for example between about 0.1 psi and about 0.5 psi, to the substrate surface to be electrochemically and mechanically polished.
[0075] The polishing pad assembly 222 is disposed in a basin containing an electrolyte described herein. The substrate 208 is exposed to the polishing composition and electrically contacted with conductive pad 203. A bias from a power source 224 is then applied between the substrate 208 and the conductive pad 203. The bias is generally provided to produce anodic dissolution of the conductive material from the surface of the substrate at a current density up to about 100 milliamps/cm2 to include processing of substrate up to about 300 mm, for example, between about 0.01 and about 40 milliamps/cm2 for a 200 mm substrate.
[0076] The bias may be varied in power and application depending upon the user requirements in removing material from the substrate surface. The bias may also be applied by an electrical pulse modulation technique, which applies a constant current density or voltage for a first time period, then applies a constant reverse current density or voltage for a second time period, and repeats the first and second steps, as is described in co-pending U.S Patent Serial No. 6,379,223, entitled "Method And Apparatus For Electrochemical Mechanical Planarization", issued on April 22, 2002, which is incorporated by reference herein to the extent not inconsistent with the claimed aspects and disclosure herein.
[0077] The bias is generally applied to the substrate surface exposed to the polishing composition to remove copper containing material at a rate of about 15,000 A/min, such as between about 100 A/min and about 15,000 A/min. In one embodiment of the invention where the copper material to be removed is less than 5,000 A thick, the voltage may be applied to provide a removal rate between about 100 A/min and about 5,000 A/min.
[0078] The substrate is typically exposed to the polishing composition and power application for a period of time sufficient to remove at least a portion or all of the desired material disposed thereon.
[0079] While the exact mechanism for planarizing the substrate is unknown, it is believed that the planarization process is as follows. A passivation layer which chemically and/or electrically insulates the surface of the substrate is formed from the exposure of the substrate surface to the corrosion inhibitor, or other materials capable of forming a passivating or insulating film with the material to be removed, for example oxidizers, which can form oxidized layers, and/or chelating agents, which can for chelating layers. A bias is applied to remove material or enhance removal of conductive material, such as copper-containing material, from the substrate surface by anodic dissolution. [0080] The passivation layer insulates or suppresses the current for anodic dissolution, and mechanical abrasion is provided between the substrate and permeable disk to remove the passivation layer from areas of contact between the permeable disk and the substrate, such as from peaks formed on the substrate surface from excessive deposition or topography of underlying layers, and expose the underlying copper- containing material. The passivation layer is retained in areas of minimal or no contact, such as recesses or valleys in the substrate surface. The exposed copper-containing material is then electrically connected with the electrolyte solution and may be removed by anodic dissolution.
[0081] The selective removal of the passivation layer from peaks by contact with a polishing article, for example, conductive pad 203 under the applied bias while retaining the passivation layer in valleys, allows for increased dissolution and/or removal of excessive copper-containing materials from passivation-free portions of the substrate surface in relation to the removal of the conductive materials underlying the passivation layer. The increased dissolution and removal of the copper-containing materials without a passivation layer formed thereon allows for increased reduction of the peaks formed on the substrate surface compared to the valleys formed thereon, resulting in enhanced planarization of the substrate surface.
[0082] Additionally, removal of material by polishing and anodic dissolution allows for the substrate surface to be planarized with lower polishing pressures (i.e., about 2 psi or less) than conventional polishing. Lower polishing pressures correspond to lower shear forces and frictional forces which make this process suitable for planarizing substrate surfaces sensitive to contact pressures between the substrate and polishing pads, such as polishing low k dielectric materials, with reduced or minimal deformations and defect formation from polishing. Further, the lower shear forces and frictional forces have been observed to reduce or minimize formation of topographical defects, such as dishing and scratches, during polishing.
EXAMPLES:
[0083] The following non-limiting examples are provided to further illustrate embodiments of the invention. However, the examples are not intended to be all inclusive and are not intended to limit the scope of the invention described herein. Example 1 :
[0084] A copper plated wafer was polished and planarized using the following polishing composition within a modified cell on a Reflection® system, available from Applied Materials, Inc. of Santa Clara, California. about 6% by volume phosphoric acid; about 2% by volume ethylenediamine; about 2% by weight ammonium citrate; about 0.3% by weight benzotriazole; between about 2% and about 6% potassium hydroxide to provide a pH of about 5; about 0.45% by volume of hydrogen peroxide; and deionized water.
Example 2: [0085] A copper plated wafer was polished and planarized using the following polishing composition within a modified cell on a Reflection® system, available from Applied Materials, Inc. of Santa Clara, California. about 6% by volume phosphoric acid; about 2% by volume ethylenediamine; about 2% by weight ammonium citrate; about 0.3% by weight benzotriazole; between about 2% and about 6% potassium hydroxide to provide a pH of about 5; about 0.45% by volume of hydrogen peroxide; about 0.15% by weight of silica (SiO2) abrasive particles; and deionized water.
Example 3:
[0086] A copper plated wafer was polished and planarized using the following polishing composition within a modified cell on a Reflection® system, available from Applied Materials, Inc. of Santa Clara, California. about 6% by volume phosphoric acid; about 2% by volume ethylenediamine; about 2% by weight ammonium citrate; about 0.3% by weight benzotriazole; between about 2% and about 6% potassium hydroxide to provide a pH of about 6; about 0.1% by weight of silica (SiO2) abrasive particles; and deionized water.
[0087] While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

What is claimed is:
1. A composition for removing at least a conductive material from a substrate surface, comprising: an acid based electrolyte system; one or more chelating agents; one or more corrosion inhibitors; one or more inorganic or organic acid salts; one or more pH adjusting agents to provide a pH between about 2 and about 10; a polishing enhancing material selected from the group of abrasive particles, one or more oxidizers, and combinations thereof; and a solvent.
2. The composition of claim 1, wherein the acid based electrolyte system is selected from the group of phosphoric acid based electrolytes, sulfuric acid based electrolytes, perchloric acid based electrolytes, acetic acid based electrolytes, and combinations thereof.
3. The composition of claim 1 , wherein the one or more chelating agents include a compound having one or more functional groups selected from the group consisting of amine groups, amide groups, carboxylate groups, dicarboxylate groups, tri-carboxylate groups, and combinations thereof.
4. The ^composition of claim 3, wherein the one or more chelating agents are selected from the group consisting of ethylenediamine, hexadiamine, amino acids, ethylenediaminetetraacetic acid, methylformamide, citric acid, tartaric acid, succinic acid, oxalic acid, acetic acid, adipic acid, butyric acid, capric acid, caproic acid, caprylic acid, glutaric acid, glycolic acid, formaic acid, fumaric acid, lactic acid, lauric acid, malic acid, maleic acid, malonic acid, myristic acid, plamitic acid, phthalic acid, propionic acid, pyruvic acid, stearic acid, valeric acid, and combinations thereof
5. The composition of claim 1, wherein the one or more corrosion inhibitors have one or more azole groups.
6. The composition of claim 5, wherein the one or more corrosion inhibitors are selected from the group consisting of benzotriazole, imidazole, benzimidazole, triazole, and derivatives of benzotriazole, imidazole, benzimidazole, triazole, with hydroxy, amino, imino, carboxy, mercapto, nitro and alkyl substituted groups, and combinations thereof.
7. The composition of claim 1 , wherein the one or more inorganic or organic salts comprises ammonium salts of organic acids, potassium salts of organic acids, or combinations thereof.
8. The composition of claim 7, wherein the one or more inorganic or organic salts are selected from the group of ammonium oxalate, ammonium citrate, ammonium succinate, monobasic potassium citrate, dibasic potassium citrate, tribasic potassium citrate, potassium tartarate, ammonium tartarate, potassium succinate, potassium oxalate, and combinations thereof
9. The composition of claim 1 , wherein the one or more pH adjusting agents comprise: one or more acids selected from the group consisting of carboxylate organic acids, strong inorganic acids, and combinations thereof; phosphate-containing components; one or more bases selected from the group of potassium hydroxide, ammonium hydroxide, and combinations thereof; or combinations thereof.
10. The composition of claim 1, wherein the abrasives comprise inorganic abrasives, polymeric abrasives, or combinations thereof.
11. The composition of claim 1 , wherein the one or more oxidizers are selected from the group of peroxy compounds, salts of peroxy compounds, organic peroxides, sulfates, derivatives of sulfates, compounds containing an element in the highest oxidation state, and combinations thereof.
12. The composition of claim 1 , wherein the composition comprises: between about 1% and about 30% by weight (wt.%) in volume of total composition of the acid based electrolyte system; between about 0.1% and about 15% by volume or weight of the one or more chelating agents; between about 0.01 % and about 1.0% by volume or weight of the one or more corrosion inhibitors; between about 0.1% and about 15% by volume or weight of the one or more inorganic or organic acid salts; between about 0.1% and about 25% by volume or weight of the pH adjusting agent; between about 0.001 and about 30 by weight of abrasive particles; and the remainder a solvent.
13. The composition of claim 12, further comprising between about 0.1% and about 25% by volume or weight of the one or more oxidizers.
14. The composition of claim 1 , wherein the composition comprises: between about 1 % and about 30% by weight in volume of total composition of the acid based electrolyte system; between about 0.1% and about 15% by volume or weight of the one or more chelating agents; between about 0.001 % and about 5.0% by volume or weight of the one or more corrosion inhibitors; between about 0.1% and about 15% by volume or weight of the one or more inorganic or organic acid salts; between about 0.1% and about 25% by volume or weight of the pH adjusting agent; between about 0.1% and about 25% by volume or weight of the one or more oxidizers; and the reminder a solvent.
15. The composition of claim 1 , wherein the composition comprises: about 6% by volume phosphoric acid about 2% by volume ethylenediamine; about 0.3% by weight benzotriazole; about 2% by weight ammonium citrate; between about 2% and about 6% by volume of potassium hydroxide to provide a pH of about 5; between about 0.1% and about 0.15% by weight of silica abrasives; and deionized water.
16. The composition of claim 15, further comprising about 0.45% by volume of hydrogen peroxide.
17. The composition of claim 1 , wherein the composition comprises: about 6% by volume phosphoric acid about 2% by volume ethylenediamine; about 0.3% by weight benzotriazole; about 2% by weight ammonium citrate; between about 2% and about 6% by volume of potassium hydroxide to provide a pH of about 5; about 0.45% by volume of hydrogen peroxide; and deionized water.
18. A method of processing a substrate, comprising: disposing a substrate having a conductive material layer formed thereon in a process apparatus comprising a first electrode and a second electrode, wherein the substrate is in electrical contact with the second electrode; providing a polishing composition between the first electrode and the substrate, wherein the polishing composition comprises: an acid based electrolyte system; one or more chelating agents; one or more corrosion inhibitors; one or more inorganic or organic acid salts; one or more pH adjusting agents to provide a pH between about 2 and about 10; a polishing enhancing material selected from the group of abrasive particles, one or more oxidizers, and combinations thereof; and a solvent; applying a bias between the first electrode and the second electrode; and removing conductive material from the conductive material layer.
19. The method of claim 18, wherein the bias is applied to the substrate to initiate an anodic dissolution at a current density between about 0.01 milliamps/cm2 and about 100 milliamps/cm2.
20. The method of claim 18, wherein the acid based electrolyte system is selected from the group of phosphoric acid based electrolytes, sulfuric acid based electrolytes, perchloric acid based electrolytes, acetic acid based electrolytes, and combinations thereof.
21. The method of claim 18, wherein the one or more chelating agents include a compound having one or more functional groups selected from the group consisting of amine groups, amide groups, carboxylate groups, dicarboxylate groups, tri-carboxylate groups, and combinations thereof.
22. The method of claim 21 , wherein the one or more chelating agents are selected from the group consisting of ethylenediamine, hexadiamine, amino acids, ethylenediaminetetraacetic acid, methylformamide, citric acid, tartaric acid, succinic acid, oxalic acid, acetic acid, adipic acid, butyric acid, capric acid, caproic acid, caprylic acid, glutaric acid, glycolic acid, formaic acid, fumaric acid, lactic acid, lauric acid, malic acid, maleic acid, malonic acid, myristic acid, plamitic acid, phthalic acid, propionic acid, pyruvic acid, stearic acid, valeric acid, and combinations thereof
23. The method of claim 18, wherein the one or more corrosion inhibitors have one or more azole groups.
24. The method of claim 23, wherein the one or more corrosion inhibitors are selected from the group consisting of benzotriazole, imidazole, benzimidazole, triazole, and derivatives of benzotriazole, imidazole, benzimidazole, triazole, with hydroxy, amino, imino, carboxy, mercapto, nitro and alkyl substituted groups, and combinations thereof.
25. The method of claim 18, wherein the one or more inorganic or organic salts comprises ammonium salts of organic acids, potassium salts of organic acids, or combinations thereof.
26. The method of claim 25, wherein the one or more inorganic or organic salts are selected from the group of ammonium oxalate, ammonium citrate, ammonium succinate, monobasic potassium citrate, dibasic potassium citrate, tribasic potassium citrate, potassium tartarate, ammonium tartarate, potassium succinate, potassium oxalate, and combinations thereof
27. The method of claim 18, wherein the one or more pH adjusting agents comprise: one or more acids selected from the group consisting of carboxylate organic acids, strong inorganic acids, and combinations thereof; phosphate-containing components; one or more bases selected from the group of potassium hydroxide, ammonium hydroxide, and combinations thereof; or combinations thereof.
28. The method of claim 18, wherein the abrasives comprise inorganic abrasives, polymeric abrasives, or combinations thereof.
29. The method of claim 18, wherein the one or more oxidizers are selected from the group of peroxy compounds, salts of peroxy compounds, organic peroxides, sulfates, derivatives of sulfates, compounds containing an element in the highest oxidation state, and combinations thereof.
30. The method of claim 18, wherein the composition comprises: between about 1% and about 30% by weight (wt.%) in volume of total composition of the acid based electrolyte system; between about 0.1% and about 15% by volume or weight of the one or more chelating agents; between about 0.01% and about 1.0% by volume or weight of the one or more corrosion inhibitors; between about 0.1% and about 15% by volume or weight of the one or more inorganic or organic acid salts; between about 0.1% and about 25% by volume or weight of the pH adjusting agent; between about 0.01 and about 30 by weight of abrasive particles; and the remainder a solvent.
31. The method of claim 30, further comprising between about 0.1% and about 25% by volume or weight of the one or more oxidizers.
32. The method of claim 18, wherein the composition comprises: between about 1% and about 30% by weight in volume of total composition of the acid based electrolyte system; between about 0.1% and about 15% by volume or weight of the one or more chelating agents; between about 0.01% and about 1.0% by volume or weight of the one or more corrosion inhibitors; between about 0.1% and about 15% by volume or weight of the one or more inorganic or organic acid salts; between about 0.1% and about 25% by volume or weight of the pH adjusting agent; between about 0.1% and about 25% by volume or weight of the one or more oxidizers; and the reminder a solvent.
33. The method of claim 18, wherein the composition comprises: about 6% by volume phosphoric acid about 2% by volume ethylenediamine; about 0.3% by weight benzotriazole; about 2% by weight ammonium citrate; between about 2% and about 6% by volume of potassium hydroxide to provide a pH of about 5; between about 0.1% and about 0.15% by weight of silica abrasives; and deionized water.
34. The method of claim 33, further comprising about 0.45% by volume of hydrogen peroxide.
35. The method of claim 18, wherein the composition comprises: about 6% by volume phosphoric acid about 2% by volume ethylenediamine; about 0.3% by weight benzotriazole; about 2% by weight ammonium citrate; between about 2% and about 6% by volume of potassium hydroxide to provide a pH of about 5; about 0.45% by volume of hydrogen peroxide; and deionized water.
PCT/US2003/006058 2002-02-26 2003-02-26 Method and composition for polishing a substrate WO2003072672A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP03711289A EP1478708A1 (en) 2002-02-26 2003-02-26 Method and composition for polishing a substrate
KR10-2004-7013347A KR20040093725A (en) 2002-02-26 2003-02-26 Method and composition for polishing a substrate
JP2003571365A JP2005518670A (en) 2002-02-26 2003-02-26 Method and composition for polishing a substrate

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US35974602P 2002-02-26 2002-02-26
US60/359,746 2002-02-26

Publications (1)

Publication Number Publication Date
WO2003072672A1 true WO2003072672A1 (en) 2003-09-04

Family

ID=27766131

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/006058 WO2003072672A1 (en) 2002-02-26 2003-02-26 Method and composition for polishing a substrate

Country Status (6)

Country Link
EP (1) EP1478708A1 (en)
JP (1) JP2005518670A (en)
KR (1) KR20040093725A (en)
CN (1) CN1646649A (en)
TW (1) TWI307356B (en)
WO (1) WO2003072672A1 (en)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004111146A1 (en) * 2003-06-06 2004-12-23 Applied Materials, Inc. Polishing composition and method for polishing a conductive material
WO2005047409A1 (en) * 2003-11-14 2005-05-26 Showa Denko K.K. Polishing composition and polishing method
WO2006058504A1 (en) * 2004-12-03 2006-06-08 Anji Microelectronics (Shanghai) Co., Ltd Chemical mechanical polishing method and polishing composition
US7247567B2 (en) 2004-06-16 2007-07-24 Cabot Microelectronics Corporation Method of polishing a tungsten-containing substrate
WO2007085693A1 (en) * 2006-01-25 2007-08-02 Coefa Company Ltd Oy Method and system for cleaning an artillery gun barrel
EP1841558A2 (en) * 2005-01-21 2007-10-10 International Business Machines Corporation Method and composition for electro-chemical-mechanical polishing
JP2007528299A (en) * 2004-03-09 2007-10-11 スリーエム イノベイティブ プロパティズ カンパニー Insulation pad conditioner and method of use
KR100772929B1 (en) 2005-10-18 2007-11-02 테크노세미켐 주식회사 CMP slurry composition for copper damascene process
JP2008501240A (en) * 2004-05-28 2008-01-17 キャボット マイクロエレクトロニクス コーポレイション Electrochemical-mechanical polishing composition and method of using the same
US7582127B2 (en) 2004-06-16 2009-09-01 Cabot Microelectronics Corporation Polishing composition for a tungsten-containing substrate
US7670466B2 (en) 2004-02-20 2010-03-02 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing
US7972485B2 (en) 2000-08-30 2011-07-05 Round Rock Research, Llc Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US8048756B2 (en) 2002-08-29 2011-11-01 Micron Technology, Inc. Method for removing metal layers formed outside an aperture of a BPSG layer utilizing multiple etching processes including electrochemical-mechanical polishing
US8048287B2 (en) 2000-08-30 2011-11-01 Round Rock Research, Llc Method for selectively removing conductive material from a microelectronic substrate
US8110508B2 (en) 2007-11-22 2012-02-07 Samsung Electronics Co., Ltd. Method of forming a bump structure using an etching composition for an under bump metallurgy layer
US8603319B2 (en) 2004-09-01 2013-12-10 Micron Technology, Inc. Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media
US9214359B2 (en) 2000-08-30 2015-12-15 Micron Technology, Inc. Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
JP5026710B2 (en) * 2005-09-02 2012-09-19 株式会社フジミインコーポレーテッド Polishing composition
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
US7998866B2 (en) * 2006-09-05 2011-08-16 Cabot Microelectronics Corporation Silicon carbide polishing method utilizing water-soluble oxidizers
CN102403212B (en) * 2010-09-17 2014-12-10 长兴开发科技股份有限公司 Method for polishing silicon through hole wafer and polishing combination for the same
TWI575040B (en) * 2011-03-18 2017-03-21 長興開發科技股份有限公司 Polishing composition for polishing through-silicon via (tsv) wafer and use of the same
CN104023889B (en) * 2011-12-06 2017-04-12 国立大学法人大阪大学 Method for manufacturing solid oxide and device therefor
CN102634840B (en) * 2012-05-02 2014-08-13 浙江大学 Electrochemical polishing electrolytic solution of zirconium alloy and electrochemical polishing method of electrochemical polishing electrolytic solution
US8961807B2 (en) * 2013-03-15 2015-02-24 Cabot Microelectronics Corporation CMP compositions with low solids content and methods related thereto
EP3112436A4 (en) * 2014-02-26 2017-02-22 Fujimi Incorporated Polishing composition
US9914852B2 (en) 2014-08-19 2018-03-13 Fujifilm Planar Solutions, LLC Reduction in large particle counts in polishing slurries
CN104404611B (en) * 2014-11-28 2016-11-30 江门市瑞期精细化学工程有限公司 A kind of release agent for electrolytic of copper alloy surface coating and preparation method thereof
CN105273638B (en) * 2015-10-14 2017-08-29 盐城工学院 Anti- cleavage suspension lapping liquid of gallium oxide wafer and preparation method thereof
US10106705B1 (en) * 2017-03-29 2018-10-23 Fujifilm Planar Solutions, LLC Polishing compositions and methods of use thereof
CN109648165A (en) * 2018-12-13 2019-04-19 大连理工大学 A kind of electrolyte of copper micro-electrochemical machining jet stream processing and its preparation and application method
CN110524408A (en) * 2019-09-12 2019-12-03 江苏吉星新材料有限公司 A kind of sapphire wafer grinding method
CN113201285A (en) * 2021-04-29 2021-08-03 安徽应友光电科技有限公司 Precise grinding fluid for back plate of CVD (chemical vapor deposition) equipment, preparation process and processing method
CN114481286A (en) * 2021-12-28 2022-05-13 广东省科学院化工研究所 Solid particles for electrolytic polishing

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0811665A2 (en) * 1996-05-10 1997-12-10 Cabot Corporation Chemical mechanical polishing slurry for metal layers and films
WO1998004646A1 (en) * 1996-07-25 1998-02-05 Ekc Technology, Inc. Chemical mechanical polishing composition and process
WO1999053532A1 (en) * 1998-04-10 1999-10-21 Ferro Corporation Slurry for chemical-mechanical polishing metal surfaces
EP1103346A2 (en) * 1999-11-29 2001-05-30 Applied Materials, Inc. Method and apparatus for electrochemical-mechanical planarization
WO2001077241A2 (en) * 2000-04-05 2001-10-18 Applied Materials, Inc. Composition for metal cmp with low dishing and overpolish insensitivity
US6348076B1 (en) * 1999-10-08 2002-02-19 International Business Machines Corporation Slurry for mechanical polishing (CMP) of metals and use thereof

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0811665A2 (en) * 1996-05-10 1997-12-10 Cabot Corporation Chemical mechanical polishing slurry for metal layers and films
WO1998004646A1 (en) * 1996-07-25 1998-02-05 Ekc Technology, Inc. Chemical mechanical polishing composition and process
WO1999053532A1 (en) * 1998-04-10 1999-10-21 Ferro Corporation Slurry for chemical-mechanical polishing metal surfaces
US6348076B1 (en) * 1999-10-08 2002-02-19 International Business Machines Corporation Slurry for mechanical polishing (CMP) of metals and use thereof
EP1103346A2 (en) * 1999-11-29 2001-05-30 Applied Materials, Inc. Method and apparatus for electrochemical-mechanical planarization
WO2001077241A2 (en) * 2000-04-05 2001-10-18 Applied Materials, Inc. Composition for metal cmp with low dishing and overpolish insensitivity

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7972485B2 (en) 2000-08-30 2011-07-05 Round Rock Research, Llc Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US9214359B2 (en) 2000-08-30 2015-12-15 Micron Technology, Inc. Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates
US8048287B2 (en) 2000-08-30 2011-11-01 Round Rock Research, Llc Method for selectively removing conductive material from a microelectronic substrate
US8048756B2 (en) 2002-08-29 2011-11-01 Micron Technology, Inc. Method for removing metal layers formed outside an aperture of a BPSG layer utilizing multiple etching processes including electrochemical-mechanical polishing
WO2004111146A1 (en) * 2003-06-06 2004-12-23 Applied Materials, Inc. Polishing composition and method for polishing a conductive material
WO2005047409A1 (en) * 2003-11-14 2005-05-26 Showa Denko K.K. Polishing composition and polishing method
US8101060B2 (en) 2004-02-20 2012-01-24 Round Rock Research, Llc Methods and apparatuses for electrochemical-mechanical polishing
US7670466B2 (en) 2004-02-20 2010-03-02 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing
JP2007528299A (en) * 2004-03-09 2007-10-11 スリーエム イノベイティブ プロパティズ カンパニー Insulation pad conditioner and method of use
JP2008501240A (en) * 2004-05-28 2008-01-17 キャボット マイクロエレクトロニクス コーポレイション Electrochemical-mechanical polishing composition and method of using the same
US7582127B2 (en) 2004-06-16 2009-09-01 Cabot Microelectronics Corporation Polishing composition for a tungsten-containing substrate
US7247567B2 (en) 2004-06-16 2007-07-24 Cabot Microelectronics Corporation Method of polishing a tungsten-containing substrate
US8603319B2 (en) 2004-09-01 2013-12-10 Micron Technology, Inc. Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media
WO2006058504A1 (en) * 2004-12-03 2006-06-08 Anji Microelectronics (Shanghai) Co., Ltd Chemical mechanical polishing method and polishing composition
EP1841558A2 (en) * 2005-01-21 2007-10-10 International Business Machines Corporation Method and composition for electro-chemical-mechanical polishing
JP2008529272A (en) * 2005-01-21 2008-07-31 インターナショナル・ビジネス・マシーンズ・コーポレーション Methods and compositions for electrochemical mechanical polishing
EP1841558A4 (en) * 2005-01-21 2012-04-04 Ibm Method and composition for electro-chemical-mechanical polishing
KR100772929B1 (en) 2005-10-18 2007-11-02 테크노세미켐 주식회사 CMP slurry composition for copper damascene process
US8083858B2 (en) 2006-01-25 2011-12-27 Coefa Company Ltd Oy Method and system for cleaning an artillery gun barrel
WO2007085693A1 (en) * 2006-01-25 2007-08-02 Coefa Company Ltd Oy Method and system for cleaning an artillery gun barrel
US8110508B2 (en) 2007-11-22 2012-02-07 Samsung Electronics Co., Ltd. Method of forming a bump structure using an etching composition for an under bump metallurgy layer
US8395270B2 (en) 2007-11-22 2013-03-12 Samsung Electronics Co., Ltd. Etching composition for an under-bump metallurgy layer

Also Published As

Publication number Publication date
JP2005518670A (en) 2005-06-23
KR20040093725A (en) 2004-11-08
EP1478708A1 (en) 2004-11-24
TW200416271A (en) 2004-09-01
TWI307356B (en) 2009-03-11
CN1646649A (en) 2005-07-27

Similar Documents

Publication Publication Date Title
US7128825B2 (en) Method and composition for polishing a substrate
US7232514B2 (en) Method and composition for polishing a substrate
EP1478708A1 (en) Method and composition for polishing a substrate
US7390744B2 (en) Method and composition for polishing a substrate
US20040248412A1 (en) Method and composition for fine copper slurry for low dishing in ECMP
US7323416B2 (en) Method and composition for polishing a substrate
US7582564B2 (en) Process and composition for conductive material removal by electrochemical mechanical polishing
US20050092620A1 (en) Methods and apparatus for polishing a substrate
US20060175298A1 (en) Method and composition for polishing a substrate
US20060169597A1 (en) Method and composition for polishing a substrate
US20050145507A1 (en) Electrolyte with good planarization capability, high removal rate and smooth surface finish for electrochemically controlled copper CMP
US20060021974A1 (en) Method and composition for polishing a substrate
US20060219663A1 (en) Metal CMP process on one or more polishing stations using slurries with oxidizers
US20070295611A1 (en) Method and composition for polishing a substrate
US20060169674A1 (en) Method and composition for polishing a substrate
KR20070104479A (en) Polishing composition and method for polishing a conductive material
US20070254485A1 (en) Abrasive composition for electrochemical mechanical polishing
US20060249395A1 (en) Process and composition for electrochemical mechanical polishing
US20060196778A1 (en) Tungsten electroprocessing
US20060249394A1 (en) Process and composition for electrochemical mechanical polishing
WO2007047454A2 (en) Process and composition for electrochemical mechanical polishing

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): CN JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT SE SI SK TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020047013347

Country of ref document: KR

Ref document number: 2003571365

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2003711289

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 20038079402

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 1020047013347

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2003711289

Country of ref document: EP