WO2003079463A2 - Programmable structure, an array including the structure, and methods of forming the same - Google Patents

Programmable structure, an array including the structure, and methods of forming the same Download PDF

Info

Publication number
WO2003079463A2
WO2003079463A2 PCT/US2003/008152 US0308152W WO03079463A2 WO 2003079463 A2 WO2003079463 A2 WO 2003079463A2 US 0308152 W US0308152 W US 0308152W WO 03079463 A2 WO03079463 A2 WO 03079463A2
Authority
WO
WIPO (PCT)
Prior art keywords
electrode
ion conductor
programmable
voltage
microelectronic
Prior art date
Application number
PCT/US2003/008152
Other languages
French (fr)
Other versions
WO2003079463A3 (en
Inventor
Michael N. Kozicki
Original Assignee
Axon Technologies Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Axon Technologies Corporation filed Critical Axon Technologies Corporation
Priority to AU2003233406A priority Critical patent/AU2003233406A1/en
Publication of WO2003079463A2 publication Critical patent/WO2003079463A2/en
Publication of WO2003079463A3 publication Critical patent/WO2003079463A3/en

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • G11C11/5614Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency using conductive bridging RAM [CBRAM] or programming metallization cells [PMC]
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0009RRAM elements whose operation depends upon chemical change
    • G11C13/0011RRAM elements whose operation depends upon chemical change comprising conductive bridging RAM [CBRAM] or programming metallization cells [PMCs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/101Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including resistors or capacitors only
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of the switching material, e.g. layer deposition
    • H10N70/026Formation of the switching material, e.g. layer deposition by physical vapor deposition, e.g. sputtering
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/041Modification of the switching material, e.g. post-treatment, doping
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/041Modification of the switching material, e.g. post-treatment, doping
    • H10N70/046Modification of the switching material, e.g. post-treatment, doping by diffusion, e.g. photo-dissolution
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Patterning of the switching material
    • H10N70/063Patterning of the switching material by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Patterning of the switching material
    • H10N70/066Patterning of the switching material by filling of openings, e.g. damascene method
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/24Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
    • H10N70/245Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies the species being metal cations, e.g. programmable metallization cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/823Device geometry adapted for essentially horizontal current flow, e.g. bridge type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • H10N70/8416Electrodes adapted for supplying ionic species
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8822Sulfides, e.g. CuS
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8825Selenides, e.g. GeSe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/04Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using optical elements ; using other beam accessed elements, e.g. electron or ion beam
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/004Reading or sensing circuits or methods
    • G11C2013/0047Read destroying or disturbing the data
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2207/00Indexing scheme relating to arrangements for writing information into, or reading information out from, a digital store
    • G11C2207/10Aspects relating to interfaces of memory device to external buses
    • G11C2207/104Embedded memory devices, e.g. memories with a processing device on the same die or ASIC memory designs
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/10Resistive cells; Technology aspects
    • G11C2213/15Current-voltage curve
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/30Resistive cell, memory material aspects
    • G11C2213/34Material includes an oxide or a nitride
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/50Resistive cell structure aspects
    • G11C2213/51Structure including a barrier layer preventing or limiting migration, diffusion of ions or charges or formation of electrolytes near an electrode
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/72Array wherein the access device being a diode
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/77Array wherein the memory element being directly connected to the bit lines and word lines without any access device being used
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/79Array wherein the access device being a transistor
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C23/00Digital stores characterised by movement of mechanical parts to effect storage, e.g. using balls; Storage elements therefor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors

Definitions

  • EEPROM devices are relatively complex, are relatively difficult to manufacture, and are relatively large. Furthermore, a circuit including EEPROM devices must withstand the high voltages necessary to program the device. Consequently, EEPROM cost per bit of memory capacity is extremely high compared with other means of data storage.
  • Another disadvantage of EEPROM devices is that, although they can retain data without having the power source connected, they require relatively large amounts of power to program. This power drain can be considerable in a compact portable system powered by a battery.
  • Forming memory devices and the microprocessor on separate substrates may be undesirable for several reasons. For example, forming various types of memory on separate substrate may be relatively expensive, may require relatively long transmission paths to communicate between the memory devices and any associated electronic device, and may require a relatively large amount of room within a system. Accordingly, memory structures that may be formed on the same substrate as another electronic device and methods of forming the same are desired. Furthermore, this memory technology desirably operates at a relatively low voltage while providing high speed memory with high storage density and a low manufacturing cost.
  • the present invention provides a programmable device that is relatively easy and inexpensive to manufacture, which is relatively easy to program, and which can be variably programmed.
  • a lateral programmable structure is formed by forming an ion conductor layer overlying a substrate and forming two or more electrode structures in contact with the ion conductor layer.
  • the structure includes a conductive layer adjacent the ion conductor to facilitate rapid growth of a conductive region within or on the ion conductor.
  • a programmable device may be formed on a surface of a substrate.
  • the substrate includes a microelectronic circuit.
  • the memory device is formed overlying the microelectronic circuit and conductive lines between the micro electronic circuit and the memory are formed using conductive wiring schemes within the substrate and the memory device. This configuration allows transmission of more bits of information per bus line.
  • multiple bits of information are stored in a single programmable structure.
  • a programmable structure includes a floating electrode interposed between two additional electrodes.
  • multiple programmable devices are coupled together using a common electrode (e.g., a common anode or a common cathode).
  • Figure 16 and 17 are schematic illustrations of a portion of a memory device in accordance with an exemplary embodiment of the present invention.
  • the present invention generally relates to programmable microelectronic devices, to arrays including the devices, and to methods of forming the devices and arrays.
  • the TaS 2 film can include up to about 67 atomic percent silver.
  • the A x (MB 2 ) ⁇ -x material is preferably amorphous to prevent undesired diffusion of the metal though the material.
  • the amorphous material may be formed by, for example, physical vapor deposition of a target material comprising A x (MB 2 ) ⁇ -x .
  • ⁇ -Agl is another suitable material for the oxidizable electrode.
  • a barrier layer includes conducting material such as titanium nitride, titanium tungsten, a combination thereof, or the like.
  • the barrier may be electrically indifferent, i.e., it allows conduction of electrons through structure 100 or 200, but it does not itself contribute ions to conduction through structure 200.
  • An electrically indifferent barrier may reduce undesired electrodeposit growth during operation of the programmable device, and thus may facilitate an "erase” or dissolution of region 160 when a bias is applied which is opposite to that used to grow region 160.
  • use of a conducting barrier allows for the "indifferent" electrode to be formed of oxidizable material because the barrier prevents diffusion of the electrode material to the ion conductor.
  • conductor 140 may include network modifiers that affect mobility of ions through conductor 140.
  • materials such as metals (e.g., silver), halogens, halides, or hydrogen may be added to conductor 140 to enhance ion mobility and thus increase erase/write speeds of the structure.
  • ion conductor 140 may include a plurality of regions having different resistance values— for example, ion conductor 140 may include a first region proximate the oxidizable electrode having a relatively low resistance and a second region proximate the indifferent electrode having a relatively high resistance.
  • ion conductor 140 includes a glass having a composition of Ge 0 .i 7 Se 0 . 83 to Geo.2sSeo. 5.
  • conductive material such as metal is added to an ion conductor material, phase-separated regions of the metal-doped ion conductor may form. In this case, a macroscopic view of the doped ion conductor may appear glassy even though small, phases- separated regions are formed.
  • Insulating material 150 suitably includes material that prevents undesired diffusion of electrons and/or ions from structure 100.
  • material 150 includes silicon nitride, silicon oxynitride, polymeric materials such as polyimide or parylene, or any combination thereof.
  • a solid solution of As 2 S 3 -Ag is formed by depositing As 2 S 3 onto a substrate, depositing a thin film of Ag onto the As 2 S 3> , and exposing the films to light having energy greater than the optical gap of the As 2 S 3j — e.g., light having a wavelength of less than about 500 nanometers (e.g., light having a wavelength of about 436 nm at about 6.5 mW/cm 2 ).
  • the chalcogenide glass can incorporate over 30 atomic percent of silver and remain macroscopically glassy and microscopically phase separated.
  • One of the electrodes may be formed during ion conductor 140 doping by depositing sufficient metal onto an ion conductor material and applying sufficient electrical or thermal energy to the layers such that a portion of the metal is dissolved within the ion conductor material and a portion of the metal remains on a surface of the ion conductor to form an electrode (e.g., electrode 120). Regions of differing conductivity within ion conductor 140 can be formed using this technique by applying a sufficient amount of energy to the structure such that a first portion of the ion conductor proximate the soluble electrode contains a greater amount of conductive material than a second portion of the ion conductor proximate the indifferent electrode.
  • the solid solution may be formed by thermaUy dissolving the metal into the ion conductor at the temperature noted above; however, solid solutions formed exclusively from photodissolution are thought to be less homogeneous than films having similar metal concentrations formed using photodissolution and thermal dissolution.
  • WRITE OPERATION Figure 6 illustrates current-voltage characteristics of a programmable structure (e.g. structure 200) in accordance with the present invention.
  • via diameter, D is about 4 microns
  • conductor 140 is about 35 nanometers thick and formed of Ge 3 Se 7 -Ag (near Ag 8 Ge 3 Se 7 )
  • electrode 130 is indifferent and formed of nickel
  • electrode 120 is formed of silver
  • barrier 255 is a native nickel oxide.
  • N ⁇ Nr is applied to structure 200 for a period of time, which depends on energy supplied during the write operation, but is typically less than about 1 millisecond to return structure 200 to its "off state having a resistance well in excess of a million ohms.
  • a threshold voltage for erasing the structure is much lower than a threshold voltage for writing the structure because, unlike the write operation, the erase operation does not require electron tunneling through a barrier or barrier breakdown.
  • a write pulse will produce an ion current spike as region 160 forms, whereas a device that already includes a region 160 will not produce the ion current spike if the process has been limited by a lack of oxidizable silver.
  • This electrochemical control of the threshold voltage can be used to heal or regenerate an electrodeposit that has been thermally or electrochemically damaged or redistributed.
  • An electrodeposit can be formed in or on the electrolyte using a write voltage that is determined by the Ag concentration near the cathode, e.g., 0.32 N for a silver depleted cathode region.
  • a read voltage below the write voltage may be used to determine the state of the device without disturbing an off device.
  • the electrodeposit is subsequently "damaged" by thermal diffusion (e.g., excessive external device heating) so that the electrodeposit is no longer continuous or localized.
  • the increased cathodic silver results in a reduction of the re-write voltage, e.g., below the read voltage which is chosen not to disturb an off state but to be high enough to "regenerate" failed electrodeposits.
  • Figures 10 and 11 illustrate additional structures, which are configured to mitigate any unwanted diffusion of conductive material within an ion conductor, in accordance with further exemplary embodiments of the present invention.
  • the structures illustrated in Figures 10 and 11 include a relatively narrow ion conductor (compared to the height of the ion conductor) smrounded by a material which is less ion conductive than the ion conductor.
  • Structure 1400 includes electrodes 1420 and 1430, an ion conductor 1440, a contact
  • Figure 19 illustrates another array 1900 that uses structures 1902-1908, which store information in the form of a change in capacitance rather than a change in resistance.
  • a non-shorting electrodeposit i.e., one that does not extend all the way from anode to cathode.
  • a non-shorting electrodeposit can be the result of a reduced charge write pulse which produces a deposit that only extends a short distance from the cathode.
  • a dielectric/tunnel barrier that allows sufficient electron curcent to flow into the electrolyte but which prevents electrodeposition across the structure can also be used to prevent a shorting electrodeposit from forming.
  • electrodeposit growth across the electrolyte may be Umited by providing an appropriate amount of oxidizable silver at the anode for region 160 growth.
  • Ion conductor 2806 may be formed by, for example, photodissolving silver into a Ch- rich Ge-Ch glass, where Ch is S or Se, until the resulting ternary is "saturated" with the metal.
  • the silver significantly modifies the transport properties of the material and the availability of mobile silver throughout the electrolyte is high.
  • an ion current flows it is thought that the stiver moves as a coordinated motion of ions — essentially a "ripple effect" analogous to a shift register in electronics.
  • Figure 30 illustrates a structure 3000, including electrodes 3002, 3004, ion conductor 3006, and a paraUel conducting layer 3008.
  • Electrode 3008 is configured to facilitate a partial electrodeposit which only forms in or on part of the ion conductor. This may be achieved by having paraUel conductor 3008 extending only part of the way from the cathode, as illustrated. If this electrode is connected to the cathode (or to a separate supply of electrons), it will facUitate rapid and 2-dimensional growth up to the point on the electrolyte where it ends. Beyond this point, the growth will be fed mostly by electrons from the surface electrodeposit (now the cathode) and hence it wiU slow down. This way, we can grow only a partial 2-dimensional metal structure.
  • a non-bridging electrodeposit can also be attained in lateral devices or vertical devices with thick electrolyte by using the lowest possible write voltage, i.e., a few mN above the reduction/oxidation potential, to keep the writing field

Abstract

A microelectric programmable structure (100) suitable for storing information, and array including the structure and methods of forming and programming the structure are disclosed. The programmable structure generally includes an ion conductor (140) and a plurality of electrodes (120, 130). Electrical properties of the structure may be altered by applying energy to the structure, and thus information may be stored using the structure.

Description

PROGRAMMABLE STRUCTURE, ANARRAYINCLUDINGTHE STRUCTURE, AND METHODS OFFORMINGTHE SAME
1. Field of Invention The present invention generally relates to programmable microelectronic devices.
More particularly, the invention relates to programmable microelectronic structures and devices having an electrical property that can be variably programmed by manipulating an amount of energy supplied to the structure during a programming function and to memory arrays including the structures.
2. Background of the Invention
Memory devices are often used in electronic systems and computers to store information in the form of binary data. These memory devices may be characterized into various types, each type having associated with it various advantages and disadvantages. For example, random access memory ("RAM"), which may be found in personal computers, is typically volatile semiconductor memory; in other words, the stored data is lost if the power source is disconnected or removed. Dynamic RAM ("DRAM") is particularly volatile in that it must be "refreshed" (i.e., recharged) every few hundred milliseconds in order to maintain the stored data. Static RAM ("SRAM") will hold the data after one writing so long as the power source is maintained; once the power source is disconnected, however, the data is lost. Thus, in these volatile memory configurations, information is only retained so long as the power to the system is not turned off. In general, these RAM devices can take up significant chip area and therefore may be expensive to manufacture and consume relatively large amounts of energy for data storage. Accordingly, improved memory devices suitable for use in personal computers and the like are desirable.
Other storage devices such as magnetic storage devices (e.g., floppy disks, hard disks and magnetic tape) as well as other systems, such as optical disks, CD-RW and DND-RW are non-volatile, have extremely high capacity, and can be rewritten many times. Unfortunately, these memory devices are physically large, are shock/vibration-sensitive, require expensive mechanical drives, and may consume relatively large amounts of power. These negative aspects make such memory devices non-ideal for low power portable applications such as lap-top and palm-top computers, personal digital assistants ("PDAs"), and the like. Due, at least in part, to a rapidly growing numbers of compact, low-power portable computer systems and hand-held appliances in which stored information changes regularly, low energy read/write semiconductor memories have become increasingly desirable and widespread. Furthermore, because these portable systems often require data storage when the power is turned off, non- volatile storage device are desired for use in such systems.
One type of programmable semiconductor non- volatile memory device suitable for use in such systems is a programmable read-only memory ("PROM") device. One type of PROM, a write-once read-many ("WORM") device, uses an array of fusible links. Once programmed, the WORM device cannot be reprogrammed. Other forms of PROM devices include erasable PROM ("EPROM") and electrically erasable PROM (EEPROM) devices, which are alterable after an initial programming. EPROM devices generally require an erase step involving exposure to ultra violet light prior to programming the device. Thus, such devices are generally not well suited for use in portable electronic devices. EEPROM devices are generally easier to program, but suffer from other deficiencies. In particular, EEPROM devices are relatively complex, are relatively difficult to manufacture, and are relatively large. Furthermore, a circuit including EEPROM devices must withstand the high voltages necessary to program the device. Consequently, EEPROM cost per bit of memory capacity is extremely high compared with other means of data storage. Another disadvantage of EEPROM devices is that, although they can retain data without having the power source connected, they require relatively large amounts of power to program. This power drain can be considerable in a compact portable system powered by a battery.
Narious hand-held appliances such as PDAs, portable phones, and the like as well as other electronic systems generally include a memory device coupled to a microprocessor and/or microcontroller formed on a separate substrate. For example, portable computing systems include a microprocessor and one or more memory chips coupled to a printed circuit board.
Forming memory devices and the microprocessor on separate substrates may be undesirable for several reasons. For example, forming various types of memory on separate substrate may be relatively expensive, may require relatively long transmission paths to communicate between the memory devices and any associated electronic device, and may require a relatively large amount of room within a system. Accordingly, memory structures that may be formed on the same substrate as another electronic device and methods of forming the same are desired. Furthermore, this memory technology desirably operates at a relatively low voltage while providing high speed memory with high storage density and a low manufacturing cost.
SUMMARY OF THE INVENTION
The present invention provides improved microelectronic programmable devices, structures, and systems and methods of forming the same. More particularly, the invention provides programmable structures that can be variably programmed depending on an amount of energy used to program the device. Such structures can replace both traditional nonvolatile and volatile forms of memory and can be formed on the same substrate as and/or overlying another microelectronic device.
The ways in which the present invention addresses various drawbacks of now-known programmable devices are discussed in greater detail below. However, in general, the present invention provides a programmable device that is relatively easy and inexpensive to manufacture, which is relatively easy to program, and which can be variably programmed.
In accordance with one exemplary embodiment of the present invention, a programmable structure includes an ion conductor and at least two electrodes. The structure is configured such that when a bias is applied across two electrodes, one or more electrical properties of the structure change. In accordance with one aspect of this embodiment, a resistance across the structure changes when a bias is applied across the electrodes. In accordance with other aspects of this embodiment, a capacitance or other electrical property of the structure changes upon application of a bias across the electrodes. In accordance with a further aspect of this embodiment, an amount of change in the programmable property is manipulated by altering (e.g., thermally or electrically) an amount of energy used to program the device. One or more of these electrical changes and/or the amount of change may suitably be detected. Thus, stored information may be retrieved from a circuit including the structure.
In accordance with another exemplary embodiment of the invention, a programmable structure includes an ion conductor, at least two electrodes, and a barrier interposed between at least a portion of one of the electrodes and the ion conductor. In accordance with one aspect of this embodiment, the barrier material includes a material configured to reduce diffusion of ions between the ion conductor and at least one electrode. In accordance with another aspect, the barrier material includes an insulating or high-resistance material. In accordance with yet another aspect of this embodiment, the barrier includes material that conducts ions, but which is relatively resistant to the conduction of electrons.
In accordance with another exemplary embodiment of the invention, a programmable microelectronic structure is formed on a surface of a substrate by forming a first electrode on the substrate, depositing a layer of ion conductor material over the first electrode, and depositing conductive material onto the ion conductor material. In accordance with one aspect of this embodiment, a solid solution including the ion conductor and excess conductive material is formed by dissolving (e.g., via thermal and/or photodissolution) a portion of the conductive material in the ion conductor. In accordance with a further aspect, only a portion of the conductive material is dissolved, such that a portion of the conductive material remains on a surface of the ion conductor to form an electrode on a surface of the ion conductor material. In accordance with another aspect of this embodiment of the invention, a structure including a high-resistance region is formed by dissolving a portion of the electrode such that a portion of the ion conductor includes a high concentration of the electrode material and another portion of the ion conductor includes a low concentration of the electrode material, such that the portion of the ion conductor with a low concentration of the electrode material forms a high resistance region within the structure.
In accordance with another embodiment of the invention, a lateral programmable structure is formed by forming an ion conductor layer overlying a substrate and forming two or more electrode structures in contact with the ion conductor layer. In accordance with one aspect of this embodiment, the structure includes a conductive layer adjacent the ion conductor to facilitate rapid growth of a conductive region within or on the ion conductor.
In accordance with another embodiment of the invention, a programmable device may be formed on a surface of a substrate. In accordance with one aspect of this embodiment, the substrate includes a microelectronic circuit. In accordance with a further aspect of this embodiment, the memory device is formed overlying the microelectronic circuit and conductive lines between the micro electronic circuit and the memory are formed using conductive wiring schemes within the substrate and the memory device. This configuration allows transmission of more bits of information per bus line. In accordance with a further exemplary embodiment of the invention, multiple bits of information are stored in a single programmable structure. In accordance with one aspect of this embodiment, a programmable structure includes a floating electrode interposed between two additional electrodes. In accordance with yet another embodiment of the invention, multiple programmable devices are coupled together using a common electrode (e.g., a common anode or a common cathode).
In accordance with yet a further exemplary embodiment of the present invention, a capacitance of a programmable structure is altered by causing ions within an ion conductor of the structure to migrate.
In accordance with yet another embodiment of the invention, a volatility of a memory cell in accordance with the present invention is manipulated by altering an amount of energy used during a write process for the memory. In accordance with this embodiment of the invention, higher energy is used to form nonvolatile memory, while lower energy is used to form volatile memory. Thus, a single memory device, formed on a single substrate, may include both nonvolatile and volatile portions. In accordance with a further aspect of this embodiment, the relative volatility of one or more portions of the memory may be altered at any time by changing an amount of energy supplied to a portion of the memory during a write process .
In accordance with additional embodiment of the invention, the structures include an additional conductive layer proximate one or more of the electrodes to improve the speed of the read/write process.
In accordance with yet another embodiment of the invention, pulse mode programming is used to read and write information. In this case, information can be retrieved from the device using a destructive read or a destructive write process.
In accordance with yet another embodiment of the invention, a programmable structure includes an additional electrode for sensing a state (o or 1) of the programmable device.
BRIEF DESCRIPTION OF THE DRAWINGS A more complete understanding of the present invention may be derived by referring to the detailed description and claims, considered in connection with the figures, wherein like reference numbers refer to similar elements throughout the figures, and: Figures 1 and 2 are cross-sectional illustrations of a programmable structure formed on a surface of a substrate in accordance with the present invention; Figures 3-5 cross-sectional illustration of a programmable structure in accordance with another embodiment of the present invention, illustrating phase-separated ion conductors;
Figures 6, 8, and 9 are current-voltage diagrams illustrating current and voltage characteristics of the devices of the present invention;
Figures 7, 18, 19, and 25-27 illustrate arrays of programmable structures in accordance with the present invention;
Figures 10-14 illustrate programmable structures including barrier layers in accordance with exemplary embodiments of the invention; Figure 15 is a cross-sectional illustration of a programmable structure in accordance with yet another embodiment of the present invention;
Figure 16 and 17 are schematic illustrations of a portion of a memory device in accordance with an exemplary embodiment of the present invention;
Figures 20-24 are illustrations of programmable structures having an additional electrode for sensing a state of the structures;
Figures 28-30 are illustrations of programmable structures in accordance with additional embodiments of the invention; and
Figures 31 illustrates tunable resonator formed using a structure in accordance with the present invention. Skilled artisans will appreciate that elements in the figures are illustrated for simplicity and clarity and have not necessarily been drawn to scale. For example, the dimensions of some of the elements in the figures may be exaggerated relative to other elements to help to improve understanding of embodiments of the present invention.
DETAILED DESCRIPTION
The present invention generally relates to programmable microelectronic devices, to arrays including the devices, and to methods of forming the devices and arrays.
Figures 1 and 2 illustrate programmable microelectronic structures 100 and 200 formed on a surface of a substrate 110 in accordance with an exemplary embodiment of the present invention. Structures 100 and 200 include electrodes 120 and 130, an ion conductor 140, and optionally include buffer or barrier layers or regions 155 and/or 255.
Generally, structures 100 and 200 are configured such that when a bias greater than a threshold voltage (VT), discussed in more detail below, is applied across electrodes 120 and 130, the electrical properties of structure 100 change. For example, in accordance with one embodiment of the invention, as a voltage N ≥ NT is applied across electrodes 120 and 130, conductive ions within ion conductor 140 begin to migrate and form a region 160 having an increased conductivity compared to the bulk ion conductor (e.g., an electrodeposit) at or near the more negative of electrodes 120 and 130. As region 160 forms, the resistance between electrodes 120 and 130 decreases, and other electrical properties may also change.
In the absence of any barriers, which are discussed in more detail below, the threshold voltage required to grow region 160 from one electrode toward the other and thereby significantly reduce the resistance of the device is approximately a few hundred millivolts. If the same voltage is applied in reverse, region 160 will dissolve back into the ion conductor and the device will return to a high resistance state. In a similar fashion, an effective barrier height of a diode that forms between an ion conductor and an electrode can be reduced by growing region 160; thus current flow may be increased through the structure, even in the resistance of the structure is substantially the same. Structures 100 and 200 may be used to store information and thus may be used in memory circuits. For example, structure 100 or other programmable structures in accordance with the present invention may suitably be used in memory devices to replace DRAM, SRAM, PROM, EPROM, EEPROM devices, or any combination of such memory. In addition, programmable structures of the present invention may be used for other applications where programming or changing of electrical properties of a portion of an electrical circuit are desired.
In accordance with various embodiments of the invention, the volatility of programmable memory (e.g., cell 100 or 200) can be manipulated by altering an amount of energy (e.g., altering time, current, voltage, thermal energy, and/or the like) applied during a write process. In the case where region 160 forms during a write process, the greater the amount of energy (having a voltage greater than the threshold voltage for the write process) applied during the write process, the greater the growth of region 160 and hence the less volatile the memory. Conversely, relatively volatile, easily erased memory can be formed by supplying relatively little energy to the cell. Thus, relatively volatile memory can be formed using the same or similai- structures used to form nonvolatile memory, and less energy can be used to form the volatile/easily erased memory. Use of less energy is particularly desirable in portable electronic devices that depend on stored energy for operation. The volatile and nonvolatile memory may be formed on the same substrate and partitioned or separated from each other such that each partition is dedicated to either volatile or nonvolatile memory; or, an array of memory cells may be configured as volatile or nonvolatile memory using piOgramming techniques, such that the configuration (i.e., volatile or nonvolatile) of the memory can be altered by changing an amount of energy supplied during prograrnming the respective portions of the memory array.
Referring again to Figures 1 and 2, substrate 110 may include any suitable material. For example, substrate 110 may include semiconductive, conductive, semiinsulative, insulative material, or any combination of such materials. In accordance with one embodiment of the invention, substrate 110 includes an insulating material 112 and a portion 114 including a microelectronic devices formed using a portion of the substrate. Layer 112 and portion 114 may be separated by additional layers (not shown) such as, for example, layers typically used to form integrated circuits. Because the programmable structures can be formed over insulating or other materials, the programmable structures of the present invention are particularly well suited for applications where substrate (e.g., semiconductor material) space is a premium. In addition, forming a memory cell overlying a microelectronic device may be advantageous because such a configuration allows greater data transfer between an array of memory cells and the microelectronic device using, for example, conductive plugs formed within layers 112 and 150.
Electrodes 120 and 130 may be formed of any suitable conductive material. For example, electrodes 120 and 130 may be formed of doped polysilicon material or metal.
In accordance with one exemplary embodiment of the invention, one of electrodes 120 and 130 is formed of a material including a metal that dissolves in ion conductor 140 when a sufficient bias (N ≥ VT) is applied across the electrodes (an oxidizable electrode) and the other electrode is relatively inert and does not dissolve during operation of the programmable device (an indifferent electrode). For example, electrode 120 may be an anode during a write process and be comprised of a material including silver that dissolves in ion conductor 140 and electrode 130 may be a cathode during the write process and be comprised of an inert material such as tungsten, nickel, molybdenum, platinum, metal suicides, and the like. Having at least one electrode formed of a material including a metal which dissolves in ion conductor 140 facilitates maintaining a desired dissolved metal concentration within ion conductor 140, which in turn facilitates rapid and stable region 160 formation within ion conductor 140 or other electrical property change during use of structure 100 and/or 200. Furthermore, use of an inert material for the other electrode (cathode during a write operation) facilitates electrodissolution of any region 160 that may have formed and/or return of the programmable device to an erased state after application of a sufficient voltage.
During an erase operation, dissolution of any region 160 that may have formed preferably begins at or near the oxidizable electrode/region 160 interface. Initial dissolution of the region 160 at the oxidizable electrode/region 160 interface may be facilitated by forming structure 100 such that the resistance at the oxidizable electrode/region 160 interface is greater than the resistance at any other point along region 160, particularly, the interface between region 160 and the indifferent electrode. One way to achieve relatively low resistance at the indifferent electrode is to form the electrode of relatively inert, non-oxidizing material such as platinum. Use of such material reduces formation of oxides at the interface between ion conductor 140 and the indifferent electrode as well as the formation of compounds or mixtures of the electrode material and ion conductor 140 material, which typically have a higher resistance than ion conductor 140 or the electrode material.
Relatively low resistance at the indifferent electrode may also be obtained by forming a barrier layer between the oxidizable electrode (anode during a write operation) and the ion conductor, wherein the barrier layer is formed of material having a relatively high resistance. Exemplary high resistance materials are discussed in more detail below. Reliable growth and dissolution of region 160 can also be facilitated by providing a roughened indifferent electrode surface (e.g., a root mean square roughness of greater than about 1 nm) at the electrode/ion conductor interface. The roughened surface may be formed by manipulating film deposition parameters and/or by etching a portion of one of the electrode or ion conductor surfaces. During a write operation, relatively high electrical fields form about the spikes or peaks of the roughened surface, and thus regions 160 are more likely to form about the spikes or peaks. As a result, more reliable and uniform changes in electrical properties for an applied voltage across electrodes 120 and 130 may be obtained by providing a roughed interface between the indifferent electrode (cathode during a write operation) and ion conductor 140. Oxidizable electrode material may have a tendency to thermally dissolve or diffuse into ion conductor 140, particularly during fabrication and/or operation of structure 100. The thermal diffusion is undesired because it may reduce the resistance of structure 100 and thus reduce the change of an electrical property during use of structure 100. To reduce undesired diffusion of oxidizable electrode material into ion conductor 140 and in accordance with another embodiment of the invention, the oxidizable electrode includes a metal intercalated in a transition metal sulfϊde or selenide material such as Ax(MB2)ι.x, where A is Ag or Cu, B is S or Se, M is a transition metal such as Ta, N, and Ti, and x ranges from about 0.1 to about 0.7. The intercalated material mitigates undesired thermal diffusion of the metal (Ag or Cu) into the ion conductor material, while allowing the metal to participate in region 160 growth upon appUcation of a sufficient voltage across electrodes 120 and 130. For example, when silver is intercalated into a TaS2 film, the TaS2 film can include up to about 67 atomic percent silver. The Ax(MB2-x material is preferably amorphous to prevent undesired diffusion of the metal though the material. The amorphous material may be formed by, for example, physical vapor deposition of a target material comprising Ax(MB2-x. α-Agl is another suitable material for the oxidizable electrode. Similai- to the AX(MB2)1-X material discussed above, α-Agl can serve as a source of Ag during operation of structure 100 — e.g., upon application of a sufficient bias, but the silver in the Agl material does not readily thermally diffuse into ion conductor 140. Agl has a relatively low activation energy for conduction of electricity and does not require doping to achieve relatively high conductivity. When the oxidizable electrode is formed of Agl, depletion of silver in the Agl layer may arise during operation of structure 100, unless excess silver is provided to the electrode. One way to provide the excess silver is to form a silver layer adjacent the Agl layer. When interposed between a layer of silver and ion conductor 140, the Agl layer reduces thermal diffusion of Ag into ion conductor 140, but does not significantly affect conduction of Ag during operation of structure 100. In addition, use of Agl increases the operational efficiency of structure 100 because the Agl mitigates non- Faradaic conduction (conduction of electrons that do not participate in the electrochemical reaction).
In accordance with one embodiment of the invention, at least one electrode 120 and 130 is formed of material suitable for use as an interconnect metal. For example, electrode 130 may form part of an interconnect structure within a semiconductor integrated circuit. In accordance with one aspect of this embodiment, electrode 130 is formed of a material that is substantially insoluble in material comprising ion conductor 140. Exemplary materials suitable for both interconnect and electrode 130 material include metals and compounds such as tungsten, nickel, molybdenum, platinum, metal suicides, and the like. As noted above, programmable structures of the present invention may include one or more barrier or buffer layers 155, 255 interposed between at least a portion of ion conductor 140 and one of the electrodes 120, 130. Layers 155, 255 may include ion conductors such as AgxO, AgxS, AgxSe, AgxTe, where x > 2, AgyI, where x > 1, Cul2, CuO, CuS, CuSe, CuTe, GeO2, GezS1-z, GezSe1-z, GezTe1-z, Asz-z, AszSe1-z, AszTe1-z, where z is greater than or equal to about 0.1, SiOx, and combinations of these materials) inteiposed between ion conductor 140 and a metal layer such as silver.
Other materials suitable for buffer layers 155 and/or 255 include GeO2. Amorphous GeO2 is relatively porous an will "soak up" silver during operation of device 100, but will retard the thermal diffusion of silver to ion conductor 140, compared to structures or devices that do not include a buffer layer. When ion conductor 140 includes germanium, GeO2 may be formed by exposing ion conductor 140 to an oxidizing environment at a temperature of about 300 °C to about 800 °C or by exposing ion conductor 140 to an oxidizing environment in the presence of radiation having an energy greater than the band gap of the ion conductor material. The GeO2 may also be deposited using physical vapor deposition (from a GeO2 target) or chemical vapor deposition (from GeE t and an O2).
Buffer layers can also be used to increase the off resistance and "write voltage" by placing a high-resistance buffer layer (e.g., GeO2, SiOx, air, a vacuum, or the like) between ion conductor 140 and the indifferent electrode. In this case, the high-resistance buffer material allows metal such as silver to diffuse though or plate across the buffer and take part in the electrochemical reaction.
When the barrier layer between the indifferent electrode and the ion conductor includes a high resistance material, the barrier may include ions that contribute to electrodeposit growth or the barrier may be devoid of ions. In either case, the barrier must be able to transmit electrons, by conduction or tunneling, such that the redox reaction occurs, allowing for region 160 growth.
In some cases, an electrodeposit may form within the high-resistance barrier layer. Exemplary high-resistance barrier layers that support electrodeposit growth include gas- filled or vacuum gap regions, porous oxide films, of other high-resistance glassy materials, and semiconductor material as long as the barrier is thin enough to allow electron tunneling from the cathode to the ion conductor at reasonable voltages (e.g., less than or equal to about 1 volt), can support electron transport, and can allow ions to be reduced within the barrier material volume. Layers 155 and/or 255 may also include a material that restricts migration of ions between conductor 140 and the electrodes. In accordance with exemplary embodiments of the invention, a barrier layer includes conducting material such as titanium nitride, titanium tungsten, a combination thereof, or the like. The barrier may be electrically indifferent, i.e., it allows conduction of electrons through structure 100 or 200, but it does not itself contribute ions to conduction through structure 200. An electrically indifferent barrier may reduce undesired electrodeposit growth during operation of the programmable device, and thus may facilitate an "erase" or dissolution of region 160 when a bias is applied which is opposite to that used to grow region 160. In addition, use of a conducting barrier allows for the "indifferent" electrode to be formed of oxidizable material because the barrier prevents diffusion of the electrode material to the ion conductor.
Ion conductor 140 is formed of material that conducts ions upon application of a sufficient voltage. Suitable materials for ion conductor 140 include glasses and semiconductor materials. In general, ion conductors in accordance with the present invention can conduct ions without requiring a phase change, can conduct ions at a relatively low temperature (e.g., below 125 °C), can conduct ions at relatively low electrical currents, have a relatively high transport number, and exhibit relatively high ion conductivity. In one exemplary embodiment of the invention, ion conductor 140 is formed of chalcogenide material. However, other materials may be used as an ion conductor in accordance with various embodiments of the invention.
Ion conductor 140 may also suitably include dissolved conductive material. For example, ion conductor 140 may comprise a solid solution that includes dissolved metals and/or metal ions. In accordance with one exemplary embodiment of the invention, conductor 140 includes metal and/or metal ions dissolved in chalcogenide glass. An exemplary chalcogenide glass with dissolved metal in accordance with the present invention includes a solid solution of AsxS1-x-Ag, AsxSe1-x-Ag, AsxTe1-x-Ag, GexSeι-x-Ag, Gex-x-Ag, GexTeι-x-Ag, Asx-x-Cu, AsxSeι-x-Cu, AsxTeι-x-Cu, GexSe1-x-Cu, GexS1-x-Cu, and GexTe1-x- Cu where x ranges from about 0.1 to about 0.5, other chalcogenide materials including silver, copper, combinations of these materials, and the like. In addition, conductor 140 may include network modifiers that affect mobility of ions through conductor 140. For example, materials such as metals (e.g., silver), halogens, halides, or hydrogen may be added to conductor 140 to enhance ion mobility and thus increase erase/write speeds of the structure. Furthermore, as discussed in more detail below, ion conductor 140 may include a plurality of regions having different resistance values— for example, ion conductor 140 may include a first region proximate the oxidizable electrode having a relatively low resistance and a second region proximate the indifferent electrode having a relatively high resistance.
To increase the thermal stability of ion conductor, doped oxides and/or oxide-doped chalcogenides are used as ion conductor 140. Exemplary oxide dopants for chalcogenide materials include oxygen, GeO2, As2O3, Ag2O, Cu(1)2)O, and SiO2 and exemplary oxides suitable for doping include silver or copper doped GeO2, As2O3, Ag2O, Cu(i)2)O, WOx and other transition metal oxides and SiOx. In the case of doped oxides, ion conductor 140 is preferably less than about 10 nm thick. Ion conductor 140 may also include a filler material, which fills interstices or voids.
Suitable filler materials include non-oxidizable and non-silver based materials such as a nonconducting, immiscible silicon oxide and/or silicon nitride, having a cross-sectional dimension of less than about 1 nm, which do not contribute to the growth of region 160. In this case, the filler material is present in the ion conductor at a volume percent of up to about 5 percent to reduce a Ukelihood that a region 160 will spontaneously dissolve into the supporting ternary material as the device is exposed to elevated temperature, which leads to more stable device operation without compromising the performance of the device. Ion conductor 140 may also include filler material to reduce an effective cross-sectional area of the ion conductor. In this case, the concentration of the filler material, which may be the same filler material described above but having a cross-sectional dimension up to about 50 nm, is present in the ion conductor material at a concentration of up to about 50 percent by volume.
In accordance with one exemplary embodiment of the invention, ion conductor 140 includes a germanium-selenide glass with silver diffused in the glass. Germanium selenide materials are typically formed from selenium and Ge(Se)4/2 tetrahedra that may combine in a variety of ways. In a Se-rich region, Ge is 4-fold coordinated and Se is 2-fold coordinated, which means that a glass composition near Geo. oSeo.80 will have a mean coordination number of about 2.4. Glass with this coordination number is considered by constraint counting theory to be optimally constrained and hence very stable with respect to devitrification. The network in such a glass is known to self-organize and become stress- free, making it easy for any additive, e.g., silver, to finely disperse and form a mixed-glass solid solution. Accordingly, in accordance with one embodiment of the invention, ion conductor 140 includes a glass having a composition of Ge0.i7Se0.83 to Geo.2sSeo. 5. When conductive material such as metal is added to an ion conductor material, phase-separated regions of the metal-doped ion conductor may form. In this case, a macroscopic view of the doped ion conductor may appear glassy even though small, phases- separated regions are formed. Figures 3-5 illustrate portions of programmable structures, which include phase- separated ion conductor material, in accordance with various embodiments of the present invention. Figure 3 illustrates a phase-separated ion conductor region 302, including a high- resistance portion 304 and low-resistance portions 306. By way of particular example, when ion conductor 302 includes silver doped GexSe1-x, where x is less than about 0.33 and preferably ranges from about 0.17 to about 0.3 and more preferably has a value of about 0.17 to about 0.25, ion conductor separates into a first phase 304 of Ge-Se (e.g., Ge2Se3) having a relatively high resistivity of greater than about 103 ohm-cm and a second phase 306 of Ag2Se, which is much more conductive that Ge-Se portion 304, having an ion resistivity of about 0.3 ohm-cm and an ion mobility of about 10"4 cm2/N.s. In this case, phase-separated ion conductor 302 has an overall resistivity of about 100 ohm-cm and is stable at room temperature.
It is thought that phase-separated ion conductors facilitate large off resistance and high switching speed of programmable devices such as device 100. The reason for this is that the metal ions from the soluble electrode will migrate within region 304 to bridge low- resistance regions 306. Reduction of metal ions preferentially occurs in high-resistance regions 304 because the local field is highest in this area of ion conductor 302. This process is relatively fast because a typical gap between low-resistance portions is on the order of about 1 nm or less.
Other exemplary materials suitable for phase-separated ion-conductor material include silver and/or copper-doped germanium chalcogenides (e.g., sulfides and tellurides) and mixtures of these compounds, silver and/or copper-doped arsenic chalcogenides (e.g., selenides, sulfides, and tellurides) and mixtures of these compounds. Other exemplary phase-separate ion conductors include Ag2Se dispersed within Agl or within an ion conductive polymer such as poly(ethylene oxide) and additional exemplary low-resistance material suitable for portion 304 include SiOx, GeO2, and Ag2O. It should be noted, however, that any ion conductor material that includes a low-resistance phase dispersed within a low-resistance phase will function in accordance with the present invention as described herein. Figure 4 illustrates a structure 400, including a phase-separated ion conductor 402. Ion conductor 402 includes high-resistance portions 404 and low-resistance portions 406. Portions 404 and 406 may be formed of corresponding high-resistance and low-resistance material described above in connection with portions 304 and 306. Structure 400 may be formed by sequentially depositing high-resitivity material and low-resistance material. Although illustrated with only two high-resistance portions and two low-resistance portions, structures in accordance with the present invention may include any desired number of low and high-resistivity portions.
Similar to the operation of structure 300, the operation speed of structure 400 is primarily limited by the change of resistance of high-resistance portions 404. Accordingly, operational speed of structures 400 can be manipulated by altering a thickness of layer(s) 404.
Figure 5 illustrates another structure 500, which includes phase separated ion conductor material 502. Structure 500 is similar to structures 300 and 400, except that structure 500 includes particles 508, including a high-resistance portion 504 and a low- resistance portion 506, which may be formed of low and high-resistance materials described herein.
Referring again to Figures 1 and 2, in accordance with one exemplary embodiment of the invention, at least a portion of structure 100 is formed within a via of an insulating material 150. Forming a portion of structure 100 within a via of an insulating material 150 may be desirable because, among other reasons, such formation allows relatively small structures, e.g., on the order of 10 nanometers, to be formed. In addition, insulating material 150 facilitates isolating various structures 100 from other electrical components.
Insulating material 150 suitably includes material that prevents undesired diffusion of electrons and/or ions from structure 100. In accordance with one embodiment of the invention, material 150 includes silicon nitride, silicon oxynitride, polymeric materials such as polyimide or parylene, or any combination thereof.
A contact 165 may suitably be electrically coupled to one or more electrodes 120, 130 to facilitate forming electrical contact to the respective electrode. Contact 165 may be formed of any conductive material and is preferably formed of a metal, alloy, or composition including aluminum, tungsten, or copper.
In accordance with one embodiment of the invention, structure 100 is formed by forming electrode 130 on substrate 110. Electrode 130 may be formed using any suitable method such as, for example, depositing a layer of electrode 130 material, patterning the electrode material, and etching the material to form electrode 130. Insulating layer 150 may be formed by depositing insulating material onto electrode 130 and substrate 110 and forming vias in the insulating material using appropriate patterning and etching processes. Ion conductor 140 and electrode 120 may then be formed within insulating layer 150 by depositing ion conductor 140 material and electrode 120 material within the via. Such ion conductor and electrode material deposition may be selective - i.e., the material is substantially deposited only within the via, or the deposition processes may be relatively non-selective. If one or more non-selective deposition methods are used, any excess material remaining on a surface of insulating layer 150 may be removed using, for example, chemical mechanical polishing and/or etching techniques. Barrier layers 155 and/or 255 may similarly be formed using any suitable deposition and/or etch processes.
A solid solution suitable for use as ion conductor 140 may be formed in a variety of ways. For example, the solid solution may be formed by depositing a layer of conductive material such as metal over a chalcogenide glass without breaking a vacuum and exposing the metal and glass to thermal and/or photo dissolution processing. In accordance with one exemplary embodiment of the invention, a solid solution of As2S3-Ag is formed by depositing As2S3 onto a substrate, depositing a thin film of Ag onto the As2S3>, and exposing the films to light having energy greater than the optical gap of the As2S3j— e.g., light having a wavelength of less than about 500 nanometers (e.g., light having a wavelength of about 436 nm at about 6.5 mW/cm2). With this process the chalcogenide glass can incorporate over 30 atomic percent of silver and remain macroscopically glassy and microscopically phase separated. If desired, network modifiers may be added to conductor 140 during deposition of conductor 140 (e.g., the modifier is in the deposited material or present during conductor 140 material deposition) or after conductor 140 material is deposited (e.g., by exposing conductor 140 to an atmosphere including the network modifier).
In accordance with another embodiment of the invention, a solid solution may be formed by depositing one of the constituents from a source onto a substrate or another material layer and reacting the first constituent with a second constituent. For example, germanium (preferably amorphous) may be deposited onto a portion of a substrate and the germanium may be reacted with H2Se to form a Ge-Se glass. Similarly, arsenic can be deposited and reacted with the H2Se gas, or arsenic or germanium can be deposited and reacted with H2S gas. Silver or other metal can then be added to the glass as described above.
When used, oxides may be added to the ion conductor material by adding an oxide to a melt used to form a chalcogenide ion conductor source. For example, GeO2, As O3, Ag2O, Cu(1;2)O, and SiO2, can be added to Gex-x, AsxS1-x, GexSeι-x, AsxSe1-x, GexTei.x, AsxTeι-x to form an oxide-chalcogenide glass including up to several tens of atomic percent oxygen. The ternary or quaternary glass can then be used to deposit a film of similar composition on the device substrate by physical vapor deposition or similar technique. Alternatively, the oxygen-containing film may be formed in-situ using reactive deposition techniques in which the chalcogenide material is deposited in a reactive oxygen ambient to form an ion conductor including up to several tens of atomic percent of bound oxygen. Conductive material such as silver or copper can be incorporated into the source glass melt or introduced into the deposited film by thermal or photo-dissolution as discussed above.
Similarly, metal doped oxides may be deposited from a synthesized source which contains all the necessary elements in the correct proportions (e.g., AgxO (x>2), CuxO (x>2), Ag/Cu-GeO2, Ag/Cu-As2O3, or Ag/Cu-SiO2) or the silver or copper may be introduced into the binary oxide film (Ag2O, Cu(lj2)O, GeO2, As2O3, or SiO2) by thermal- or photo- dissolution from a thin surface layer of the metal. Alternatively, a base layer of Ag, Cu, Ge, As, or Si may be deposited first and then reacted with oxygen to form the appropriate oxide and then diffused with Ag or Cu as discussed above. The oxygen reaction could be purely thermal or plasma-assisted, the latter producing a more porous oxide.
One of the electrodes may be formed during ion conductor 140 doping by depositing sufficient metal onto an ion conductor material and applying sufficient electrical or thermal energy to the layers such that a portion of the metal is dissolved within the ion conductor material and a portion of the metal remains on a surface of the ion conductor to form an electrode (e.g., electrode 120). Regions of differing conductivity within ion conductor 140 can be formed using this technique by applying a sufficient amount of energy to the structure such that a first portion of the ion conductor proximate the soluble electrode contains a greater amount of conductive material than a second portion of the ion conductor proximate the indifferent electrode. This process is self limiting if ion starting ion conductor layer is thick enough so that a portion of the film becomes saturated and a portion of the film is unsaturated. In accordance with alternative embodiments of the invention, solid solutions containing dissolved metals may be directly deposited onto substrate 110 and the electrode then formed overlying the ion conductor. For example, a source including both chalcogenide glass and conductive material can be used to form ion conductor 140 using physical vapor deposition or similar techniques.
An amount of conductive material such as metal dissolved in an ion conducting material such as chalcogenide may depend on several factors such as an amount of metal available for dissolution and an amount of energy applied during the dissolution process. However, when a sufficient amount of metal and energy are available for dissolution in chalcogenide material using photodissolution, the dissolution process is thought to be self limiting, substantially halting when the metal cations have been reduced to their lowest oxidation state. In the case of As2S3-Ag, this occurs at Ag4As2S3 = 2Ag2S + As2S, having a silver concentration of about 47 atomic percent. If, on the other hand, the metal is dissolved in the chalcogenide material using thermal dissolution, a higher atomic percentage of metal in the solid solution may be obtained, provided a sufficient amount of metal is available for dissolution.
In accordance with a further embodiment of the invention, the solid solution is formed by photodissolution to form a macrohomogeneous ternary compound and additional metal is added to the solution using thermal diffusion (e.g., in an inert environment at a temperature of about 85 °C to about 150 °C) to form a solid solution containing, for example, about 30 to about 50, and preferably about 34 atomic percent silver. Ion conductors having a metal concentration above the photodissolution solubility level facilitates formation of regions 160 that are thermally stable at operating temperatures (typically about 85 °C to about 150 °C) of devices 100 and 200. Alternatively, the solid solution may be formed by thermaUy dissolving the metal into the ion conductor at the temperature noted above; however, solid solutions formed exclusively from photodissolution are thought to be less homogeneous than films having similar metal concentrations formed using photodissolution and thermal dissolution.
Information may be stored using programmable structures of the present invention by manipulating one or more electrical properties of the structures. For example, a resistance of a structure may be changed from a "0" or off state to a "1" or on state during a suitable write operation. Similarly, the device may be changed from a "1" state to a "0" state during an erase operation. In addition, as discussed in more detail below, the structure may have multiple programmable states such that multiple bits of information are stored in a single structure.
WRITE OPERATION Figure 6 illustrates current-voltage characteristics of a programmable structure (e.g. structure 200) in accordance with the present invention. In the illustrated embodiment, via diameter, D, is about 4 microns, conductor 140 is about 35 nanometers thick and formed of Ge3Se7-Ag (near Ag8Ge3Se7), electrode 130 is indifferent and formed of nickel, electrode 120 is formed of silver, and barrier 255 is a native nickel oxide. As illustrated in Figure 6, current through structure 200 in an off state (curve 610) begins to rise upon application of a bias of over about one volt; however, once a write step has been performed (i.e., an electrodeposit has formed), the resistance through conductor 140 drops significantly (i.e., to about 200 ohms), illustrated by curve 620 in Figure 6. As noted above, when electrode 130 is coupled to a more negative end of a voltage supply, compared to electrode 120, a conductive region begins to form near electrode 130 and grow toward electrode 120. An effective threshold voltage (i.e., voltage required to cause growth of the conductive region and to break through barrier 255, thereby coupUng electrodes 120, 130 together) is relatively high because of barrier 255. In particular, a voltage N>Nχ must be applied to structure 200 sufficient to cause electrons to tunnel through barrier 255 (when barrier 255 comprises an insulating layer) to form the conductive region and to overcome the barrier (e.g., by tunneling through or leakage) and conduct through conductor 140 and at least a portion of barrier 255.
In accordance with alternate embodiments of the invention, where no insulating barrier layer is present, an initial "write" threshold voltage is relatively low because no insulative barrier is formed between, for example, ion conductor 140 and either of the electrodes 120, 130.
As noted above, the relative volatihty of the memory structures of the present invention may be altered by applying different amounts of energy to the structures during a write process. For example, a relatively high current pulse of a few hundred microamperes for a period of about several hundred nanoseconds may be applied to the structures illustrated in Figures 1 and 2 to form a relatively nonvolatile memory cell. Alternatively, the same current may be supplied to the same or similar memory structure for a shorter amount of time, e.g., several nanoseconds to form a relatively volatUe memory structure. In either case, the memory of the present invention can be programmed at relatively high speeds and even the "volatile" memory is relatively nonvolatile compared to traditional DRAM. For example, the volatile memory may operate at speed comparable to DRAM and only require refreshing every several hours.
READ OPERATION
A state of a memory cell (e.g., 1 or 0) may be read, without significantly disturbing the state, by, for example, applying a forward or reverse bias of magnitude less than a voltage threshold (about 1.4 V for a structure illustrated in Figure 6) for electrodeposition or by using a current limit which is less than or equal to the minimum programming current (the current which will produce the highest of the on resistance values). A current limited (to about 1 milliamp) read operation is iUustrated in Figure 6. In this case, the voltage is swept from 0 to about 2 V and the current rises up to the set limit (from 0 to 0.2 N), indicating a low resistance (ohmic/linear current-voltage) "on" state. Another way of performing a non-disturb read operation is to apply a pulse, with a relatively short duration, which may have a voltage higher than the electrochemical deposition threshold voltage such that no appreciable Faradaic current flows, i.e., nearly aU the current goes to polarizing/charging the device and not into the electrodeposition process.
In accordance with various embodiments of the invention, circuits including the programmable structures include temperature compensation devices to mitigate effects of temperature variation on the performance of the programmable device. One exemplary temperature compensation circuit includes a programmable structure having a known erased state. In this case, during a read operation, a progressively increasing voltage is apphed to a programmable structure having an unknown state as well as to the structure having the known erased state. If the unknown structure has been written to, it will switch on before the known erased device and if the unknown structure is in an erased state, the two devices will switch on at approximately the same time. Alternatively, a temperature compensation circuit can be used to produce a comparison voltage or current to be compared to a voltage or current produced by a programmable structure of an unknown state during a read process.
ERASE OPERATION
A programmable structure (e.g., structure 200) may suitably be erased by reversing a bias applied during a write operation, wherein a magnitude of the applied bias is equal to or greater than the threshold voltage for electrodeposition in the reverse direction. In accordance with an exemplary embodiment of the invention, a sufficient erase voltage
(N≥Nr) is applied to structure 200 for a period of time, which depends on energy supplied during the write operation, but is typically less than about 1 millisecond to return structure 200 to its "off state having a resistance well in excess of a million ohms. In cases where the programmable structure does not include a barrier between conductor 140 and electrode 120, a threshold voltage for erasing the structure is much lower than a threshold voltage for writing the structure because, unlike the write operation, the erase operation does not require electron tunneling through a barrier or barrier breakdown.
PULSE MODE READ/WRITE
In accordance with an alternate embodiment of the invention, pulse mode programming is used to write to and read from a programmable structure. In this case, similar to the process described above, region 160 forms during a write process; however, unlike the process described above, at least a portion region 160 is removed or dissolved during a read operation. During an erase/read process, the magnitude of the current pulse is detected to determine the state (1 or 0) of the device. If the device had not previously been written to or has previously been erased, no ion current pulse will be detected at or above the reduction/oxidation potential of the structure. But, if the device is in a written state, an elevated cuπ-ent will be detected during the destructive read/erase step. Because this is a destructive read operation, information must be written to each structure after each read process — similar to DRAM read/write operations. However, unlike DRAM devices, the structures of the present invention are stable enough to aUow a range of values to be stored (e.g., various amounts of region 160). Thus, a partially destructive read that decrease, but does not completely eliminate region 160, can be used. In accordance with an alternate aspect of this embodiment, a destructive write process rather than a destructive erase process can be used read the device. In this case, if the cell is in an "off state, a write pulse will produce an ion current spike as region 160 forms, whereas a device that already includes a region 160 will not produce the ion current spike if the process has been limited by a lack of oxidizable silver.
Figure 7 illustrates an array 700 of programmable structure 702-708 that form rows Rι-R3 and column Cι-C3 of programmable structures. When pulse-mode programming is employed to read and write to array 700, addition diodes and transistors typically used for structure isolation, are not required, so long as regions 160 of the structures are not grown to an extent that they short the structure. A non-bridging region can be obtained by using limited write times, limiting an amount of oxidazable material at the oxidizable electrode that can contribute to region 160 formation, and/or using a resistive region between the electrodes that allows sufficient electron current, but prevents or decreases electrodeposition throughout ion conductor 140.
In array 700, a non-bridging region 160 is grown in the selected structure by, for example, biasing C2 = + 2, R2 = -Nt/2, where Nt is the reduction/oxidation potential, with all other rows and columns held at or near zero volts, so that no other device in the array sees the fuU forward write voltage. The resistance remains high after writing as the electrodeposit (region 160) does not bridge the electrodes. The read/erase bias is C2 = -V< 2, R2 = +Nt/2 with all others held at zero volts. If the device is in the off state and the erase pulse is applied, very little current will flow through the high resistance electrolyte. If, however, a partial electrodeposit has been formed by a write operation, an erase pulse wUl produce an ion current spike through C2 and R2 as the electrodeposited metal is oxidized and re-plated on the negative electrode (the oxidizable anode in the write process). This current spike can be sensed and therefore the state of the selected cell can be determined by this process. Note that it is also possible to use full rather than half or partial voltages when the non-selected rows and columns are allowed to float (via the use of tri-state drivers); e.g., C2 = +Nt and R2 = 0 for write, C2 = 0 and R2 = +Nt for read/erase.
CONTROL OF OPERATIONAL PARAMETERS
The concentration of conductive material in the ion conductor can be controlled by applying a bias across the programmable device. For example, metal such as silver may be taken out of solution by applying a negative voltage in excess of the reduction potential of the conductive material. Conversely, conductive material may be added to the ion conductor (from one of the electrodes) by applying a bias in excess of the oxidation potential of the material. Thus, for example, if the conductive material concentration is above that desired for a particular device application, the concentration can be reduced by reverse biasing the device to reduce the concentration of the conductive material. Similarly, metal may be added to the solution from the oxidizable electrode by applying a sufficient forward bias. Additionally, it is possible to remove excess metal build up at the indifferent electrode by applying a reverse bias for an extended time or an extended bias over that required to erase the device under normal operating conditions. Control of the conductive material may be accomplished automaticaUy using a suitable microprocessor.
With particular reference to Figures 3-5, a partial write or a partial erase caused by a forward or reverse programming pulse of insufficient duration and/or cuπ-ent to introduce enough silver to significantly reduce the resistance of the high-resistance regions will cause additional silver to migrate from the soluble electrode the high-resistance portions. The conductivity and activation energy in these zones are altered by this excess silver even though the device will appear to be in a high resistance state. The change in activation energy results in higher ion mobility and hence this partial preprogramming results in much faster switching than in a device which had been fully written or erased, which in turn allows appropriately scaled devices to operate at SRAM speeds (in the nanosecond or less range).
In addition, in a written device that has "faded" due to thermal diffusion of the electrodeposited material away from the low resistance pathway, the local excess silver will still promote a lower activation energy but in this case, there is also sufficient silver present that the voltage required to reform the conducting Unk wiU be lower than in the case of a normal write. The "reclosure" voltage will be several tens of mN lower than the normal write threshold potential of the system since the silver required to close the Unk is already in the material and does not have to be released from the oxidizable electrode. This means that a simple "read" operation involving a short pulse below the write threshold potential will be sufficient to regenerate a faded on-state in the device but wUl be insufficient to disturb a device that has been fully erased (and hence does not have the excess silver). This will allow faded lightly written (low programming current) states to be automatically regenerated by the read operation, thereby extending the effective retention of the devices.
This technique may also be used to form one of the electrodes from material within the ion conductor material. For example, silver from the ion conductor may be plated out to form the oxidizable electrode. This allows the oxidizable electrode to be formed after the device is fully formed and thus mitigates problems associated with conductive material diffusing from the oxidizable electrode during manufacturing of the device.
The threshold voltage of programmable devices may be manipulated in accordance with various embodiments of the present invention. Manipulation of the threshold voltage allows configuration of the programmable devices for desired read and write voltages. In general, as noted above, the threshold voltage depends on, among other things, an amount of conductive material present in the ion conductor and/or any baπier. One way to manipulate the electrodeposition threshold voltage is to manipulate the conductive material dispersed within the ion conductor material. Another technique for manipulating the threshold voltage is to alter an amount of oxidizable material at or near the indifferent electrode. In this case, the oxidizable metal at the cathode can be altered by first forming an electrodeposit at or near the indifferent electrode and then applying a reverse bias sufficient to dissolve a portion of the electrodeposit. The threshold voltage generally goes down as the amount of oxidizable metal at the cathode goes up. For example, in the case where the ion conductor is Ge0.3Seo.7 and the soluble electrode is silver, the threshold voltage for electrodeposit formation is about 310 ±10 mN for no predeposited silver to about 90 ± 10 mN for a silver saturated electrode. Alternatively, a write process may be used to form a desired electrodeposit at or near the cathode. This electrochemical control of the threshold voltage can be used to heal or regenerate an electrodeposit that has been thermally or electrochemically damaged or redistributed. As an example of how this would work, consider the following: 1. An electrodeposit can be formed in or on the electrolyte using a write voltage that is determined by the Ag concentration near the cathode, e.g., 0.32 N for a silver depleted cathode region.
2. A read voltage below the write voltage may be used to determine the state of the device without disturbing an off device. 3. The electrodeposit is subsequently "damaged" by thermal diffusion (e.g., excessive external device heating) so that the electrodeposit is no longer continuous or localized.
4. The Ag concentration near the cathode will still be higher than in the case of an unwritten or completely erased device as the sUver cannot diffuse against the diffusion gradient.
5. The increased cathodic silver results in a reduction of the re-write voltage, e.g., below the read voltage which is chosen not to disturb an off state but to be high enough to "regenerate" failed electrodeposits.
Therefore, a read operation can be used to automatically re-electrodeposit the silver and regenerate the data state stored in the device via the electrodeposited material. This will ensure that the programmable structures effectively retain data for extended periods of time.
Another technique for manipulating threshold voltage is by forming a layer of material between the indifferent electrode and the ion conductor layer, wherein the layer of material is capable of conducting ions and forming an electrodeposit and has a lower concentration of oxidizable material than the ion conductor. Exemplary materials suitable for this layer include undoped or lightly doped chalcogenide materials such as Ge-S or Ge- Se and a variety of other undoped or lightly doped ion conductor materials that have a low solubility level for the oxidizable material. The material layer between the indifferent electrode and the ion conductor reduces oxidizable material build up neat the indifferent electrode during processing and operation of the programmable structure.
An example of a write operation on a device which includes a silver saturated sulfur- rich Ge-S starting glass and a layer of relatively low silver content, less than 10 nm thick, between the indifferent electrode and the saturated ion conductor is illustrated in Figure 8 (cuπent vs. voltage for a 1 μA programming current) and Figure 9 (resistance vs. voltage for a 1 μA programming current). In the Ulustrated case, the write voltage Ues around 320 mN. Note that this layer could be deposited separately from the Ag-rich electrolyte or can be formed, as described above, by stopping the photodiffusion before the oxidazable metal penetrates the entire film thickness.
To mitigate undesired diffusion of an electrodeposit formed during a write process, it may be desirable to saturate the ion conductor with conductive material during a write process, such that the resistance of the structure does not substantially change due to diffusion of conductive material. In the case where the ion conductor comprises germanium . selenide doped with silver and the electrodeposit is formed from silver, the approximate minimum "saturation" programming current to maintain electrodeposition until the electrolyte has a uniform silver concentration and conesponding resistance as a function of electrolyte area is given below for a 10 nm thick silver-doped germanium selenide solid electrolyte.
Area (nm/nm Saturation current (μA) Resistance kΩ
1 0.3 1,000
10 3 100
100 30 10
1000 300 1
10,000 3,000 0.1 Figures 10 and 11 illustrate additional structures, which are configured to mitigate any unwanted diffusion of conductive material within an ion conductor, in accordance with further exemplary embodiments of the present invention. In general, the structures illustrated in Figures 10 and 11 include a relatively narrow ion conductor (compared to the height of the ion conductor) smrounded by a material which is less ion conductive than the ion conductor.
Structure 900 includes a first electrode 902, an insulating layer 904, a diffusion banϊer 906, an ion conductor 908 and a second electrode 910. Electrodes 902 and 910, insulating layer 904, and ion conductor 908 may be formed of the corresponding materials and using the same techniques described above in connection with Figures 1 and 2. The barriers may be configured such that only one "column" of ion conductor material spans between the electrodes or the structures may include a plurality of ion conductor columns that span between the electrodes. In the latter case, the electrodeposition may only occur in one of the columns if the current is appropriately limited. In either case, because the column diameter is relatively small (e.g., less than about 50 nm) the current required to saturate the region is also relatively small (e.g., about 30 μA).
Diffusion barrier 906 can be formed by conformally depositing a barrier material 1002 such as silicon nitride or any of the barrier materials discussed above in connection with layer 155 and 255 and illustrated in Figure 11 and removing a portion of the barrier material (e.g., using an anisotropic etch) to form barrier 906 illustrated in Figure 10. Ion conductor 908 can then be formed using deposition and etch or damascene techniques.
Another technique for forming diffusion barriers is illustrated in Figures 12 and 13. In this case, porous barrier material 1102 is formed on a surface of a substrate 1104 and ion conductor material is formed in the porous regions of barrier material 1102. Alternatively, porous material 1102 can be used as an etch mask to etch ion conductor material (using an anisotropic etch) to form columns of ion conductor material. Spaces between to columns are then filled with appropriate barrier maters such as silicon nitride. Electrodes may be formed about one or more columns of ion conductor material as described herein to form programmable devices of the present invention. Figure 14 illustrates yet another structure 1300 suitable for forming diffusion- resistant programmable structures. Structure 1300 includes a first electrode 1302, a second electrode 1304, an ion conductor 1306, and a material layer 1308 that favors electrodeposit growth substantially in only one direction. Electrodes 1302 and 1304 and ion conductor 1306 may be formed of any of the conesponding electrodes and ion conductors described herein. Suitable exemplary materials for material layer 1308 include thin (e.g. a few nm) amorphous films of oxides and nitrides (e.g., SiO2, GeO2, Si3N4) and semiconductors (Si, Ge) and polycrystaUine films of oxides, nitrides, and semiconductors. In accordance with one aspect of this embodiment of the invention, layer 1308 is formed between ion conductor 1306 and the indifferent electrode.
As noted above, in accordance with yet another embodiment of the invention, multiple bits of data may be stored within a single programmable structure by controUing a size of region 160 which is formed during a write process. A size of region 160 that forms during a write process depends on a number of coulombs or charge supplied to the structure during the write process, and may be controUed by using a current limit power source. In this case, a resistance of a programmable structure is governed by Equation 1, where Ron is the "on" state resistance, Nr is the threshold voltage for electrodeposition , and ILI is the maximum cuπent aUowed to flow during the write operation.
NT Ron =
ILIM
Equation 1
In practice, the limitation to the amount of information stored in each cell wUl depend on how stable each of the resistance states is with time. For example, if a structure with a programmed resistance range of about 3.5 kΩ and a resistance drift over a specified time for each state is about ±250 Ω, about 7 equaUy sized bands of resistance (7 states) could be formed, allowing 3 bits of data to be stored within a single structure. In the limit, for near zero drift in resistance in a specified time limit, information could be stored as a continuum of states, i.e., in analog form.
A portion of an integrated circuit 1402, including a programmable structure 1400, configured to provide additional isolation from electronic components is iUustrated in Figure
15. Structure 1400 includes electrodes 1420 and 1430, an ion conductor 1440, a contact
1460, and an amorphous sUicon diode 1470, such as a Schottky or p-n junction diode, formed between contact 1460 and electrode 1420. Rows and columns of programmable structures 1400 may be fabricated into a high density configuration to provide extremely large storage densities suitable for memory circuits. In general, the maximum storage density of memory devices is limited by the size and complexity of the column and row decoder circuitry. However, a programmable structure storage stack can be suitably fabricated overlying an integrated circuit with the entire semiconductor chip area dedicated to row/column decode, sense amplifiers, and data management circuitry (not shown) since structure 1400 need not use any substrate real estate. In this manner, storage densities of many gigabits per square centimeter can be attained using programmable structures of the present invention. Utilized in this manner, the programmable structure is essentially an additive technology that adds capabUity and functionality to existing semiconductor integrated circuit technology.
Figure 16 schematically illustrates a portion of a memory device including structure 1400 having an isolating p-n junction 1470 at an intersection of a bit Une 1510 and a word line 1520 of a memory circuit. Figure 17 illustrates an alternative isolation scheme employing a transistor 1610 interposed between an electrode and a contact of a programmable structure located at an intersection of a bit line 1610 and a word line 1620 of a memory device.
Figure 18 illustrates another arcay 1800, including programmable structures 1802- 1808 and Zener diodes (preferably with low reverse turn on voltage) 1810-1816, in accordance with the present invention. A selected ceU is written by, for example, making C2= +Nw/2, R2 = -Nw/2 (where Nw is greater than or equal to the write threshold of the device) with aU other rows and columns held at zero volts so that no other device in the array sees the full forward write voltage. To erase the selected cell, C2 is set to -VE/2, R2 = +Nβ/2 (where NE is greater than or equal to the reverse turn-on voltage of the Zener diode plus the erase threshold of the device) with all other rows and columns again held at zero volts. The selected device is read using much the same approach by making C2 = +VR/2, R2 = -VR/2 (where VR is chosen to be below the write threshold) with all other rows and columns held at zero volts. It is also possible to use full rather than half voltages when the non-selected rows and columns are allowed to float (via the use of tri-state drivers); e.g., C2 = +NW and R2 = 0 for write, C2 = 0 and R2 = +NE for erase, and C2 = +N and R2 = 0 for read.
Figure 19 illustrates another array 1900 that uses structures 1902-1908, which store information in the form of a change in capacitance rather than a change in resistance. This may be accompUshed by the growth of a non-shorting electrodeposit, i.e., one that does not extend all the way from anode to cathode. A non-shorting electrodeposit can be the result of a reduced charge write pulse which produces a deposit that only extends a short distance from the cathode. A dielectric/tunnel barrier that allows sufficient electron curcent to flow into the electrolyte but which prevents electrodeposition across the structure can also be used to prevent a shorting electrodeposit from forming. Alternatively, electrodeposit growth across the electrolyte may be Umited by providing an appropriate amount of oxidizable silver at the anode for region 160 growth.
The capacitive storage structures 1902-1908 can be placed in an array without diodes or other isolation devices as they will not create shorting paths from one row or column to another. Structures 1902-1908 are programmed in the same way as the diode aι ay described above, but the erase voltage is now just the threshold voltage for dissolution of the electrodeposit.
Figures 20-23 illustrate, schematically, another technique for storing information in an aιτay of lateral programmable structures that include an additional sensing electrode. Each structure includes an anode 2002, a cathode 2004, a sense electrode 2006, and a connector 2008. Information is stored as the capacitance of the geometric overlap of the electrodeposit and sense electrode 2006, separated from each other by an appropriate dielectric. In this case, anode 2002 and cathode 2004 in each device are fed by a row and column in the array. Since the electrodes are coplanar in a lateral PMC device, one of the electrodes (e.g., the anode) must be connected to the aπay by way of a via contact or connector 2008 through the inter-metal dielectric. Figures 20-23 each illustrate examples of individual device configuration schematics in which the anode is connected to a level 3 column (sense is level 1) (figure 20) or a level 1 column (sense is level 3) (figure 22). Configurations illustrated in figures 21 and 23 have the sense line as a level 1 or level 3 offset row (under or over the electrolyte) with the other electrodes configured as before. The cathode is a level 2 row in all cases (the dielectrics are not shown and the devices are not drawn to scale).
Figures 24 and 25 illustrate another array 2400 formed using the configurations presented in either Figure 20 or Figure 22. As iUustrate in Figure 25, a selected cell 2502 is written and erased via R2 and C2 as described above, but is read by detecting a capacitance between R2 and C2*.
Another approach to forming a passive programmable array is to form an incomplete or otherwise high resistance electrodeposit to produce an "on" state with a resistance which is high enough to prevent sneak paths. This may be achieved using a two terminal structure illustrated in Figure 19 or three terminal structure Ulustrated in Figures 20-23.
Figure 26 Ulustrates a two-terminal structure array 2600 in which a partial electrodeposit is grown the same way as discussed above, e.g., C2 = +Nw/2, R2 = -Nw/2 with limited write time, limited oxidizable metal, or with a resistive barrier between the electrodes (e.g., at the cathode). The resistance remains high after writing as the electrodeposit does not bridge the electrodes. This relatively high resistance state can be differentiated from the full off state as the cuπent flow through the device will be altered by the presence of the electrodeposit. One way to detect the presence of a partial electrodeposit is to destructively read the device using an erase pulse, as described above Alternatively, a write operation can be similarly used to destructively read the state.
Figure 27 illustrates a three-terminal structure 2700 in accordance with yet another embodiment of the invention. Structure 2700 includes a resistive material such that a current path exists between the electrodeposit and the sense electrode. In this case, a partial electrodeposit is grown as described above, and information is stored as a change in resistance due to the geometric overlap of the electrodeposit and the sense electrode. As illustrated in Figure 27, a selected cell 2702 is written via R2 and C2 as before and the state (resistance) of the cell is sensed via R2 and C2*. The resistivity of the material between the electrodeposit and the sense electrode must be high enough to prevent low resistance pathways forming between the sense Unes.
Figures 28 illustrates a lateral programmable structure 2800, including a first electrode 2802, a second electrode 2804, an ion conductor 2806, and a conducting layer 2808, formed overlying a substrate 2810.
Ion conductor 2806 may be formed by, for example, photodissolving silver into a Ch- rich Ge-Ch glass, where Ch is S or Se, until the resulting ternary is "saturated" with the metal. In this case, the silver significantly modifies the transport properties of the material and the availability of mobile silver throughout the electrolyte is high. When an ion current flows, it is thought that the stiver moves as a coordinated motion of ions — essentially a "ripple effect" analogous to a shift register in electronics. In order to be reduced, the ionic silver must combine with the electron current from the cathode which means that the ions nearest the cathode will be reduced first and the conducting electrodeposit so formed will become the source of cathodic electrons during subsequent reduction. In this way, the growing electrodeposit "harvests" ions from the electrolyte as it grows out from the cathode, supplying electrons for the reduction of the local silver near its boundaries and thereby further extending the electrodeposit across the electrolyte. This process will continue until the source of oxidizable silver is exhausted or until the resistance between the electrodes falls to a point where the voltage drop is below the reduction/oxidation potential. To join the growing electrodeposit, the individual ions only have to move at most a few nm in the electrolyte. Those which move and are reduced are replaced in the electrolyte via the coordinated ion motion from the anode so that the total quantity of metal in the electrolyte is the original amount plus that added due to the ion flux. Accordingly, limiting factors for electrodeposition rate include ion mobility, ion displacement length, and supply of electrons to the reduction area. Because of the short distances involved in the ion transport and the considerable ion mobility at high field, the electron current is likely to be the main factor affecting the rate of electrodeposition, particularly in long lateral devices. In such devices, since the electrolyte is typically formed as a thin film and has a relatively high resistivity, the series resistance will tend to be very large. For example, a 10 nm thick 100 Ω.cm film will have a sheet resistance of about 108 Ω/square so that a IN bias will initially only force 10 nA in a square geometry device element which corresponds to about 6.25 x 1010 electrons per second. If it is assumed that this is the maximum rate at which ions can be reduced in this case and that it stays constant during electrodeposition, an electrodeposit with an effective area of about 10 x 10 μm2 and a 1 nm thickness would take around 93 msec to form. If a desire is to produce a uniform electrodeposit over an area such as that described above, it will be unlikely to be realized due to the elongated or dendritic growth that tends to occur - since the growing electrodeposit is the main source of electrons once growth is initiated, all deposition takes place at its boundaries and particularly at its tip, further elongating the structure. Accordingly, in accordance with one embodiment of the invention, to improve both speed and electrodeposit coverage, an underlying conductor 2808 is provided to distribute curcent and even the lateral electric field as shown in Figure 28.
In this example, the electrolyte and its coplanar anode and cathode are formed on a thin high resistance layer that separates the electrolyte from a parallel conductor. The resistive layer parameters are chosen to prevent the electrodes from being shorted together by the conducting layer but also to aUow some current to flow to the conductor so that it can be redistributed in the electrolyte. This additional source of current perpendicular to the plane of the electrolyte means that the current is no longer limited by the lateral resistance of the electrolyte and so the electrodeposition can proceed orders of magnitude faster. Also, since the growing electrodeposit is no longer the only source of electrons, the electrodeposition effect may be much more two dimensional, allowing better coverage of the surface. Note that this approach can also be used with vertical devices, such as structure 2900, illustrated in Figure 29. In this case the electrons wUl flow through the volume of ion conductor 2902 interposed between a first electrode 2904 and a second electrode 2906 via the paraUel high conductivity regions illustrated by the arrows.
Figure 30 illustrates a structure 3000, including electrodes 3002, 3004, ion conductor 3006, and a paraUel conducting layer 3008. Electrode 3008 is configured to facilitate a partial electrodeposit which only forms in or on part of the ion conductor. This may be achieved by having paraUel conductor 3008 extending only part of the way from the cathode, as illustrated. If this electrode is connected to the cathode (or to a separate supply of electrons), it will facUitate rapid and 2-dimensional growth up to the point on the electrolyte where it ends. Beyond this point, the growth will be fed mostly by electrons from the surface electrodeposit (now the cathode) and hence it wiU slow down. This way, we can grow only a partial 2-dimensional metal structure. A non-bridging electrodeposit can also be attained in lateral devices or vertical devices with thick electrolyte by using the lowest possible write voltage, i.e., a few mN above the reduction/oxidation potential, to keep the writing field low.
A programmable structure in accordance with the present invention may be used in many applications which would otherwise utilize traditional technologies such as EEPROM, FLASH or DRAM. Advantages provided by the present invention over present memory techniques include, among other things, lower production cost and the ability to use flexible fabrication techniques which are easily adaptable to a variety of applications. The programmable structures of the present invention are especially advantageous in applications where cost is the primary concern, such as smart cards and electronic inventory tags. Also, an ability to form the memory directly on a plastic card is a major advantage in these applications as this is generally not possible with other forms of semiconductor memories. Further, in accordance with the programmable structures of the present invention, memory elements may be scaled to less than a few square microns in size, the active portion of the device being less than one square micron. This provides a significant advantage over traditional semiconductor technologies in which each device and its associated interconnect can take up several tens of square microns.
Programmable structures and devices and system including the programmable structures described herein are advantageous because the programmable structures require relatively little internal voltage to perform write and erase functions, require relatively little cun-ent to perform the write and erase functions, are relatively fast (both write and read operations), require Uttle to no refresh (even for "volatile" memory applications), can be formed in high-density arrays, are relatively inexpensive to manufacture, are robust and shock resistant, and do not require a monocrystalUne starting material and can therefore be added to other electronic circuitry.
The programmable structure described herein can also be used to fabricate microstructures by dissolving the anode and plating out conductive material (e.g., silver) to redistribute mass of the structure, wherein the amount of mass distributed depends on the faradaic current applied across the electrodes. Such structures can be used to form non- volatUe optical switches, MEMS relay contacts, and the Uke. Figure 31 illustrates an exemplary tunable resonator formed using the programmable structures of the present invention. Resonator 3100 includes a mass reservoir or anode 3102, deposition electrode or cathode 3104, a cantilever 3106, an input 3108, and an output 3110.
Although the present invention is set forth herein in the context of the appended drawing figures, it should be appreciated that the invention is not limited to the specific form shown. For example, while the programmable structure is conveniently described above in connection with programmable memory devices, the invention is not so Umited; the structure of the present invention may additionally or alternatively be employed as programmable active or passive devices within a microelectronic circuit. Furthermore, although only some of the devices are illustrated as including buffer, barrier, or transistor components, any of these components may be added to the devices of the present invention. Narious other modifications, variations, and enhancements in the design and arrangement of the method and apparatus set forth herein, may be made without departing from the spirit and scope of the present invention as set forth in the appended claims.

Claims

CLAIMSI claim:
1. A microelectronic programmable structure comprising: an ion conductor comprising an electrolyte and conductive material; an oxidizable electrode proximate the ion conductor; an indifferent electrode proximate the ion conductor; and a layer of conducting material formed proximate the ion conductor, the layer of conducting material configured to facilitate growth of an electrodeposit comprising the conductive material.
2. The microelectronic programmable structure of clami 1, wherein the ion conductor comprises a material selected from the group consisting of sulfur, selenium, and tellurium, and oxygen.
3. The microelectronic programmable structure of claim 1, wherein the layer of conducting material is formed underlying the indifferent electrode.
4. The microelectronic programmable structure of claim 1, wherein the ion conductor comprises a material selected from the group consisting of GeO2, As2O3, Ag2O, Cu(ij2)O, SiO2, Gex-x, AsxS1-x, GexSe1-x, AsxSeι-x, GexTeι-x, AsxTe1-x, and WOx.
5. The microelectronic programmable structure of claim 1, wherein the conductive material comprises a material selected from the group consisting of silver and copper.
6. The microelectronic programmable structure of claim 1, further comprising a barrier layer between the oxidizable electrode and the indifferent electrode.
7. The microelectronic programmable structure of claim 1, wherein the oxidizable electrode and the indifferent electrode are substantially coplanar.
8. The microelectronic programmable structure of claim 1, wherein the ion conductor is interposed between the indifferent electrode and the oxidizable electrode.
9. A method of prograirrming a microelectronic structure, the method comprising the steps of: providing a programmable structure comprising a first electrode, a second electrode, and an ion conductor coupled to the first and second electrodes; and applying a bias across the first and second electrode to form a conductive region near the more negative of the first and second electrode, such that the conductive region does not form a short between the first and second electrodes.
10. The method of claim 9, further comprising the step of performing a read on the microelectronic structure by applying a reverse bias across the first and second electrodes and measuring a resulting current pulse.
11. The method of claim 10, wherein the step of performing a read comprises measuring a plurality of current levels, such that multiple bits can be stored within one programmable structure.
12. The method of claim 9, further comprising the step of performing a read on the microelectronic structure during the step of applying.
13. A method of programming an array of memory structures, the method comprising the steps of: providing an array comprising rows of structures and columns of structures; selecting a structure by selecting one row and one column of the array; applying a bias less than or about Nt to the selected column; and applying a bias less than or about Nt to the selected row, where Nt is the effective threshold voltage of the structure.
14. The method of claim 13, further comprising the step of reading a state of the selected structure, the step of reading comprising the steps of: applying a bias less than or about Nt to the selected column; and applying a bias less than or about Nt to the selected row.
15. An aιτay of rows and columns of programmable structures comprising: a programmable structure comprising a first electrode, a second electrode, and an ion conductor; and a Zener diode electrically coupled to the programmable structure.
16. A method of programming the array of claim 15, comprising the steps of: selecting a programmable structure to program; applying a first voltage to a column of the selected structure; and applying a second voltage to a row of the selected structure, wherein the first voltage is greater than the second voltage.
17. The method of claim 16, further comprising the step of erasing the selected cell, wherein the second voltage is greater than the first voltage.
18. An array of rows and columns of programmable structures comprising: a pluraUty of programmable structures, each structure comprising a first electrode, a second electrode, and an ion conductor, wherein each structure alters a capacitance upon appUcation of a bias across the first and second electrodes.
19. A method of programming the array of claim 18, comprising the steps of: selecting a programmable structure to program; applying a first voltage to a column of the selected structure; and applying a second voltage to a row of the selected structure, wherein the first voltage is greater than the second voltage.
20. The method of claim 19, further comprising the step of erasing the selected ceU, wherein the second voltage is greater than the first voltage.
21. A microelectronic programmable structure comprising: an ion conductor comprising an electrolyte and conductive material; an oxidizable electrode proximate the ion conductor; an indifferent electrode proximate the ion conductor; and a sense electrode for determining a state of the programmable structure.
22. The microelectronic programmable structure of claim 21, wherein the sense electrode is formed parallel to the oxidizable electrode.
23. The microelectronic programmable structure of claim 21, wherein the sense electrode is formed perpendicular to the oxidizable electrode.
24. The microelectronic programmable structure of claim 21, wherein information is stored using the programmable structure by altering a capacitance of the structure.
25. The microelectronic programmable structure of claim 21, wherein information is stored using the programmable structure by altering a resistance of the structure.
26. An arcay comprising the programmable structure of claim 21.
27. A microelectronic programmable structure comprising: an ion conductor comprising an electrolyte and conductive material; an oxidizable electrode proximate the ion conductor; and an indifferent electrode proximate the ion conductor; wherein the ion conductor comprises a material selected from group consisting of GeO2, As2O3, Ag2O, Cu(lj2)O, SiO2, GexS1-x, Asx-x, GexSeι-x, AsxSeι-x, GexTeι-x, AsxTe1-x, and WOx.
PCT/US2003/008152 2002-03-15 2003-03-17 Programmable structure, an array including the structure, and methods of forming the same WO2003079463A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2003233406A AU2003233406A1 (en) 2002-03-15 2003-03-17 Programmable structure, an array including the structure, and methods of forming the same

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US36454702P 2002-03-15 2002-03-15
US60/364,547 2002-03-15
US36560202P 2002-03-18 2002-03-18
US36560102P 2002-03-18 2002-03-18
US36555102P 2002-03-18 2002-03-18
US60/365,601 2002-03-18
US60/365,551 2002-03-18
US60/365,602 2002-03-18

Publications (2)

Publication Number Publication Date
WO2003079463A2 true WO2003079463A2 (en) 2003-09-25
WO2003079463A3 WO2003079463A3 (en) 2004-07-01

Family

ID=28046802

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/008152 WO2003079463A2 (en) 2002-03-15 2003-03-17 Programmable structure, an array including the structure, and methods of forming the same

Country Status (5)

Country Link
US (4) US6927411B2 (en)
CN (1) CN100514695C (en)
AU (1) AU2003233406A1 (en)
TW (1) TWI224403B (en)
WO (1) WO2003079463A2 (en)

Cited By (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1489621A1 (en) * 2003-06-18 2004-12-22 Macronix International Co., Ltd. Transistor-free random access memory
WO2005024839A1 (en) * 2003-09-11 2005-03-17 Infineon Technologies Ag Storage location having an ionic conduction storage mechanism and method for the production thereof
WO2005053047A1 (en) * 2003-11-28 2005-06-09 Infineon Technologies Ag Integrated semiconductor memory and method for producing an integrated semiconductor memory
JP2005197634A (en) * 2003-11-28 2005-07-21 Sony Corp Memory element and memory device
EP1571673A2 (en) 2004-03-05 2005-09-07 Sony Corporation Memory device
WO2005083810A2 (en) * 2004-02-23 2005-09-09 Axon Technologies Corporation Programmable structure and device including a metal oxide ion conductor and method of forming the same
DE102004026111A1 (en) * 2004-05-28 2005-12-22 Infineon Technologies Ag Solid electrolyte memory cell production method for semiconductor memories involves doping solid electrolyte material with dopant and then irradiating cell with suitable ions or ion beam either partly or completely
DE102004026002A1 (en) * 2004-05-27 2005-12-22 Infineon Technologies Ag Semiconductor assembly for non-volatile memories has solid electrolyte memory cell structure parallel to main surface of semiconductor substrate and has reactive electrode and inert electrode separated in single-stage manner
DE102004031135A1 (en) * 2004-06-28 2006-01-19 Infineon Technologies Ag Resistive semiconductor element based on a solid-state ion conductor
EP1630880A2 (en) * 2004-08-30 2006-03-01 Infineon Technologies AG Memory device (CBRAM) comprising memory cells based on resistance variable solid-state electrolyte material and manufacturing process thereof
GB2421116A (en) * 2004-11-10 2006-06-14 Samsung Electronics Co Ltd Cross-point nonvolatile memory devices using binary metal oxide layer as data storage material layer, and methods of fabricating the same
JP2006173267A (en) * 2004-12-14 2006-06-29 Sony Corp Storage element and storage device
JP2006196537A (en) * 2005-01-11 2006-07-27 Sony Corp Storage element and storage device
DE102005005938A1 (en) * 2005-02-09 2006-08-17 Infineon Technologies Ag Resistive memory element with reduced deletion time
WO2007126669A1 (en) * 2006-03-31 2007-11-08 Sandisk 3D Llc Multilevel nonvolatile memory cell comprising a resistivity- switching oxide or nitride and an antifuse
WO2008026081A2 (en) * 2006-08-31 2008-03-06 Interuniversitair Microelektronica Centrum (Imec) Method for manufacturing a resistive switching device and devices obtained thereof
US7791060B2 (en) 2006-09-27 2010-09-07 Kabushiki Kaisha Toshiba Semiconductor memory device and method of controlling the same
US7812404B2 (en) 2005-05-09 2010-10-12 Sandisk 3D Llc Nonvolatile memory cell comprising a diode and a resistance-switching material
US7816659B2 (en) 2005-11-23 2010-10-19 Sandisk 3D Llc Devices having reversible resistivity-switching metal oxide or nitride layer with added metal
US7824956B2 (en) 2007-06-29 2010-11-02 Sandisk 3D Llc Memory cell that employs a selectively grown reversible resistance-switching element and methods of forming the same
US7829875B2 (en) 2006-03-31 2010-11-09 Sandisk 3D Llc Nonvolatile rewritable memory cell comprising a resistivity-switching oxide or nitride and an antifuse
US7834338B2 (en) 2005-11-23 2010-11-16 Sandisk 3D Llc Memory cell comprising nickel-cobalt oxide switching element
US7846785B2 (en) 2007-06-29 2010-12-07 Sandisk 3D Llc Memory cell that employs a selectively deposited reversible resistance-switching element and methods of forming the same
US7875871B2 (en) 2006-03-31 2011-01-25 Sandisk 3D Llc Heterojunction device comprising a semiconductor and a resistivity-switching oxide or nitride
US7902537B2 (en) 2007-06-29 2011-03-08 Sandisk 3D Llc Memory cell that employs a selectively grown reversible resistance-switching element and methods of forming the same
EP2284840A3 (en) * 2004-09-03 2011-06-22 Unity Semiconductor Corporation Memory using mixed valence conductive oxides
JP2011211226A (en) * 2003-11-28 2011-10-20 Sony Corp Memory device and storage apparatus
JP2011233917A (en) * 2011-07-08 2011-11-17 Sony Corp Memory element and memory device
US8222713B2 (en) 2005-12-02 2012-07-17 Sony Corporation Storage element and storage apparatus
US8233308B2 (en) 2007-06-29 2012-07-31 Sandisk 3D Llc Memory cell that employs a selectively deposited reversible resistance-switching element and methods of forming the same
JP5135797B2 (en) * 2004-12-28 2013-02-06 日本電気株式会社 Switching element, switching element manufacturing method, rewritable logic integrated circuit, and memory element
US9000506B2 (en) 2010-11-19 2015-04-07 Panasonic Intellectual Property Management Co., Ltd. Variable resistance nonvolatile memory element and method for manufacturing the same
US10466969B2 (en) 2017-05-08 2019-11-05 Arizona Board Of Regents On Behalf Of Arizona State University Tunable true random number generator using programmable metallization cell(s)
US10710070B2 (en) 2015-11-24 2020-07-14 Arizona Board Of Regents On Behalf Of Arizona State University Low-voltage microfluidic valve device and system for regulating the flow of fluid
FR3102884A1 (en) * 2019-11-04 2021-05-07 Commissariat A L'energie Atomique Et Aux Energies Alternatives NON-VOLATILE SELECTOR MEMORY DEVICE AND ASSOCIATED READING PROCESS
US11037987B2 (en) 2011-09-30 2021-06-15 Hefei Reliance Memory Limited Multi-layered conductive metal oxide structures and methods for facilitating enhanced performance characteristics of two-terminal memory cells
US11127694B2 (en) 2017-03-23 2021-09-21 Arizona Board Of Regents On Behalf Of Arizona State University Physical unclonable functions with copper-silicon oxide programmable metallization cells
US11244722B2 (en) 2019-09-20 2022-02-08 Arizona Board Of Regents On Behalf Of Arizona State University Programmable interposers for electrically connecting integrated circuits
US11502249B2 (en) 2004-02-06 2022-11-15 Hefei Reliance Memory Limited Memory element with a reactive metal layer
US11935843B2 (en) 2019-12-09 2024-03-19 Arizona Board Of Regents On Behalf Of Arizona State University Physical unclonable functions with silicon-rich dielectric devices

Families Citing this family (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6147395A (en) * 1996-10-02 2000-11-14 Micron Technology, Inc. Method for fabricating a small area of contact between electrodes
US8134140B2 (en) * 2000-02-11 2012-03-13 Axon Technologies Corporation Programmable metallization cell structure including an integrated diode, device including the structure, and method of forming same
US7385219B2 (en) * 2000-02-11 2008-06-10 A{umlaut over (x)}on Technologies Corporation Optimized solid electrolyte for programmable metallization cell devices and structures
US7102150B2 (en) 2001-05-11 2006-09-05 Harshfield Steven T PCRAM memory cell and method of making same
US6955940B2 (en) 2001-08-29 2005-10-18 Micron Technology, Inc. Method of forming chalcogenide comprising devices
US6881623B2 (en) * 2001-08-29 2005-04-19 Micron Technology, Inc. Method of forming chalcogenide comprising devices, method of forming a programmable memory cell of memory circuitry, and a chalcogenide comprising device
US6791859B2 (en) 2001-11-20 2004-09-14 Micron Technology, Inc. Complementary bit PCRAM sense amplifier and method of operation
US7151273B2 (en) 2002-02-20 2006-12-19 Micron Technology, Inc. Silver-selenide/chalcogenide glass stack for resistance variable memory
US6809362B2 (en) 2002-02-20 2004-10-26 Micron Technology, Inc. Multiple data state memory cell
US6864500B2 (en) * 2002-04-10 2005-03-08 Micron Technology, Inc. Programmable conductor memory cell structure
US6855975B2 (en) * 2002-04-10 2005-02-15 Micron Technology, Inc. Thin film diode integrated with chalcogenide memory cell
US6890790B2 (en) 2002-06-06 2005-05-10 Micron Technology, Inc. Co-sputter deposition of metal-doped chalcogenides
US7364644B2 (en) 2002-08-29 2008-04-29 Micron Technology, Inc. Silver selenide film stoichiometry and morphology control in sputter deposition
US6864521B2 (en) 2002-08-29 2005-03-08 Micron Technology, Inc. Method to control silver concentration in a resistance variable memory element
US6914801B2 (en) * 2003-05-13 2005-07-05 Ovonyx, Inc. Method of eliminating drift in phase-change memory
KR100773537B1 (en) * 2003-06-03 2007-11-07 삼성전자주식회사 Nonvolatile memory device composing one switching device and one resistant material and method of manufacturing the same
DE10340405B3 (en) * 2003-09-02 2004-12-23 Infineon Technologies Ag Integrated semiconductor memory with selective reduction of effective bit line length for reducing current requirement by partial disconnection of second bit line section from first bit line section
US7050319B2 (en) * 2003-12-03 2006-05-23 Micron Technology, Inc. Memory architecture and method of manufacture and operation thereof
US7583551B2 (en) 2004-03-10 2009-09-01 Micron Technology, Inc. Power management control and controlling memory refresh operations
DE102004019860B4 (en) * 2004-04-23 2006-03-02 Infineon Technologies Ag Method and apparatus for programming CBRAM memory cells
US6972427B2 (en) * 2004-04-29 2005-12-06 Infineon Technologies Ag Switching device for reconfigurable interconnect and method for making the same
DE102004029436B4 (en) * 2004-06-18 2009-03-05 Qimonda Ag A method of manufacturing a solid electrolyte material region
US7190048B2 (en) * 2004-07-19 2007-03-13 Micron Technology, Inc. Resistance variable memory device and method of fabrication
US7354793B2 (en) 2004-08-12 2008-04-08 Micron Technology, Inc. Method of forming a PCRAM device incorporating a resistance-variable chalocogenide element
US7326950B2 (en) 2004-07-19 2008-02-05 Micron Technology, Inc. Memory device with switching glass layer
WO2006132813A1 (en) * 2004-07-19 2006-12-14 Micron Technology, Inc. Memory device with switching glass layer
DE102004035830A1 (en) * 2004-07-23 2006-02-16 Infineon Technologies Ag Memory device with thermal insulation layers
US7365411B2 (en) 2004-08-12 2008-04-29 Micron Technology, Inc. Resistance variable memory with temperature tolerant materials
DE102004041905A1 (en) * 2004-08-30 2006-03-02 Infineon Technologies Ag Reactive sputtering process to optimize the thermal stability of thin chalcogenide layers
DE102004042171A1 (en) * 2004-08-31 2006-04-20 Infineon Technologies Ag Memory arrangement e.g. conductive bridging RAM, for storing information, has memory cells whose status is modified by applying voltage at two poles of cells, where poles of memory cells are short-circuited through switching arrangement
DE102004045219B4 (en) * 2004-09-17 2011-07-28 Qimonda AG, 81739 Arrangement and method for reading resistance memory cells
DE102004046392A1 (en) * 2004-09-24 2006-04-06 Infineon Technologies Ag Semiconductor memory
DE102004047630A1 (en) * 2004-09-30 2006-04-13 Infineon Technologies Ag Method for producing a CBRAM semiconductor memory
DE102004058132B3 (en) * 2004-12-02 2006-03-02 Infineon Technologies Ag Data storage circuit for computer has system for evaluation of data stores in CBRAM-resistor storage cell and has bit lines and word lines crossing at right-angles with evaluation circuit on each bit line
DE102004061548A1 (en) * 2004-12-21 2006-06-29 Infineon Technologies Ag Memory cell matrix for integration of solid electrolyte memory cells has word line and plate line that are controlled by means of selection transistor and exhibits common plate electrode which is connected to common plate circuit
US20060131555A1 (en) * 2004-12-22 2006-06-22 Micron Technology, Inc. Resistance variable devices with controllable channels
US7374174B2 (en) 2004-12-22 2008-05-20 Micron Technology, Inc. Small electrode for resistance variable devices
FR2880177B1 (en) * 2004-12-23 2007-05-18 Commissariat Energie Atomique MEMORY PMC HAVING IMPROVED RETENTION TIME AND WRITING SPEED
WO2006070773A1 (en) * 2004-12-28 2006-07-06 Nec Corporation Switching element, rewritable logical integrated circuit, and memory element
KR100682926B1 (en) * 2005-01-31 2007-02-15 삼성전자주식회사 Nonvolatile memory device using resistance material and fabrication method of the same
US7317200B2 (en) 2005-02-23 2008-01-08 Micron Technology, Inc. SnSe-based limited reprogrammable cell
US7359236B2 (en) * 2005-03-11 2008-04-15 Adesto Technologies Read, write and erase circuit for programmable memory devices
US8098521B2 (en) * 2005-03-31 2012-01-17 Spansion Llc Method of providing an erase activation energy of a memory device
US7427770B2 (en) 2005-04-22 2008-09-23 Micron Technology, Inc. Memory array for increased bit density
US7709289B2 (en) 2005-04-22 2010-05-04 Micron Technology, Inc. Memory elements having patterned electrodes and method of forming the same
JP2006324501A (en) * 2005-05-19 2006-11-30 Toshiba Corp Phase-change memory and its manufacturing method
US7345907B2 (en) * 2005-07-11 2008-03-18 Sandisk 3D Llc Apparatus and method for reading an array of nonvolatile memory cells including switchable resistor memory elements
US7362604B2 (en) * 2005-07-11 2008-04-22 Sandisk 3D Llc Apparatus and method for programming an array of nonvolatile memory cells including switchable resistor memory elements
US7274034B2 (en) 2005-08-01 2007-09-25 Micron Technology, Inc. Resistance variable memory device with sputtered metal-chalcogenide region and method of fabrication
US7332735B2 (en) 2005-08-02 2008-02-19 Micron Technology, Inc. Phase change memory cell and method of formation
US7579615B2 (en) 2005-08-09 2009-08-25 Micron Technology, Inc. Access transistor for memory device
US7251154B2 (en) 2005-08-15 2007-07-31 Micron Technology, Inc. Method and apparatus providing a cross-point memory array using a variable resistance memory cell and capacitance
EP1755125B1 (en) * 2005-08-16 2010-03-03 Qimonda AG Method for operating a programmable metallization cell and electrical circuit
JPWO2007023569A1 (en) * 2005-08-26 2009-03-26 富士通株式会社 Nonvolatile semiconductor memory device and writing method thereof
US7423281B2 (en) * 2005-09-26 2008-09-09 Infineon Technologies Ag Microelectronic device with a plurality of storage elements in serial connection and method of producing the same
US7494849B2 (en) * 2005-11-03 2009-02-24 Cswitch Inc. Methods for fabricating multi-terminal phase change devices
US7394088B2 (en) * 2005-11-15 2008-07-01 Macronix International Co., Ltd. Thermally contained/insulated phase change memory device and method (combined)
US7635855B2 (en) * 2005-11-15 2009-12-22 Macronix International Co., Ltd. I-shaped phase change memory cell
US7786460B2 (en) 2005-11-15 2010-08-31 Macronix International Co., Ltd. Phase change memory device and manufacturing method
US7414258B2 (en) 2005-11-16 2008-08-19 Macronix International Co., Ltd. Spacer electrode small pin phase change memory RAM and manufacturing method
US7449710B2 (en) 2005-11-21 2008-11-11 Macronix International Co., Ltd. Vacuum jacket for phase change memory element
US7829876B2 (en) 2005-11-21 2010-11-09 Macronix International Co., Ltd. Vacuum cell thermal isolation for a phase change memory device
US7599217B2 (en) * 2005-11-22 2009-10-06 Macronix International Co., Ltd. Memory cell device and manufacturing method
CN100383994C (en) * 2005-11-25 2008-04-23 中国科学院上海微系统与信息技术研究所 Method for preparing phase-change memory device unit using chalcogenide compound nanometer material
US7459717B2 (en) 2005-11-28 2008-12-02 Macronix International Co., Ltd. Phase change memory cell and manufacturing method
US7688619B2 (en) 2005-11-28 2010-03-30 Macronix International Co., Ltd. Phase change memory cell and manufacturing method
US7521364B2 (en) * 2005-12-02 2009-04-21 Macronix Internation Co., Ltd. Surface topology improvement method for plug surface areas
WO2007069725A1 (en) * 2005-12-15 2007-06-21 Nec Corporation Switching element and method for manufacturing same
US7531825B2 (en) * 2005-12-27 2009-05-12 Macronix International Co., Ltd. Method for forming self-aligned thermal isolation cell for a variable resistance memory array
US8062833B2 (en) 2005-12-30 2011-11-22 Macronix International Co., Ltd. Chalcogenide layer etching method
US7560337B2 (en) * 2006-01-09 2009-07-14 Macronix International Co., Ltd. Programmable resistive RAM and manufacturing method
US7741636B2 (en) 2006-01-09 2010-06-22 Macronix International Co., Ltd. Programmable resistive RAM and manufacturing method
KR100684908B1 (en) * 2006-01-09 2007-02-22 삼성전자주식회사 Multi-resistive state memory element, memory cell, operating thereof, and data processing system using the memory element
KR20070075812A (en) * 2006-01-16 2007-07-24 삼성전자주식회사 Resistive random access memory device comprising amorphous solid electrolyte layer in storage node
US8492810B2 (en) * 2006-02-28 2013-07-23 Qimonda Ag Method of fabricating an integrated electronic circuit with programmable resistance cells
US7998330B2 (en) * 2006-03-16 2011-08-16 The Board Of Trustees Of The University Of Illinois Direct nanoscale patterning of metals using polymer electrolytes
US20070215480A1 (en) * 2006-03-16 2007-09-20 Fang Nicholas X Pattern transfer by solid state electrochemical stamping
US8502198B2 (en) * 2006-04-28 2013-08-06 Hewlett-Packard Development Company, L.P. Switching device and methods for controlling electron tunneling therein
US7696506B2 (en) * 2006-06-27 2010-04-13 Macronix International Co., Ltd. Memory cell with memory material insulation and manufacturing method
US7785920B2 (en) 2006-07-12 2010-08-31 Macronix International Co., Ltd. Method for making a pillar-type phase change memory element
US7542338B2 (en) * 2006-07-31 2009-06-02 Sandisk 3D Llc Method for reading a multi-level passive element memory cell array
US7542337B2 (en) * 2006-07-31 2009-06-02 Sandisk 3D Llc Apparatus for reading a multi-level passive element memory cell array
US8279704B2 (en) * 2006-07-31 2012-10-02 Sandisk 3D Llc Decoder circuitry providing forward and reverse modes of memory array operation and method for biasing same
US7560723B2 (en) 2006-08-29 2009-07-14 Micron Technology, Inc. Enhanced memory density resistance variable memory cells, arrays, devices and systems including the same, and methods of fabrication
US7772581B2 (en) * 2006-09-11 2010-08-10 Macronix International Co., Ltd. Memory device having wide area phase change element and small electrode contact area
US20080073751A1 (en) * 2006-09-21 2008-03-27 Rainer Bruchhaus Memory cell and method of manufacturing thereof
US7504653B2 (en) 2006-10-04 2009-03-17 Macronix International Co., Ltd. Memory cell device with circumferentially-extending memory element
US7863655B2 (en) * 2006-10-24 2011-01-04 Macronix International Co., Ltd. Phase change memory cells with dual access devices
US7476587B2 (en) * 2006-12-06 2009-01-13 Macronix International Co., Ltd. Method for making a self-converged memory material element for memory cell
US7903447B2 (en) 2006-12-13 2011-03-08 Macronix International Co., Ltd. Method, apparatus and computer program product for read before programming process on programmable resistive memory cell
US7718989B2 (en) * 2006-12-28 2010-05-18 Macronix International Co., Ltd. Resistor random access memory cell device
US7619311B2 (en) * 2007-02-02 2009-11-17 Macronix International Co., Ltd. Memory cell device with coplanar electrode surface and method
US7884343B2 (en) 2007-02-14 2011-02-08 Macronix International Co., Ltd. Phase change memory cell with filled sidewall memory element and method for fabricating the same
US7956344B2 (en) 2007-02-27 2011-06-07 Macronix International Co., Ltd. Memory cell with memory element contacting ring-shaped upper end of bottom electrode
WO2008129684A1 (en) * 2007-03-30 2008-10-30 Kabushiki Kaisha Toshiba Information recording/reproducing apparatus
US7786461B2 (en) 2007-04-03 2010-08-31 Macronix International Co., Ltd. Memory structure with reduced-size memory element between memory material portions
KR100881055B1 (en) * 2007-06-20 2009-01-30 삼성전자주식회사 Phase-change memory unit, method of forming the phase-change memory unit, phase-change memory device having the phase-change memory unit and method of manufacturing the phase-change memory device
US7812333B2 (en) * 2007-06-28 2010-10-12 Qimonda North America Corp. Integrated circuit including resistivity changing material having a planarized surface
US7777215B2 (en) 2007-07-20 2010-08-17 Macronix International Co., Ltd. Resistive memory structure with buffer layer
US7729161B2 (en) 2007-08-02 2010-06-01 Macronix International Co., Ltd. Phase change memory with dual word lines and source lines and method of operating same
US8178386B2 (en) 2007-09-14 2012-05-15 Macronix International Co., Ltd. Phase change memory cell array with self-converged bottom electrode and method for manufacturing
US7642125B2 (en) * 2007-09-14 2010-01-05 Macronix International Co., Ltd. Phase change memory cell in via array with self-aligned, self-converged bottom electrode and method for manufacturing
FR2922368A1 (en) * 2007-10-16 2009-04-17 Commissariat Energie Atomique METHOD FOR MANUFACTURING A CBRAM MEMORY HAVING IMPROVED RELIABILITY
US7919766B2 (en) 2007-10-22 2011-04-05 Macronix International Co., Ltd. Method for making self aligning pillar memory cell device
US20090103351A1 (en) * 2007-10-23 2009-04-23 Cay-Uwe Pinnow Integrated Circuit, Method of Manufacturing an Integrated Circuit, and Memory Module
US8064243B2 (en) * 2007-11-13 2011-11-22 Qimonda Ag Method and apparatus for an integrated circuit with programmable memory cells, data system
US20090140232A1 (en) * 2007-11-30 2009-06-04 Klaus-Dieter Ufert Resistive Memory Element
US7646631B2 (en) 2007-12-07 2010-01-12 Macronix International Co., Ltd. Phase change memory cell having interface structures with essentially equal thermal impedances and manufacturing methods
US7879643B2 (en) * 2008-01-18 2011-02-01 Macronix International Co., Ltd. Memory cell with memory element contacting an inverted T-shaped bottom electrode
US7879645B2 (en) * 2008-01-28 2011-02-01 Macronix International Co., Ltd. Fill-in etching free pore device
US8158965B2 (en) 2008-02-05 2012-04-17 Macronix International Co., Ltd. Heating center PCRAM structure and methods for making
US20090200535A1 (en) * 2008-02-12 2009-08-13 Klaus-Dieter Ufert Non-Volatile Memory Element with Improved Temperature Stability
KR20090090563A (en) * 2008-02-21 2009-08-26 삼성전자주식회사 Semiconductor devices having resistive memory element
KR101480292B1 (en) * 2008-03-17 2015-01-12 삼성전자주식회사 Methods of forming a semiconductor device including a phase change layer
US8084842B2 (en) * 2008-03-25 2011-12-27 Macronix International Co., Ltd. Thermally stabilized electrode structure
US8030634B2 (en) 2008-03-31 2011-10-04 Macronix International Co., Ltd. Memory array with diode driver and method for fabricating the same
US7825398B2 (en) 2008-04-07 2010-11-02 Macronix International Co., Ltd. Memory cell having improved mechanical stability
US7791057B2 (en) * 2008-04-22 2010-09-07 Macronix International Co., Ltd. Memory cell having a buried phase change region and method for fabricating the same
US8077505B2 (en) * 2008-05-07 2011-12-13 Macronix International Co., Ltd. Bipolar switching of phase change device
US7701750B2 (en) 2008-05-08 2010-04-20 Macronix International Co., Ltd. Phase change device having two or more substantial amorphous regions in high resistance state
US7977722B2 (en) * 2008-05-20 2011-07-12 Seagate Technology Llc Non-volatile memory with programmable capacitance
US7786463B2 (en) * 2008-05-20 2010-08-31 Seagate Technology Llc Non-volatile multi-bit memory with programmable capacitance
US8415651B2 (en) * 2008-06-12 2013-04-09 Macronix International Co., Ltd. Phase change memory cell having top and bottom sidewall contacts
US8134857B2 (en) * 2008-06-27 2012-03-13 Macronix International Co., Ltd. Methods for high speed reading operation of phase change memory and device employing same
US8097902B2 (en) 2008-07-10 2012-01-17 Seagate Technology Llc Programmable metallization memory cells via selective channel forming
US20100019215A1 (en) * 2008-07-22 2010-01-28 Macronix International Co., Ltd. Mushroom type memory cell having self-aligned bottom electrode and diode access device
US7932506B2 (en) 2008-07-22 2011-04-26 Macronix International Co., Ltd. Fully self-aligned pore-type memory cell having diode access device
US8107273B1 (en) 2008-07-28 2012-01-31 Adesto Technologies Corporation Integrated circuits having programmable metallization cells (PMCs) and operating methods therefor
US8467236B2 (en) 2008-08-01 2013-06-18 Boise State University Continuously variable resistor
US20110181345A1 (en) * 2008-08-01 2011-07-28 President And Fellows Of Harvard College Phase transition devices and smart capacitive devices
US7903457B2 (en) 2008-08-19 2011-03-08 Macronix International Co., Ltd. Multiple phase change materials in an integrated circuit for system on a chip application
US7719913B2 (en) * 2008-09-12 2010-05-18 Macronix International Co., Ltd. Sensing circuit for PCRAM applications
US8324605B2 (en) * 2008-10-02 2012-12-04 Macronix International Co., Ltd. Dielectric mesh isolated phase change structure for phase change memory
US7897954B2 (en) 2008-10-10 2011-03-01 Macronix International Co., Ltd. Dielectric-sandwiched pillar memory device
US8058646B2 (en) * 2008-10-29 2011-11-15 Seagate Technology Llc Programmable resistive memory cell with oxide layer
US8036014B2 (en) * 2008-11-06 2011-10-11 Macronix International Co., Ltd. Phase change memory program method without over-reset
US8664689B2 (en) 2008-11-07 2014-03-04 Macronix International Co., Ltd. Memory cell access device having a pn-junction with polycrystalline plug and single-crystal semiconductor regions
US8907316B2 (en) * 2008-11-07 2014-12-09 Macronix International Co., Ltd. Memory cell access device having a pn-junction with polycrystalline and single crystal semiconductor regions
US7842938B2 (en) * 2008-11-12 2010-11-30 Seagate Technology Llc Programmable metallization cells and methods of forming the same
US7923715B2 (en) * 2008-12-06 2011-04-12 The United States Of America As Represented By The Administrator Of National Aeronautics And Space Administration Chalcogenide nanoionic-based radio frequency switch
WO2010077622A1 (en) 2008-12-08 2010-07-08 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University Electrical devices including dendritic metal electrodes
US7929345B2 (en) * 2008-12-23 2011-04-19 Actel Corporation Push-pull memory cell configured for simultaneous programming of n-channel and p-channel non-volatile transistors
US7869270B2 (en) 2008-12-29 2011-01-11 Macronix International Co., Ltd. Set algorithm for phase change memory cell
US8089137B2 (en) * 2009-01-07 2012-01-03 Macronix International Co., Ltd. Integrated circuit memory with single crystal silicon on silicide driver and manufacturing method
US8107283B2 (en) * 2009-01-12 2012-01-31 Macronix International Co., Ltd. Method for setting PCRAM devices
US8030635B2 (en) 2009-01-13 2011-10-04 Macronix International Co., Ltd. Polysilicon plug bipolar transistor for phase change memory
US8064247B2 (en) 2009-01-14 2011-11-22 Macronix International Co., Ltd. Rewritable memory device based on segregation/re-absorption
US8933536B2 (en) 2009-01-22 2015-01-13 Macronix International Co., Ltd. Polysilicon pillar bipolar transistor with self-aligned memory element
US8487291B2 (en) * 2009-01-30 2013-07-16 Seagate Technology Llc Programmable metallization memory cell with layered solid electrolyte structure
US8120955B2 (en) * 2009-02-13 2012-02-21 Actel Corporation Array and control method for flash based FPGA cell
US8084760B2 (en) 2009-04-20 2011-12-27 Macronix International Co., Ltd. Ring-shaped electrode and manufacturing method for same
US8426839B1 (en) 2009-04-24 2013-04-23 Adesto Technologies Corporation Conducting bridge random access memory (CBRAM) device structures
US8294488B1 (en) * 2009-04-24 2012-10-23 Adesto Technologies Corporation Programmable impedance element circuits and methods
US8173987B2 (en) * 2009-04-27 2012-05-08 Macronix International Co., Ltd. Integrated circuit 3D phase change memory array and manufacturing method
US8097871B2 (en) * 2009-04-30 2012-01-17 Macronix International Co., Ltd. Low operational current phase change memory structures
US7933139B2 (en) * 2009-05-15 2011-04-26 Macronix International Co., Ltd. One-transistor, one-resistor, one-capacitor phase change memory
US7968876B2 (en) 2009-05-22 2011-06-28 Macronix International Co., Ltd. Phase change memory cell having vertical channel access transistor
US8350316B2 (en) 2009-05-22 2013-01-08 Macronix International Co., Ltd. Phase change memory cells having vertical channel access transistor and memory plane
US8809829B2 (en) * 2009-06-15 2014-08-19 Macronix International Co., Ltd. Phase change memory having stabilized microstructure and manufacturing method
US8406033B2 (en) 2009-06-22 2013-03-26 Macronix International Co., Ltd. Memory device and method for sensing and fixing margin cells
US8238149B2 (en) 2009-06-25 2012-08-07 Macronix International Co., Ltd. Methods and apparatus for reducing defect bits in phase change memory
US8363463B2 (en) 2009-06-25 2013-01-29 Macronix International Co., Ltd. Phase change memory having one or more non-constant doping profiles
US8269204B2 (en) 2009-07-02 2012-09-18 Actel Corporation Back to back resistive random access memory cells
US8110822B2 (en) 2009-07-15 2012-02-07 Macronix International Co., Ltd. Thermal protect PCRAM structure and methods for making
US7894254B2 (en) 2009-07-15 2011-02-22 Macronix International Co., Ltd. Refresh circuitry for phase change memory
US8198619B2 (en) 2009-07-15 2012-06-12 Macronix International Co., Ltd. Phase change memory cell structure
US8064248B2 (en) 2009-09-17 2011-11-22 Macronix International Co., Ltd. 2T2R-1T1R mix mode phase change memory array
US8178387B2 (en) 2009-10-23 2012-05-15 Macronix International Co., Ltd. Methods for reducing recrystallization time for a phase change material
US8233309B2 (en) * 2009-10-26 2012-07-31 Sandisk 3D Llc Non-volatile memory array architecture incorporating 1T-1R near 4F2 memory cell
JP5621784B2 (en) * 2009-12-08 2014-11-12 日本電気株式会社 Method for manufacturing variable resistance element using electrochemical reaction
US8471356B2 (en) * 2010-04-16 2013-06-25 International Business Machines Corporation Programmable anti-fuse structures with conductive material islands
US8824114B2 (en) 2010-04-21 2014-09-02 Freescale Semiconductor, Inc. Monitor circuit for determining the lifetime of a semiconductor device
US8729521B2 (en) 2010-05-12 2014-05-20 Macronix International Co., Ltd. Self aligned fin-type programmable memory cell
US8687403B1 (en) 2010-06-10 2014-04-01 Adesto Technologies Corporation Circuits having programmable impedance elements
US8310864B2 (en) 2010-06-15 2012-11-13 Macronix International Co., Ltd. Self-aligned bit line under word line memory array
US8634235B2 (en) 2010-06-25 2014-01-21 Macronix International Co., Ltd. Phase change memory coding
CN101969100A (en) * 2010-09-01 2011-02-09 中国科学院物理研究所 Nonvolatile resistance-variable storage and preparation method thereof
US9401472B1 (en) 2010-09-23 2016-07-26 Adesto Technologies Corporation Programmable impedance elements and devices that include such elements
US8395935B2 (en) 2010-10-06 2013-03-12 Macronix International Co., Ltd. Cross-point self-aligned reduced cell size phase change memory
JP5422534B2 (en) * 2010-10-14 2014-02-19 株式会社東芝 Nonvolatile resistance change element and method of manufacturing nonvolatile resistance change element
US9466793B2 (en) * 2010-10-29 2016-10-11 Hewlett-Packard Development Company, L.P. Memristors having at least one junction
US8497705B2 (en) 2010-11-09 2013-07-30 Macronix International Co., Ltd. Phase change device for interconnection of programmable logic device
US8999819B2 (en) 2010-11-14 2015-04-07 Arizona Board of Regents, A Body Corporate of the State of Arizona Acting For on Behalf of Arizona State University Dendritic metal structures, methods for making dendritic metal structures, and devices including them
US8467238B2 (en) 2010-11-15 2013-06-18 Macronix International Co., Ltd. Dynamic pulse operation for phase change memory
JP5547111B2 (en) * 2011-02-15 2014-07-09 株式会社東芝 Nonvolatile resistance change element and method of manufacturing nonvolatile resistance change element
US8531867B2 (en) 2011-05-05 2013-09-10 Adesto Technologies Corporation Conductive filament based memory elements and methods with improved data retention and/or endurance
US8816314B2 (en) 2011-05-13 2014-08-26 Adesto Technologies Corporation Contact structure and method for variable impedance memory element
US8891293B2 (en) 2011-06-23 2014-11-18 Macronix International Co., Ltd. High-endurance phase change memory devices and methods for operating the same
US8895953B1 (en) 2011-07-15 2014-11-25 Adesto Technologies Corporation Programmable memory elements, devices and methods having physically localized structure
US8995173B1 (en) 2011-09-29 2015-03-31 Adesto Technologies Corporation Memory cells, devices and method with dynamic storage elements and programmable impedance shadow elements
US8987700B2 (en) 2011-12-02 2015-03-24 Macronix International Co., Ltd. Thermally confined electrode for programmable resistance memory
US9099633B2 (en) 2012-03-26 2015-08-04 Adesto Technologies Corporation Solid electrolyte memory elements with electrode interface for improved performance
US9305643B2 (en) 2012-03-27 2016-04-05 Adesto Technologies Corporation Solid electrolyte based memory devices and methods having adaptable read threshold levels
US9001550B2 (en) 2012-04-27 2015-04-07 Macronix International Co., Ltd. Blocking current leakage in a memory array
US9147464B1 (en) 2012-05-15 2015-09-29 Adesto Technologies Corporation System architecture with multiple memory types, including programmable impedance memory elements
US9208870B2 (en) 2012-09-13 2015-12-08 Adesto Technologies Corporation Multi-port memory devices and methods having programmable impedance elements
US8964442B2 (en) 2013-01-14 2015-02-24 Macronix International Co., Ltd. Integrated circuit 3D phase change memory array and manufacturing method
EP3007155B1 (en) 2013-03-12 2018-10-24 Arizona Board of Regents, a Body Corporate of the State of Arizona acting for and on behalf of Arizona State University Image processing of dendritic structures used in tags as physical unclonable function for anti-counterfeiting
CN105474420B (en) 2013-03-15 2018-12-14 Adesto技术公司 Nonvolatile memory with semimetal or semi-conducting electrode
US8860182B1 (en) 2013-03-22 2014-10-14 Kabushiki Kaisha Toshiba Resistance random access memory device
KR20140128482A (en) * 2013-04-25 2014-11-06 에스케이하이닉스 주식회사 Resistance Memory Device and Write Control Circuit, Memory Apparatus and Data Processing System Having the Same, and Operation Method Therefor
US9336879B2 (en) 2014-01-24 2016-05-10 Macronix International Co., Ltd. Multiple phase change materials in an integrated circuit for system on a chip application
US9559113B2 (en) 2014-05-01 2017-01-31 Macronix International Co., Ltd. SSL/GSL gate oxide in 3D vertical channel NAND
US9391270B1 (en) 2014-10-31 2016-07-12 Adesto Technologies Corporation Memory cells with vertically integrated tunnel access device and programmable impedance element
WO2016073910A1 (en) 2014-11-07 2016-05-12 Arizona Board Of Regents On Behalf Of Arizona State University Information coding in dendritic structures and tags
CN104659208A (en) * 2015-02-05 2015-05-27 中国科学院微电子研究所 Non-volatile resistance random access memory and preparation method thereof
CN105990520A (en) * 2015-02-05 2016-10-05 中国科学院微电子研究所 Nonvolatile resistive random access memory device and preparation method thereof
US9515257B2 (en) 2015-02-20 2016-12-06 Northwestern University Gate-tunable atomically-thin memristors and methods for preparing same and applications of same
WO2016153515A1 (en) * 2015-03-26 2016-09-29 Hewlett-Packard Development Company, L.P. Resistance memory devices including cation metal doped volatile selectors
US9672906B2 (en) 2015-06-19 2017-06-06 Macronix International Co., Ltd. Phase change memory with inter-granular switching
US10270451B2 (en) 2015-12-17 2019-04-23 Microsemi SoC Corporation Low leakage ReRAM FPGA configuration cell
US10147485B2 (en) 2016-09-29 2018-12-04 Microsemi Soc Corp. Circuits and methods for preventing over-programming of ReRAM-based memory cells
CN110036484B (en) 2016-12-09 2021-04-30 美高森美SoC公司 Resistive random access memory cell
WO2018232402A1 (en) 2017-06-16 2018-12-20 Arizona Board Of Regents On Behalf Of Arizona State University Polarized scanning of dendritic identifiers
US10522224B2 (en) 2017-08-11 2019-12-31 Microsemi Soc Corp. Circuitry and methods for programming resistive random access memory devices
US11889775B2 (en) 2017-12-18 2024-01-30 Northwestern University Multi-terminal memtransistors and applications of the same
CN110021323A (en) * 2018-01-10 2019-07-16 中电海康集团有限公司 The data storage device and system that write-once is repeatedly read
US11598015B2 (en) 2018-04-26 2023-03-07 Arizona Board Of Regents On Behalf Of Arizona State University Fabrication of dendritic structures and tags
CN109247924B (en) * 2018-11-23 2021-11-23 上海海事大学 Pulse detection device and pulse detection method based on flexible strain sensor

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999028914A2 (en) * 1997-12-04 1999-06-10 Axon Technologies Corporation Programmable sub-surface aggregating metallization structure and method of making same
US6084796A (en) * 1996-05-30 2000-07-04 Axon Technologies Corporation Programmable metallization cell structure and method of making same
WO2000048196A1 (en) * 1999-02-11 2000-08-17 Arizona Board Of Regents Programmable microelectronic devices and methods of forming and programming same
WO2002021542A1 (en) * 2000-09-08 2002-03-14 Axon Technologies Corporation Microelectronic programmable device and methods of forming and programming the same

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2719915B1 (en) * 1994-05-16 1996-06-14 Saint Gobain Vitrage Electrochromic system and its supply process.
FR2746934B1 (en) * 1996-03-27 1998-05-07 Saint Gobain Vitrage ELECTROCHEMICAL DEVICE
US6487106B1 (en) * 1999-01-12 2002-11-26 Arizona Board Of Regents Programmable microelectronic devices and method of forming and programming same
US6448621B1 (en) * 1999-06-04 2002-09-10 Mrinal Thakur Sensor apparatus using an electrochemical cell
US20040124407A1 (en) * 2000-02-11 2004-07-01 Kozicki Michael N. Scalable programmable structure, an array including the structure, and methods of forming the same
US7433655B2 (en) * 2000-03-24 2008-10-07 Cymbet Corporation Battery-operated wireless-communication apparatus and method
US6818481B2 (en) * 2001-03-07 2004-11-16 Micron Technology, Inc. Method to manufacture a buried electrode PCRAM cell

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6084796A (en) * 1996-05-30 2000-07-04 Axon Technologies Corporation Programmable metallization cell structure and method of making same
WO1999028914A2 (en) * 1997-12-04 1999-06-10 Axon Technologies Corporation Programmable sub-surface aggregating metallization structure and method of making same
WO2000048196A1 (en) * 1999-02-11 2000-08-17 Arizona Board Of Regents Programmable microelectronic devices and methods of forming and programming same
WO2002021542A1 (en) * 2000-09-08 2002-03-14 Axon Technologies Corporation Microelectronic programmable device and methods of forming and programming the same

Cited By (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1489621A1 (en) * 2003-06-18 2004-12-22 Macronix International Co., Ltd. Transistor-free random access memory
WO2005024839A1 (en) * 2003-09-11 2005-03-17 Infineon Technologies Ag Storage location having an ionic conduction storage mechanism and method for the production thereof
DE10342026A1 (en) * 2003-09-11 2005-04-28 Infineon Technologies Ag Memory cell with ion conduction storage mechanism and method for its production
KR101078541B1 (en) * 2003-11-28 2011-11-01 소니 주식회사 Memory element and memory device
JP2005197634A (en) * 2003-11-28 2005-07-21 Sony Corp Memory element and memory device
JP2011211226A (en) * 2003-11-28 2011-10-20 Sony Corp Memory device and storage apparatus
EP1536474A3 (en) * 2003-11-28 2007-06-27 Sony Corporation Memory device and storage apparatus
WO2005053047A1 (en) * 2003-11-28 2005-06-09 Infineon Technologies Ag Integrated semiconductor memory and method for producing an integrated semiconductor memory
US8884397B2 (en) 2003-11-28 2014-11-11 Sony Corporation Memory device and storage apparatus
US8981325B2 (en) 2003-11-28 2015-03-17 Sony Corporation Memory device and storage apparatus
US7787279B2 (en) 2003-11-28 2010-08-31 Qimonda Ag Integrated circuit having a resistive memory
EP2161750A3 (en) * 2003-11-28 2010-08-18 Sony Corporation Memory device and storage apparatus
US7719082B2 (en) 2003-11-28 2010-05-18 Sony Corporation Memory device and storage apparatus
KR100800043B1 (en) * 2003-11-28 2008-01-31 인피니언 테크놀로지스 아게 Integrated semiconductor memory and method for producing an integrated semiconductor memory
US11672189B2 (en) 2004-02-06 2023-06-06 Hefei Reliance Memory Limited Two-terminal reversibly switchable memory device
US11502249B2 (en) 2004-02-06 2022-11-15 Hefei Reliance Memory Limited Memory element with a reactive metal layer
US11063214B2 (en) 2004-02-06 2021-07-13 Hefei Reliance Memory Limited Two-terminal reversibly switchable memory device
WO2005083810A2 (en) * 2004-02-23 2005-09-09 Axon Technologies Corporation Programmable structure and device including a metal oxide ion conductor and method of forming the same
WO2005083810A3 (en) * 2004-02-23 2006-01-12 Axon Technologies Corp Programmable structure and device including a metal oxide ion conductor and method of forming the same
KR101043837B1 (en) 2004-03-05 2011-06-22 소니 주식회사 Memory device
EP1571673A2 (en) 2004-03-05 2005-09-07 Sony Corporation Memory device
JP2005252068A (en) * 2004-03-05 2005-09-15 Sony Corp Storage device
EP1571673A3 (en) * 2004-03-05 2006-05-31 Sony Corporation Memory device
US7092278B2 (en) 2004-03-05 2006-08-15 Sony Corporation Memory device
DE102004026002A1 (en) * 2004-05-27 2005-12-22 Infineon Technologies Ag Semiconductor assembly for non-volatile memories has solid electrolyte memory cell structure parallel to main surface of semiconductor substrate and has reactive electrode and inert electrode separated in single-stage manner
DE102004026002B4 (en) * 2004-05-27 2009-01-29 Qimonda Ag Semiconductor device with solid electrolyte memory cells and manufacturing method
DE102004026111A1 (en) * 2004-05-28 2005-12-22 Infineon Technologies Ag Solid electrolyte memory cell production method for semiconductor memories involves doping solid electrolyte material with dopant and then irradiating cell with suitable ions or ion beam either partly or completely
US7319235B2 (en) 2004-06-28 2008-01-15 Infineon Technologies Ag Resistive semiconductor element based on a solid-state ion conductor
DE102004031135A1 (en) * 2004-06-28 2006-01-19 Infineon Technologies Ag Resistive semiconductor element based on a solid-state ion conductor
KR100843676B1 (en) * 2004-08-30 2008-07-04 인피니언 테크놀로지스 아게 Memory componentCBRAM having memory cells based on an active solid electrolyte material which can be changed in terms of its resistance value, and fabrication method therefor
EP1630880A3 (en) * 2004-08-30 2007-08-15 Infineon Technologies AG Memory device (CBRAM) comprising memory cells based on resistance variable solid-state electrolyte material and manufacturing process thereof
EP1630880A2 (en) * 2004-08-30 2006-03-01 Infineon Technologies AG Memory device (CBRAM) comprising memory cells based on resistance variable solid-state electrolyte material and manufacturing process thereof
US7737428B2 (en) 2004-08-30 2010-06-15 Qimonda Ag Memory component with memory cells having changeable resistance and fabrication method therefor
EP2284840A3 (en) * 2004-09-03 2011-06-22 Unity Semiconductor Corporation Memory using mixed valence conductive oxides
US7535035B2 (en) 2004-11-10 2009-05-19 Samsung Electronics Co., Ltd. Cross-point nonvolatile memory devices using binary metal oxide layer as data storage material layer and methods of fabricating the same
GB2421116A (en) * 2004-11-10 2006-06-14 Samsung Electronics Co Ltd Cross-point nonvolatile memory devices using binary metal oxide layer as data storage material layer, and methods of fabricating the same
GB2421116B (en) * 2004-11-10 2007-03-21 Samsung Electronics Co Ltd Cross-point nonvolatile memory devices using binary metal oxide layer as data storage material layer and methods of fabricating the same
JP2006173267A (en) * 2004-12-14 2006-06-29 Sony Corp Storage element and storage device
JP5135797B2 (en) * 2004-12-28 2013-02-06 日本電気株式会社 Switching element, switching element manufacturing method, rewritable logic integrated circuit, and memory element
JP2006196537A (en) * 2005-01-11 2006-07-27 Sony Corp Storage element and storage device
DE102005005938B4 (en) * 2005-02-09 2009-04-30 Qimonda Ag Resistive memory element with shortened erase time, method of manufacture and memory cell arrangement
DE102005005938A1 (en) * 2005-02-09 2006-08-17 Infineon Technologies Ag Resistive memory element with reduced deletion time
US7812404B2 (en) 2005-05-09 2010-10-12 Sandisk 3D Llc Nonvolatile memory cell comprising a diode and a resistance-switching material
US8687410B2 (en) 2005-05-09 2014-04-01 Sandisk 3D Llc Nonvolatile memory cell comprising a diode and a resistance-switching material
US7816659B2 (en) 2005-11-23 2010-10-19 Sandisk 3D Llc Devices having reversible resistivity-switching metal oxide or nitride layer with added metal
US7834338B2 (en) 2005-11-23 2010-11-16 Sandisk 3D Llc Memory cell comprising nickel-cobalt oxide switching element
US8222713B2 (en) 2005-12-02 2012-07-17 Sony Corporation Storage element and storage apparatus
US8592792B2 (en) 2006-03-31 2013-11-26 Sandisk 3D Llc Heterojunction device comprising a semiconductor oxide and a resistivity-switching oxide or nitride
US7875871B2 (en) 2006-03-31 2011-01-25 Sandisk 3D Llc Heterojunction device comprising a semiconductor and a resistivity-switching oxide or nitride
WO2007126669A1 (en) * 2006-03-31 2007-11-08 Sandisk 3D Llc Multilevel nonvolatile memory cell comprising a resistivity- switching oxide or nitride and an antifuse
US8227787B2 (en) 2006-03-31 2012-07-24 Sandisk 3D Llc Heterojunction device comprising a semiconductor and a resistivity-switching oxide or nitride
US7829875B2 (en) 2006-03-31 2010-11-09 Sandisk 3D Llc Nonvolatile rewritable memory cell comprising a resistivity-switching oxide or nitride and an antifuse
US7808810B2 (en) 2006-03-31 2010-10-05 Sandisk 3D Llc Multilevel nonvolatile memory cell comprising a resistivity-switching oxide or nitride and an antifuse
WO2008026081A2 (en) * 2006-08-31 2008-03-06 Interuniversitair Microelektronica Centrum (Imec) Method for manufacturing a resistive switching device and devices obtained thereof
WO2008026081A3 (en) * 2006-08-31 2008-08-28 Imec Inter Uni Micro Electr Method for manufacturing a resistive switching device and devices obtained thereof
US7791060B2 (en) 2006-09-27 2010-09-07 Kabushiki Kaisha Toshiba Semiconductor memory device and method of controlling the same
US8233308B2 (en) 2007-06-29 2012-07-31 Sandisk 3D Llc Memory cell that employs a selectively deposited reversible resistance-switching element and methods of forming the same
US8173486B2 (en) 2007-06-29 2012-05-08 Sandisk 3D Llc Memory cell that employs a selectively grown reversible resistance-switching element and methods of forming the same
US8816315B2 (en) 2007-06-29 2014-08-26 Sandisk 3D Llc Memory cell that employs a selectively grown reversible resistance-switching element and methods of forming the same
US8373150B2 (en) 2007-06-29 2013-02-12 Sandisk 3D, Llc Memory cell that employs a selectively grown reversible resistance-switching element and methods of forming the same
US8913417B2 (en) 2007-06-29 2014-12-16 Sandisk 3D Llc Memory cell that employs a selectively deposited reversible resistance-switching element and methods of forming the same
US7902537B2 (en) 2007-06-29 2011-03-08 Sandisk 3D Llc Memory cell that employs a selectively grown reversible resistance-switching element and methods of forming the same
US7846785B2 (en) 2007-06-29 2010-12-07 Sandisk 3D Llc Memory cell that employs a selectively deposited reversible resistance-switching element and methods of forming the same
US7824956B2 (en) 2007-06-29 2010-11-02 Sandisk 3D Llc Memory cell that employs a selectively grown reversible resistance-switching element and methods of forming the same
US9000506B2 (en) 2010-11-19 2015-04-07 Panasonic Intellectual Property Management Co., Ltd. Variable resistance nonvolatile memory element and method for manufacturing the same
JP2011233917A (en) * 2011-07-08 2011-11-17 Sony Corp Memory element and memory device
US11289542B2 (en) 2011-09-30 2022-03-29 Hefei Reliance Memory Limited Multi-layered conductive metal oxide structures and methods for facilitating enhanced performance characteristics of two-terminal memory cells
US11037987B2 (en) 2011-09-30 2021-06-15 Hefei Reliance Memory Limited Multi-layered conductive metal oxide structures and methods for facilitating enhanced performance characteristics of two-terminal memory cells
US11765914B2 (en) 2011-09-30 2023-09-19 Hefei Reliance Memory Limited Multi-layered conductive metal oxide structures and methods for facilitating enhanced performance characteristics of two-terminal memory cells
US10710070B2 (en) 2015-11-24 2020-07-14 Arizona Board Of Regents On Behalf Of Arizona State University Low-voltage microfluidic valve device and system for regulating the flow of fluid
US11592016B2 (en) 2015-11-24 2023-02-28 Arizona Board Of Regents On Behalf Of Arizona State University Low-voltage microfluidic valve device and system for regulating the flow of fluid
US11127694B2 (en) 2017-03-23 2021-09-21 Arizona Board Of Regents On Behalf Of Arizona State University Physical unclonable functions with copper-silicon oxide programmable metallization cells
US11869852B2 (en) 2017-03-23 2024-01-09 Arizona Board Of Regents On Behalf Of Arizona State University Physical unclonable functions with copper-silicon oxide programmable metallization cells
US10466969B2 (en) 2017-05-08 2019-11-05 Arizona Board Of Regents On Behalf Of Arizona State University Tunable true random number generator using programmable metallization cell(s)
US11244722B2 (en) 2019-09-20 2022-02-08 Arizona Board Of Regents On Behalf Of Arizona State University Programmable interposers for electrically connecting integrated circuits
WO2021089478A1 (en) * 2019-11-04 2021-05-14 Commissariat A L'energie Atomique Et Aux Energies Alternatives Selective non-volatile memory device and associated reading method
FR3102884A1 (en) * 2019-11-04 2021-05-07 Commissariat A L'energie Atomique Et Aux Energies Alternatives NON-VOLATILE SELECTOR MEMORY DEVICE AND ASSOCIATED READING PROCESS
US11923006B2 (en) 2019-11-04 2024-03-05 Commissariat A L'energie Atomique Et Aux Energies Alternatives Selective non-volatile memory device and associated reading method
US11935843B2 (en) 2019-12-09 2024-03-19 Arizona Board Of Regents On Behalf Of Arizona State University Physical unclonable functions with silicon-rich dielectric devices

Also Published As

Publication number Publication date
US20050285096A1 (en) 2005-12-29
US6927411B2 (en) 2005-08-09
US7288781B2 (en) 2007-10-30
US20050269566A1 (en) 2005-12-08
TWI224403B (en) 2004-11-21
CN100514695C (en) 2009-07-15
CN1650444A (en) 2005-08-03
US20030209971A1 (en) 2003-11-13
US20040235204A1 (en) 2004-11-25
US7169635B2 (en) 2007-01-30
WO2003079463A3 (en) 2004-07-01
AU2003233406A1 (en) 2003-09-29
TW200306028A (en) 2003-11-01
US7101728B2 (en) 2006-09-05

Similar Documents

Publication Publication Date Title
US7288781B2 (en) Programmable structure, an array including the structure, and methods of forming the same
US8213218B2 (en) Optimized solid electrolyte for programmable metallization cell devices and structures
US8218350B2 (en) Programmable metallization cell structure including an integrated diode, device including the structure, and method of forming same
US7728322B2 (en) Programmable metallization cell structures including an oxide electrolyte, devices including the structure and method of forming same
US7372065B2 (en) Programmable metallization cell structures including an oxide electrolyte, devices including the structure and method of forming same
US6985378B2 (en) Programmable microelectronic device, structure, and system and method of forming the same
US6825489B2 (en) Microelectronic device, structure, and system, including a memory structure having a variable programmable property and method of forming the same
US8134140B2 (en) Programmable metallization cell structure including an integrated diode, device including the structure, and method of forming same
US20040124407A1 (en) Scalable programmable structure, an array including the structure, and methods of forming the same
US7675766B2 (en) Microelectric programmable device and methods of forming and programming the same
US7294875B2 (en) Nanoscale programmable structures and methods of forming and using same
US6998312B2 (en) Microelectronic programmable device and methods of forming and programming the same
WO2002021542A1 (en) Microelectronic programmable device and methods of forming and programming the same
US20100092656A1 (en) Printable ionic structure and method of formation
WO2005083810A2 (en) Programmable structure and device including a metal oxide ion conductor and method of forming the same
WO2002082452A2 (en) Microelectronic device, structure, and system, including a memory structure having a variable programmable property and method of forming the same

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 20038100215

Country of ref document: CN

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP