WO2003083182A2 - Process window for gap-fill on very high aspect ratio structures using additives in low acid copper baths - Google Patents

Process window for gap-fill on very high aspect ratio structures using additives in low acid copper baths Download PDF

Info

Publication number
WO2003083182A2
WO2003083182A2 PCT/US2003/002280 US0302280W WO03083182A2 WO 2003083182 A2 WO2003083182 A2 WO 2003083182A2 US 0302280 W US0302280 W US 0302280W WO 03083182 A2 WO03083182 A2 WO 03083182A2
Authority
WO
WIPO (PCT)
Prior art keywords
concentration
plating
plating solution
substrate
ppm
Prior art date
Application number
PCT/US2003/002280
Other languages
French (fr)
Other versions
WO2003083182A3 (en
Inventor
Srinivas Gandikota
Chris Mcguirk
Deenesh Padhi
Sivakami Ramanathan
Girish Dixit
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to MXPA04007362A priority Critical patent/MXPA04007362A/en
Publication of WO2003083182A2 publication Critical patent/WO2003083182A2/en
Publication of WO2003083182A3 publication Critical patent/WO2003083182A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • H05K3/42Plated through-holes or plated via connections
    • H05K3/423Plated through-holes or plated via connections characterised by electroplating method

Definitions

  • Embodiments of the present invention generally relate to defect-free filling of features on a substrate with copper. More particularly, embodiments of the present invention relate to an electroplating composition and method for filling features formed on substrates.
  • Electroplating processes for manufacturing semiconductor devices typically require a thin, continuous, electrically conductive seed layer to be deposited on a substrate prior to the plating process.
  • the seed layer generally is formed of a conductive metal, such as copper, and is conventionally deposited on the substrate using PVD or CVD techniques.
  • Electroplating a desired metal is then generally accomplished by applying an electrical bias to the seed layer and exposing the substrate to an electroplating solution containing metal ions that will plate over the seed layer in the presence of the electrical bias.
  • Copper has a lower resistivity, e.g., 1.7 ⁇ -cm compared to 3.1 ⁇ -cm for aluminum, and can carry a higher current density than aluminum. Therefore, it is desirable to use copper to form interconnects in semiconductor devices, rather than aluminum.
  • the electroplating solutions used in many conventional plating cells are designed to provide acceptable plating results when used in many different cell designs, on many different substrates, and in numerous different applications, such as electroplating and mechanical polishing.
  • electroplating solutions consist of copper sulfate solutions including sulfuric acid to change the acidity or pH of the plating solution, copper chloride for nucleation of suppressor molecules, and additives to aid in depositing copper on the surface of a substrate and in filling sub-micron sized features, e.g., vias and interconnects.
  • the additives may include any combination of, but not limited to, levelers, brighteners or accelerators, inhibitors, suppressors, enhancers, and surfactants.
  • the additives are typically organic molecules that adsorb onto the surface of the substrate. Certain additives may decrease the ionization rate of metal atoms, thereby inhibiting the deposition process, whereas other additives may increase the dissolution rate of removed metal ions, thereby increasing the deposition rate of metal.
  • Cells which are not specifically designed to provide highly uniform current density and the deposit thickness distribution on specific substrates, e.g., substrates of varying diameter, utilize high conductivity solutions to provide high throwing power, e.g., a high Wagner number, so that good coverage is achieved on all surfaces of the plated substrate.
  • "throwing power” refers to the ability of a plating solution to deposit metal uniformly on a substrate.
  • An acid such as sulfuric acid, or occasionally a conductive salt, is added to the electroplating solution to provide the high ionic conductivity to the plating solution necessary to achieve high throwing power.
  • the acid does not participate in the electrode reactions, but is required in order to provide conformal coverage of the plating material over the surface of the object because the acid reduces the resistivity within the electroplating solution.
  • a problem encountered with conventional plating solutions is that the deposition process on small features is controlled by mass transport, i.e., diffusion, of the metal into the features and by the kinetics of the electrolyte reaction instead of by the magnitude of the electric field as is common on large features. Therefore, the rate at which plating ions are provided to the surface of the substrate can limit the plating rate, irrespective of the voltage or current density applied to the plating surface.
  • highly conductive electroplating solutions that provide conventional throwing power have little significance in obtaining good coverage and fill within a relatively small feature, i.e., sub-micron sized, because the transport rates are diminished by approximately one half, which may cause a reduction in the quality of the deposit and may lead to fill defects, particularly on small features.
  • the deposition process In order to obtain good quality deposition, the deposition process must have high mass-transfer rates and low depletion of the reactant concentration near or within the small features. However, in the presence of excess acid, the transport rates are diminished.
  • Diffusion of the metal ion to be plated is directly related to the concentration of the plated metal ion in the electroplating solution.
  • a higher metal ion concentration results in a higher rate of diffusion of the metal into small features and in a higher metal ion concentration within the depletion layer, i.e., the boundary layer, at the cathode surface, hence faster and better quality deposition may be achieved.
  • electrochemical deposition of copper can be achieved by pulse plating using two component chemistries, i.e., electroplating solutions including accelerators and suppressors, pulse plating on a non-continuous seed layer leads to erosion of the seed layer at regions of minimal coverage.
  • suppressors inhibit or reduce copper deposition in the adsorbed areas
  • accelerators accumulate growth in the adsorbed areas.
  • the accelerator may accumulate at the mouth of the feature, e.g., via/trench, and close the mouth of the feature before the feature is completely filled, thus creating a void.
  • various parameters such as temperature, electrode voltage, and acidity of the solution affect the desired ability of the suppressers and accelerators to provide bottom up coverage of a feature.
  • Figure 1 is a cross-sectional view of an exemplary electroplating system of the invention.
  • Embodiments of the invention generally provide a method and composition for plating a metal into high aspect ratio features.
  • the method includes disposing the substrate and an anode in a plating solution, the solution having metal ions at a molar concentration of between about 0.4 M and about 0.9 M, an acid at a concentration of between about 4 gm/L and about 40 gm/L, a suppressor at a concentration of between about 2 mL/L and about 15 mL/L, an accelerator at a concentration of between about 1.5 mL/L and about 8 mL/L, and a leveler at a concentration of between about 4 mL/L and about 11 mL/L.
  • the metal ions are then plated from the plating solution into the features without forming voids within features on the substrate.
  • Embodiments of the invention further provide a composition for plating a metal into high aspect ratio features.
  • the composition includes metal ions at a molar concentration of betwen about 0.4 M and about 0.9 M, a suppressor at a concentration of between about 2 mL/L and about 15 mL/L, an accelerator at a concentration of between about 1.5 mL/L and about 8 mL/L, and a leveler at a concentration of between about 4 mL/L and about 11 mL/L.
  • Embodiments of the invention also provide a method for plating copper into high aspect ratio features.
  • the method generally includes disposing a substrate and an anode in a plating solution, the solution having copper ions at a molar concentration of between about 0.6 M and about 0.9 M, chlorine at a concentration of between about 30 ppm and about 60 ppm, sulfuric acid at a concentration of between about 4 gm/L and about 10 gm/L, a suppressor at a concentration of between about 3.5 mL/L and about 12 mL/L, an accelerator at a concentration of between about 2.5 mL/L and about 5.5 mL/L, and a leveler at a concentration of between about 4 mL/L and about 11 mL/L.
  • the method further includes plating copper ions from the plating solution into the features.
  • FIG. 1 illustrates a cross sectional view of an exemplary electroplating cell of the present invention.
  • the exemplary processing cell 100 generally includes a head assembly 110, a process kit 120, and an electroplating solution collector 140.
  • the electroplating solution collector 140 may be secured onto the body 142 of the mainframe 114 over an opening 143 that defines a location for placement of the process kit 120.
  • the electroplating solution collector 140 generally includes an inner wall 146, an outer wall 148, and a bottom 147 connecting the respective walls.
  • a fluid inlet 149 may be disposed through the bottom of the electroplating solution collector 140 wherein electroplating solution may enter the electroplating cell.
  • Embodiments of the invention employ aqueous copper plating solutions comprising copper sulfate at a concentration of between about 30 g/L and about 55 g/L, i.e., between about 0.48 M and about 0.9 M.
  • An electroplating solution having a high copper concentration, i.e., greater than 0.4 M, is beneficial to overcome mass transport limitations that are encountered when plating small features.
  • sub-micron scale features with high aspect ratios, i.e., greater than 4:1 typically allow only minimal or no electroplating solution flow therein, the ionic transport relies solely on diffusion to deposit metal into the small features.
  • a high copper concentration in the electroplating solution typically in the range of about 0.4 M to about 0.9 M, and preferably from about 0.6 M to about 0.9 M, enhances the diffusion process and reduces or eliminates the mass transport limitations.
  • the metal concentration required for the plating process may depend on other factors such as temperature and the acid concentration of the electroplating solution.
  • a lower acid concentration often permits the use of a higher metal ion, e.g., copper sulfate, concentration due to elimination of the limiting effect of the acid.
  • the diffusion of metal ions in the electroplating solution is dependent on temperature, e.g., as temperature increases, diffusion increases. Therefore, the operating temperatures of the electroplating solution may range from about 15 °C to about 25 °C.
  • the electroplating solution may include other copper salts, such as copper fluoborate, copper gluconate, copper sulfamate, copper sulfonate, copper pyrophosphate, copper chloride, or copper cyanide. Some of these salts offer higher solubility than copper sulfate and therefore may be advantageous.
  • a high sulfuric acid concentration may be detrimental to uniform plating because the resistive substrate effects may be amplified by a highly conductive electroplating solution.
  • the effects may be amplified because the degree of uniformity of the current distribution and the corresponding deposit thickness is dependent on the ratio of the resistance the current flow within the electroplating solution to the resistance of the substrate. Therefore, when uniformity is a primary concern, it is desirable to have a high resistance within the electroplating solution.
  • the electroplating solution resistance is equal to Mwr 2 , it is advantageous to have a low conductivity, K. AS used herein, ⁇ is approximately equal to 3.14, r is equal to the radius of the substrate, and K is equal to the conductivity of the electroplating solution. Therefore, the electroplating solution includes an acid at a concentration of between about 4 gm/L and about 60 gm/L.
  • the plating solution may also contain halide ions, such as chloride ions at a concentration of between about 10 ppm and about 80 ppm.
  • the plating solution may further includes suppressors, accelerators, and levelers to assist in filling small features.
  • Suppressors generally adsorb on the substrate surface and inhibit or reduce copper deposition in the adsorbed areas.
  • Suppressors added to the plating solution may include two-element polyethylene glycol based suppressors, such as suppressors made of random/block copolymers of ethylene oxide and propylene oxide mixed in a wide range of ratios. Accelerators compete with suppressers for adsorption sites and accelerate copper growth in the adsorbed areas.
  • the accelerators used in the plating solution may include sulphur containing compounds, such as sulfite or di-sulfate. Accelerators, with smaller molecular dimensions, can diffuse faster than suppressors. Suppressors and accelerators heavily populate around the features and since the suppressors inhibit the copper growth, a small overhang of the seed layer can close the mouth of the feature leading to a void in the feature. Therefore, the most desired electroplating solution is one where the suppression is mostly active on the top of the topographical features and the accelerators dominate the suppressors in activity inside features so as to achieve bottom up growth.
  • embodiments of the invention include an electroplating solution having an accelerator concentration of between about 2.5 mL/L and about 8 mL/L, a suppressor concentration of between about 2 mL/L and 12 mL/L, and a leveler concentration of between about 2 mL/L and about 11 mL/L.
  • a substrate with a patterned dielectric layer of Si/SiO 2 thereon receives a conformal TaN barrier layer having a thickness of about 25 ⁇ A deposited using a Vectra IMP source, which is commercially available from Applied Materials, Inc., of Santa Clara, Calif., using process conditions recommended by the equipment manufacturer.
  • a PVD Cu seed layer having a thickness of about 50 ⁇ A to about 100 ⁇ A is then deposited on the TaN barrier layer using an Electra Cu source, which is also commercially available from Applied Materials, Inc., of Santa Clara, Calif., using process conditions recommended by the equipment manufacturer.
  • the plating process may be carried out on the Electra ECP system, which is commercially available from Applied Materials, Inc., of Santa Clara, Calif.
  • the temperature of the plating solution may be about 20°C.
  • the additives, accelerator "X” and suppresser "Y”, for example, may be supplied by Enthone OMI, of New Haven, CT. Scanning electron microscope (SEM) and focused ion beam (FIB) techniques may be used to study the feature fill.
  • An exemplary embodiment of the invention includes a plating solution having chlorine ions at a concentration of about 50 ppm, copper ions at a concentration of between about 35 g/L and about 50 g/L, and an acid at a concentration of about 50 gm/L.
  • the plating solution further includes suppressors at a concentration of about 3 mL/L, accelerators at a concentration of about 6.5 mL/L, and levelers at a concentration of about 4 mL/L.
  • suppressors and accelerators improves the control of the deposition rates of electroplating solutions. Since the suppressors and accelerators tend to fill the features as soon as the substrate comes into contact with the plating solution, any delay between the substrate immersion into the plating solution and the start of actual plating may lead to voiding in the features due to random distribution of the additives and the etching of the seed layer.
  • a substrate loading bias from about -0.8 V to about -10 V may be applied to the substrate plating surface while the substrate is being immersed in the plating solution. While theoretical calculations of electrochemical chemical potential establish a loading bias of -0.32 V, the experimental data collected shows otherwise.
  • the plating process of the embodiments described herein are carried out at current densities ranging between about 1 mA/cm 2 and about 20 mA/cm 2 , preferably between about 1 mA/cm 2 and about 7.5 mA/cm 2 .
  • An exemplary embodiment of the invention applies a current density of about 60 mA/cm 2 for bottom fill of the features and a current density of 10 mA/cm 2 for the plating step.
  • Embodiments of the invention are described in reference to electroplating copper on substrates. However, it is to be understood that low conductivity electroplating solutions, particularly solutions having low acid concentrations, can be used to deposit metals other than copper on resistive substrates and have application in any field where plating can be used to advantage.

Abstract

The present invention provides a composition and method for void-free plating of a metal into high aspect ratio features. The plating process is carried out in a plating solution containing metal at a molar concentration of between about 0.4 M and about 0.9 M, an acid at a concentration of between about 4 mg/L and about 40 mg/L, a suppressor at a concentration of between about 2 mL/L and about 15 mL/L, an accelerator at a concentration of between about 1.5 mL/L and about 8 mL/L, and a leveler at a concentration of between about 4 mL/L and about 11 mL/L.

Description

PROCESS WINDOW FOR GAP-FILL ON VERY HIGH ASPECT RATIO STRUCTURES USING ADDITIVES IN LOW ACID COPPER BATHS
BACKGROUND OF THE INVENTION Field of the Invention
Embodiments of the present invention generally relate to defect-free filling of features on a substrate with copper. More particularly, embodiments of the present invention relate to an electroplating composition and method for filling features formed on substrates.
Description of the Related Art
Electroplating processes for manufacturing semiconductor devices typically require a thin, continuous, electrically conductive seed layer to be deposited on a substrate prior to the plating process. The seed layer generally is formed of a conductive metal, such as copper, and is conventionally deposited on the substrate using PVD or CVD techniques. Electroplating a desired metal is then generally accomplished by applying an electrical bias to the seed layer and exposing the substrate to an electroplating solution containing metal ions that will plate over the seed layer in the presence of the electrical bias.
Copper has a lower resistivity, e.g., 1.7 μΩ-cm compared to 3.1 μΩ-cm for aluminum, and can carry a higher current density than aluminum. Therefore, it is desirable to use copper to form interconnects in semiconductor devices, rather than aluminum. The electroplating solutions used in many conventional plating cells are designed to provide acceptable plating results when used in many different cell designs, on many different substrates, and in numerous different applications, such as electroplating and mechanical polishing. Typically, electroplating solutions consist of copper sulfate solutions including sulfuric acid to change the acidity or pH of the plating solution, copper chloride for nucleation of suppressor molecules, and additives to aid in depositing copper on the surface of a substrate and in filling sub-micron sized features, e.g., vias and interconnects. The additives may include any combination of, but not limited to, levelers, brighteners or accelerators, inhibitors, suppressors, enhancers, and surfactants. The additives are typically organic molecules that adsorb onto the surface of the substrate. Certain additives may decrease the ionization rate of metal atoms, thereby inhibiting the deposition process, whereas other additives may increase the dissolution rate of removed metal ions, thereby increasing the deposition rate of metal.
Cells which are not specifically designed to provide highly uniform current density and the deposit thickness distribution on specific substrates, e.g., substrates of varying diameter, utilize high conductivity solutions to provide high throwing power, e.g., a high Wagner number, so that good coverage is achieved on all surfaces of the plated substrate. As used herein, "throwing power" refers to the ability of a plating solution to deposit metal uniformly on a substrate. An acid, such as sulfuric acid, or occasionally a conductive salt, is added to the electroplating solution to provide the high ionic conductivity to the plating solution necessary to achieve high throwing power. The acid does not participate in the electrode reactions, but is required in order to provide conformal coverage of the plating material over the surface of the object because the acid reduces the resistivity within the electroplating solution.
A problem encountered with conventional plating solutions is that the deposition process on small features is controlled by mass transport, i.e., diffusion, of the metal into the features and by the kinetics of the electrolyte reaction instead of by the magnitude of the electric field as is common on large features. Therefore, the rate at which plating ions are provided to the surface of the substrate can limit the plating rate, irrespective of the voltage or current density applied to the plating surface. Hence, highly conductive electroplating solutions that provide conventional throwing power have little significance in obtaining good coverage and fill within a relatively small feature, i.e., sub-micron sized, because the transport rates are diminished by approximately one half, which may cause a reduction in the quality of the deposit and may lead to fill defects, particularly on small features. In order to obtain good quality deposition, the deposition process must have high mass-transfer rates and low depletion of the reactant concentration near or within the small features. However, in the presence of excess acid, the transport rates are diminished.
Diffusion of the metal ion to be plated is directly related to the concentration of the plated metal ion in the electroplating solution. A higher metal ion concentration results in a higher rate of diffusion of the metal into small features and in a higher metal ion concentration within the depletion layer, i.e., the boundary layer, at the cathode surface, hence faster and better quality deposition may be achieved. Although electrochemical deposition of copper can be achieved by pulse plating using two component chemistries, i.e., electroplating solutions including accelerators and suppressors, pulse plating on a non-continuous seed layer leads to erosion of the seed layer at regions of minimal coverage. As a result, most systems use three .component chemistries, i.e., suppressors, accelerators, and levelers. Suppressors inhibit or reduce copper deposition in the adsorbed areas, while accelerators accumulate growth in the adsorbed areas. One problem encountered as a result of this competition for adsorption sites is that the accelerator may accumulate at the mouth of the feature, e.g., via/trench, and close the mouth of the feature before the feature is completely filled, thus creating a void. Another problem is that various parameters such as temperature, electrode voltage, and acidity of the solution affect the desired ability of the suppressers and accelerators to provide bottom up coverage of a feature.
Therefore, there exists a need for a composition and method for plating a metal in small features, e.g., sub-micron scale and smaller features.
BRIEF DESCRIPTION OF THE DRAWINGS
So that the manner in which the above recited features of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof, which are illustrated in the appended drawing. It is to be noted, however, that the appended drawing illustrates only typical embodiments of this invention, and is therefore, not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
Figure 1 is a cross-sectional view of an exemplary electroplating system of the invention.
SUMMARY OF THE INVENTION
Embodiments of the invention generally provide a method and composition for plating a metal into high aspect ratio features. The method includes disposing the substrate and an anode in a plating solution, the solution having metal ions at a molar concentration of between about 0.4 M and about 0.9 M, an acid at a concentration of between about 4 gm/L and about 40 gm/L, a suppressor at a concentration of between about 2 mL/L and about 15 mL/L, an accelerator at a concentration of between about 1.5 mL/L and about 8 mL/L, and a leveler at a concentration of between about 4 mL/L and about 11 mL/L. The metal ions are then plated from the plating solution into the features without forming voids within features on the substrate.
Embodiments of the invention further provide a composition for plating a metal into high aspect ratio features. The composition includes metal ions at a molar concentration of betwen about 0.4 M and about 0.9 M, a suppressor at a concentration of between about 2 mL/L and about 15 mL/L, an accelerator at a concentration of between about 1.5 mL/L and about 8 mL/L, and a leveler at a concentration of between about 4 mL/L and about 11 mL/L.
Embodiments of the invention also provide a method for plating copper into high aspect ratio features. The method generally includes disposing a substrate and an anode in a plating solution, the solution having copper ions at a molar concentration of between about 0.6 M and about 0.9 M, chlorine at a concentration of between about 30 ppm and about 60 ppm, sulfuric acid at a concentration of between about 4 gm/L and about 10 gm/L, a suppressor at a concentration of between about 3.5 mL/L and about 12 mL/L, an accelerator at a concentration of between about 2.5 mL/L and about 5.5 mL/L, and a leveler at a concentration of between about 4 mL/L and about 11 mL/L. The method further includes plating copper ions from the plating solution into the features.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
Figure 1 illustrates a cross sectional view of an exemplary electroplating cell of the present invention. The exemplary processing cell 100 generally includes a head assembly 110, a process kit 120, and an electroplating solution collector 140. The electroplating solution collector 140 may be secured onto the body 142 of the mainframe 114 over an opening 143 that defines a location for placement of the process kit 120. The electroplating solution collector 140 generally includes an inner wall 146, an outer wall 148, and a bottom 147 connecting the respective walls. A fluid inlet 149 may be disposed through the bottom of the electroplating solution collector 140 wherein electroplating solution may enter the electroplating cell. Embodiments of the invention employ aqueous copper plating solutions comprising copper sulfate at a concentration of between about 30 g/L and about 55 g/L, i.e., between about 0.48 M and about 0.9 M. An electroplating solution having a high copper concentration, i.e., greater than 0.4 M, is beneficial to overcome mass transport limitations that are encountered when plating small features. In particular, because sub-micron scale features with high aspect ratios, i.e., greater than 4:1 , typically allow only minimal or no electroplating solution flow therein, the ionic transport relies solely on diffusion to deposit metal into the small features. A high copper concentration in the electroplating solution, typically in the range of about 0.4 M to about 0.9 M, and preferably from about 0.6 M to about 0.9 M, enhances the diffusion process and reduces or eliminates the mass transport limitations. The metal concentration required for the plating process may depend on other factors such as temperature and the acid concentration of the electroplating solution. A lower acid concentration often permits the use of a higher metal ion, e.g., copper sulfate, concentration due to elimination of the limiting effect of the acid. Additionally, the diffusion of metal ions in the electroplating solution is dependent on temperature, e.g., as temperature increases, diffusion increases. Therefore, the operating temperatures of the electroplating solution may range from about 15 °C to about 25 °C. In addition to copper sulfate, the electroplating solution may include other copper salts, such as copper fluoborate, copper gluconate, copper sulfamate, copper sulfonate, copper pyrophosphate, copper chloride, or copper cyanide. Some of these salts offer higher solubility than copper sulfate and therefore may be advantageous.
In embodiments of the present invention, a high sulfuric acid concentration may be detrimental to uniform plating because the resistive substrate effects may be amplified by a highly conductive electroplating solution. The effects may be amplified because the degree of uniformity of the current distribution and the corresponding deposit thickness is dependent on the ratio of the resistance the current flow within the electroplating solution to the resistance of the substrate. Therefore, when uniformity is a primary concern, it is desirable to have a high resistance within the electroplating solution. Since the electroplating solution resistance is equal to Mwr2, it is advantageous to have a low conductivity, K. AS used herein, π is approximately equal to 3.14, r is equal to the radius of the substrate, and K is equal to the conductivity of the electroplating solution. Therefore, the electroplating solution includes an acid at a concentration of between about 4 gm/L and about 60 gm/L.
The plating solution may also contain halide ions, such as chloride ions at a concentration of between about 10 ppm and about 80 ppm. The plating solution may further includes suppressors, accelerators, and levelers to assist in filling small features. Suppressors generally adsorb on the substrate surface and inhibit or reduce copper deposition in the adsorbed areas. Suppressors added to the plating solution may include two-element polyethylene glycol based suppressors, such as suppressors made of random/block copolymers of ethylene oxide and propylene oxide mixed in a wide range of ratios. Accelerators compete with suppressers for adsorption sites and accelerate copper growth in the adsorbed areas. The accelerators used in the plating solution may include sulphur containing compounds, such as sulfite or di-sulfate. Accelerators, with smaller molecular dimensions, can diffuse faster than suppressors. Suppressors and accelerators heavily populate around the features and since the suppressors inhibit the copper growth, a small overhang of the seed layer can close the mouth of the feature leading to a void in the feature. Therefore, the most desired electroplating solution is one where the suppression is mostly active on the top of the topographical features and the accelerators dominate the suppressors in activity inside features so as to achieve bottom up growth. Therefore, embodiments of the invention include an electroplating solution having an accelerator concentration of between about 2.5 mL/L and about 8 mL/L, a suppressor concentration of between about 2 mL/L and 12 mL/L, and a leveler concentration of between about 2 mL/L and about 11 mL/L.
An exemplary embodiment of the invention is described below. A substrate with a patterned dielectric layer of Si/SiO2 thereon, receives a conformal TaN barrier layer having a thickness of about 25θA deposited using a Vectra IMP source, which is commercially available from Applied Materials, Inc., of Santa Clara, Calif., using process conditions recommended by the equipment manufacturer. A PVD Cu seed layer having a thickness of about 50θA to about 100θA is then deposited on the TaN barrier layer using an Electra Cu source, which is also commercially available from Applied Materials, Inc., of Santa Clara, Calif., using process conditions recommended by the equipment manufacturer. The plating process may be carried out on the Electra ECP system, which is commercially available from Applied Materials, Inc., of Santa Clara, Calif. The temperature of the plating solution may be about 20°C. The additives, accelerator "X" and suppresser "Y", for example, may be supplied by Enthone OMI, of New Haven, CT. Scanning electron microscope (SEM) and focused ion beam (FIB) techniques may be used to study the feature fill.
The activity of suppressers and accelerators depends on various parameters such as temperature, pH of the plating solution, and chloride concentration in the electroplating solution. The temperature effect of the activities of the additives is related to the polarization dependence of these additives on temperature. The temperature at which one can achieve void free fill is expected to be different for different plating solution compositions and different additives in the plating solution. An exemplary embodiment of the invention includes a plating solution having chlorine ions at a concentration of about 50 ppm, copper ions at a concentration of between about 35 g/L and about 50 g/L, and an acid at a concentration of about 50 gm/L. The plating solution further includes suppressors at a concentration of about 3 mL/L, accelerators at a concentration of about 6.5 mL/L, and levelers at a concentration of about 4 mL/L.
The addition of suppressors and accelerators improves the control of the deposition rates of electroplating solutions. Since the suppressors and accelerators tend to fill the features as soon as the substrate comes into contact with the plating solution, any delay between the substrate immersion into the plating solution and the start of actual plating may lead to voiding in the features due to random distribution of the additives and the etching of the seed layer. To reduce such voiding activity, a substrate loading bias from about -0.8 V to about -10 V may be applied to the substrate plating surface while the substrate is being immersed in the plating solution. While theoretical calculations of electrochemical chemical potential establish a loading bias of -0.32 V, the experimental data collected shows otherwise. Experimental data indicated that applying a loading bias of about -0.8 V or greater for 200mm substrates provided a void free fill of the features. For plating 300 mm substrates, an immersion loading bias of about -2 V to about -8 V yields void free fill. The results additionally indicate that loading bias not only circumvents seed layer dissolution but also provide polarization of organic molecules conducive for superfill. To further enhance plating, the substrate is rotated upon immersion into the plating solution between about 20 rpm and about 50 rpm. Upon plating, the substrate is rotated between about 3 rpm and about 30 rpm. Exemplary embodiments of the invention rotate the substrate upon immersion at about 30 rpm and upon plating at about 5 rpm.
It has been observed that at current densities greater than about 20 mA/cm2, copper deposits rapidly, not allowing establishment of a concentration gradient of organic molecules. At current densities less than about 1 mA/cm2, suppressors overpopulate in the features, resulting in seams. Therefore, the plating process of the embodiments described herein are carried out at current densities ranging between about 1 mA/cm2 and about 20 mA/cm2, preferably between about 1 mA/cm2 and about 7.5 mA/cm2. An exemplary embodiment of the invention applies a current density of about 60 mA/cm2 for bottom fill of the features and a current density of 10 mA/cm2 for the plating step.
Embodiments of the invention are described in reference to electroplating copper on substrates. However, it is to be understood that low conductivity electroplating solutions, particularly solutions having low acid concentrations, can be used to deposit metals other than copper on resistive substrates and have application in any field where plating can be used to advantage.
While the foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

Claims
What is claimed is:
1. A method for plating a metal into high aspect ratio features, comprising: disposing a substrate and an anode in a plating solution, the solution comprising: metal ions at a molar concentration of between about 0.4 M and about 0.9 M; an acid at a concentration of between about 4 gm/L and about 60 gm/L; a suppressor at a concentration of between about 2 mL/L and about 15 mL/L; an accelerator at a concentration of between about 1.5 mL/L and about 8 mL/L; a leveler at a concentration of between about 2 mL/L and about 11 mL/L; and plating metal ions from the plating solution into the features.
3. The method of claim 1 , wherein the plating solution is at a temperature of between about 15 °C and about 25 °C.
4. The method of claim 1 , wherein metal ions are at a molar concentration of between about 0.6 M and about 0.9 M.
5. The method of claim 1 , wherein the metal ions are copper ions.
6. The method of claim 1, wherein the suppressor is at a concentration of between about 3.5 mL/L and about 12 mL/L.
7. The method of claim 1 , wherein the suppressor comprises a mixture selected from the group comprising polyethylene glycols, copolymers of ethylene oxide, and copolymers of propylene oxide.
8. The method of claim 1 , wherein the accelerator is at a concentration of between about 2.5 mL/L and about 5.5 mL/L.
9. The method of claim 1 , wherein the accelerator is a sulphur containing compound selected from the group comprising sulfite and di-sulfate.
10. The method of claim 1 , wherein the leveler is at a concentration of between about 4 mL/L and about 11 mL/L.
11. The method of claim 1 , further comprising biasing the substrate with a loading bias of between about -0.8 V and about -10 V during a loading process.
12. The method of claim 1, wherein the substrate is 300 mm in diameter
13. The method of claim 12, further comprising biasing the substrate with a loading bias between about -2 V and about -8 V.
14. The method of claim 1 , wherein the plating solution contains halide ions.
15. The method of claim 1 , wherein the plating solution contains chlorine at a concentration of between about 10 ppm and about 80 ppm.
16. The method of claim 1 , wherein the plating solution contains chlorine at a concentration of between about 30 ppm and about 60 ppm.
17. The method of claim 1 , wherein the substrate is biased with a current density of between about 1 mA/cm2 and about 20 mA/cm2 during the plating step.
18. The method of claim 1, wherein the acid is at a concentration of between about 4 gm/L and about 10 gm/L.
19. The method of claim 1 , wherein the acid is sulfuric acid at a concentration of between about 4 gm/L and about 10 gm/L.
20. The method of claim 1 , wherein the plating solution comprises: copper sulfate at a molar concentration of between about 0.6 M and about 0.9 M; chlorine at a concentration of between about 30 ppm and about 60 ppm; sulfuric acid at a concentration of between about 4 gm/L and about 10 gm/L; the suppressor at a concentration of between about 3.5 mL/L and about 12 mL/L; the accelerator at a concentration of between about 2.5 mL/L and about 5.5 mL/L; and the leveler at a concentration of between about 4 mL/L and about 11 mL/L.
21. An electroplating solution for plating a metal into high aspect ratio apertures, comprising: metal ions at a molar concentration of between about 0.4 M and about 0.9 M; an acid at a concentration of between about 4 gm/L and about 60 gm/L; a suppressor at a concentration of between about 2 mL/L and about 15 mL/L; an accelerator at a concentration of between about 1.5 mL/L and about 8 mL/L; and a leveler at a concentration of between about 2 mL/L and about 11 mL/L.
22. The plating solution of claim 21 , wherein the metal ions are at a molar concentration of between about 0.6 M and about 0.9 M.
23. The plating solution of claim 21 , wherein the metal ions are copper ions.
24. The plating solution of claim 21 , wherein the suppressor is at a concentration of between about 3.5 mL/L and about 12 mL/L.
25. The plating solution of claim 21 , wherein the accelerator is at a concentration of between about 2.5 mL/L and about 5.5 mL/L.
26. The plating solution of claim 21 , wherein the leveler is at a concentration from of between 4 mL/L and about 11 mL/L.
27. The plating solution of claim 21 , wherein the solution comprises: copper ions at a molar concentration of between about 0.6 M and about 0.9 M; chlorine ions at a concentration of between about 30 ppm and about 60 ppm; the suppressor at a concentration of between about 3.5 mL/L and about 12 mL/L; the accelerator at a concentration of between about 2.5 mL/L and about 5.5 mL/L of; and the leveler at a concentration of between about 4 mL/L and about 11 mL/L.
28. A method for plating copper into high aspect ratio features, comprising: disposing a substrate and an anode in a plating solution, the solution comprising: copper ions at a molar concentration of between about 0.6 M and about 0.9 M; chlorine at a concentration of between about 30 ppm and about 60 ppm; sulfuric acid at a concentration of between about 4 gm/L and about 10 gm/L; a suppressor at a concentration of between about 3.5 mL/L and about 12 mL/L; an accelerator at a concentration of between about 2.5 mL/L and about 5.5 mL/L; and a leveler at a concentration of between about 4 mL/L and about 11 mL/L; and plating copper ions from the plating solution into the features.
29. The method of claim 28, wherein the plating solution is at a temperature of between about 15 °C and about 25 °C.
30. The method of claim 28, further comprising biasing the substrate with a loading bias of between about -0.8 V and about -10 V.
31. The method of claim 28, wherein the substrate is biased with a current density of between about 1 mA/cm2 and about 20 mA/cm2 during the plating step.
PCT/US2003/002280 2002-01-29 2003-01-24 Process window for gap-fill on very high aspect ratio structures using additives in low acid copper baths WO2003083182A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
MXPA04007362A MXPA04007362A (en) 2002-01-29 2003-01-24 Reduction of hair growth.

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/109,560 US20020112964A1 (en) 2000-07-12 2002-03-26 Process window for gap-fill on very high aspect ratio structures using additives in low acid copper baths
US10/109,560 2002-03-26

Publications (2)

Publication Number Publication Date
WO2003083182A2 true WO2003083182A2 (en) 2003-10-09
WO2003083182A3 WO2003083182A3 (en) 2005-05-12

Family

ID=28673628

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/002280 WO2003083182A2 (en) 2002-01-29 2003-01-24 Process window for gap-fill on very high aspect ratio structures using additives in low acid copper baths

Country Status (3)

Country Link
US (1) US20020112964A1 (en)
TW (1) TW200305937A (en)
WO (1) WO2003083182A2 (en)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7227265B2 (en) 2000-10-10 2007-06-05 International Business Machines Corporation Electroplated copper interconnection structure, process for making and electroplating bath
US20050081744A1 (en) * 2003-10-16 2005-04-21 Semitool, Inc. Electroplating compositions and methods for electroplating
CN1674231A (en) * 2002-07-25 2005-09-28 松下电器产业株式会社 Plating apparatus
TWI330587B (en) * 2002-07-26 2010-09-21 Clopay Plastic Prod Co Breathable materials comprising low-elongation fabrics, and methods
EP1422320A1 (en) * 2002-11-21 2004-05-26 Shipley Company, L.L.C. Copper electroplating bath
US7371311B2 (en) * 2003-10-08 2008-05-13 Intel Corporation Modified electroplating solution components in a low-acid electrolyte solution
US7438794B2 (en) * 2004-09-30 2008-10-21 Intel Corporation Method of copper electroplating to improve gapfill
TWI400365B (en) * 2004-11-12 2013-07-01 Enthone Copper electrodeposition in microelectronics
US20070178697A1 (en) * 2006-02-02 2007-08-02 Enthone Inc. Copper electrodeposition in microelectronics
TWI341554B (en) * 2007-08-02 2011-05-01 Enthone Copper metallization of through silicon via
US7905994B2 (en) 2007-10-03 2011-03-15 Moses Lake Industries, Inc. Substrate holder and electroplating system
US8262894B2 (en) 2009-04-30 2012-09-11 Moses Lake Industries, Inc. High speed copper plating bath
TW201218277A (en) * 2010-09-09 2012-05-01 Novellus Systems Inc By-product mitigation in through-silicon-via plating
US9816193B2 (en) 2011-01-07 2017-11-14 Novellus Systems, Inc. Configuration and method of operation of an electrodeposition system for improved process stability and performance
US9816196B2 (en) 2012-04-27 2017-11-14 Novellus Systems, Inc. Method and apparatus for electroplating semiconductor wafer when controlling cations in electrolyte
CN104838477A (en) * 2012-12-13 2015-08-12 应用材料公司 Methods for achieving metal fill in small features
CN114351195A (en) * 2022-03-19 2022-04-15 深圳市创智成功科技有限公司 Electro-coppering formula for pulse through hole filling and electro-coppering process thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0419845A2 (en) * 1989-09-05 1991-04-03 General Electric Company Method for preparing metallized polyimide composites
EP0952242A1 (en) * 1998-04-21 1999-10-27 Applied Materials, Inc. Electro deposition chemistry
EP1069210A1 (en) * 1999-07-12 2001-01-17 Applied Materials, Inc. Process for electrochemical deposition of high aspect ratio structures

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3727620A (en) * 1970-03-18 1973-04-17 Fluoroware Of California Inc Rinsing and drying device
US3770598A (en) * 1972-01-21 1973-11-06 Oxy Metal Finishing Corp Electrodeposition of copper from acid baths
US4027686A (en) * 1973-01-02 1977-06-07 Texas Instruments Incorporated Method and apparatus for cleaning the surface of a semiconductor slice with a liquid spray of de-ionized water
US4009087A (en) * 1974-11-21 1977-02-22 M&T Chemicals Inc. Electrodeposition of copper
BE833384A (en) * 1975-03-11 1976-03-12 COPPER ELECTRODEPOSITION
JPS5271871A (en) * 1975-12-11 1977-06-15 Nec Corp Washing apparatus
JPS5819350B2 (en) * 1976-04-08 1983-04-18 富士写真フイルム株式会社 Spin coating method
US4405416A (en) * 1980-07-18 1983-09-20 Raistrick Ian D Molten salt lithium cells
US4315059A (en) * 1980-07-18 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Molten salt lithium cells
US4336114A (en) * 1981-03-26 1982-06-22 Hooker Chemicals & Plastics Corp. Electrodeposition of bright copper
US4376685A (en) * 1981-06-24 1983-03-15 M&T Chemicals Inc. Acid copper electroplating baths containing brightening and leveling additives
EP0076569B1 (en) * 1981-10-01 1986-08-27 EMI Limited Electroplating arrangements
US4489740A (en) * 1982-12-27 1984-12-25 General Signal Corporation Disc cleaning machine
US4428815A (en) * 1983-04-28 1984-01-31 Western Electric Co., Inc. Vacuum-type article holder and methods of supportively retaining articles
US4510176A (en) * 1983-09-26 1985-04-09 At&T Bell Laboratories Removal of coating from periphery of a semiconductor wafer
US4518678A (en) * 1983-12-16 1985-05-21 Advanced Micro Devices, Inc. Selective removal of coating material on a coated substrate
US4519846A (en) * 1984-03-08 1985-05-28 Seiichiro Aigo Process for washing and drying a semiconductor element
US4563399A (en) * 1984-09-14 1986-01-07 Michael Ladney Chromium plating process and article produced
US4693805A (en) * 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
US4732785A (en) * 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
US5224504A (en) * 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5235995A (en) * 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
US5230743A (en) * 1988-05-25 1993-07-27 Semitool, Inc. Method for single wafer processing in which a semiconductor wafer is contacted with a fluid
US5092975A (en) * 1988-06-14 1992-03-03 Yamaha Corporation Metal plating apparatus
US5316974A (en) * 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
US5039381A (en) * 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5162260A (en) * 1989-06-01 1992-11-10 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5055425A (en) * 1989-06-01 1991-10-08 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5222310A (en) * 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
US5259407A (en) * 1990-06-15 1993-11-09 Matrix Inc. Surface treatment method and apparatus for a semiconductor wafer
US5252807A (en) * 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5256274A (en) * 1990-08-01 1993-10-26 Jaime Poris Selective metal electrodeposition process
US5368711A (en) * 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
DE69231971T2 (en) * 1991-01-24 2002-04-04 Wako Pure Chem Ind Ltd Solutions for surface treatment of semiconductors
JP3200468B2 (en) * 1992-05-21 2001-08-20 日本エレクトロプレイテイング・エンジニヤース株式会社 Wafer plating equipment
JP2654314B2 (en) * 1992-06-04 1997-09-17 東京応化工業株式会社 Backside cleaning device
US5328589A (en) * 1992-12-23 1994-07-12 Enthone-Omi, Inc. Functional fluid additives for acid copper electroplating baths
US5718813A (en) * 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
US5608943A (en) * 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5415890A (en) * 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5625170A (en) * 1994-01-18 1997-04-29 Nanometrics Incorporated Precision weighing to monitor the thickness and uniformity of deposited or etched thin film
JP3377849B2 (en) * 1994-02-02 2003-02-17 日本エレクトロプレイテイング・エンジニヤース株式会社 Wafer plating equipment
US5651865A (en) * 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5705223A (en) * 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
US5516412A (en) * 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
US5972192A (en) * 1997-07-23 1999-10-26 Advanced Micro Devices, Inc. Pulse electroplating copper or copper alloys
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
JPH1180989A (en) * 1997-09-02 1999-03-26 Oki Electric Ind Co Ltd Plating apparatus
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
WO1999054527A2 (en) * 1998-04-21 1999-10-28 Applied Materials, Inc. Electro-chemical deposition system and method of electroplating on substrates
US6071388A (en) * 1998-05-29 2000-06-06 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US6074544A (en) * 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6224737B1 (en) * 1999-08-19 2001-05-01 Taiwan Semiconductor Manufacturing Company Method for improvement of gap filling capability of electrochemical deposition of copper

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0419845A2 (en) * 1989-09-05 1991-04-03 General Electric Company Method for preparing metallized polyimide composites
EP0952242A1 (en) * 1998-04-21 1999-10-27 Applied Materials, Inc. Electro deposition chemistry
EP1069210A1 (en) * 1999-07-12 2001-01-17 Applied Materials, Inc. Process for electrochemical deposition of high aspect ratio structures

Also Published As

Publication number Publication date
US20020112964A1 (en) 2002-08-22
TW200305937A (en) 2003-11-01
WO2003083182A3 (en) 2005-05-12

Similar Documents

Publication Publication Date Title
KR102509652B1 (en) Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
KR101105485B1 (en) Process for through silicon via filling
US6740221B2 (en) Method of forming copper interconnects
US6399479B1 (en) Processes to improve electroplating fill
US20020112964A1 (en) Process window for gap-fill on very high aspect ratio structures using additives in low acid copper baths
US20060283716A1 (en) Method of direct plating of copper on a ruthenium alloy
US20070125657A1 (en) Method of direct plating of copper on a substrate structure
US20050081744A1 (en) Electroplating compositions and methods for electroplating
EP3080340B1 (en) Copper electrodeposition bath containing an electrochemically inert cation
US8268155B1 (en) Copper electroplating solutions with halides
JP4416979B2 (en) Plating solution used for copper electroplating
WO2019179897A1 (en) Process for electrodeposition of cobalt
EP1069210A1 (en) Process for electrochemical deposition of high aspect ratio structures
US20050126919A1 (en) Plating method, plating apparatus and a method of forming fine circuit wiring
US20030188974A1 (en) Homogeneous copper-tin alloy plating for enhancement of electro-migration resistance in interconnects
US11384445B2 (en) Process for electrodeposition of cobalt
US20230282485A1 (en) Electrolyte and Deposition of a Copper Barrier Layer in a Damascene Process
US20040118699A1 (en) Homogeneous copper-palladium alloy plating for enhancement of electro-migration resistance in interconnects
US20050092616A1 (en) Baths, methods, and tools for superconformal deposition of conductive materials other than copper
KR20010049766A (en) Process window for electrochemical deposition of high aspect ratio structures
WO2021207254A1 (en) Electrofill from alkaline electroplating solutions
US20100273323A1 (en) Pre-treatment method to increase copper island density of cu on barrier layers
JP2003129286A (en) Face-up plating apparatus and process for operating the same
KR20070031373A (en) Method of barrier layer surface treatment to enable direct copper plating on barrier metal

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): CN JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT SE SI SK TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: PA/A/2004/007362

Country of ref document: MX

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Ref document number: JP

DPE2 Request for preliminary examination filed before expiration of 19th month from priority date (pct application filed from 20040101)