WO2003083582A1 - Ph buffered compositions for cleaning semiconductor substrates - Google Patents

Ph buffered compositions for cleaning semiconductor substrates Download PDF

Info

Publication number
WO2003083582A1
WO2003083582A1 PCT/US2003/008408 US0308408W WO03083582A1 WO 2003083582 A1 WO2003083582 A1 WO 2003083582A1 US 0308408 W US0308408 W US 0308408W WO 03083582 A1 WO03083582 A1 WO 03083582A1
Authority
WO
WIPO (PCT)
Prior art keywords
acid
semi
cleaning formulation
aqueous cleaning
formulation
Prior art date
Application number
PCT/US2003/008408
Other languages
French (fr)
Inventor
Ma Fatima Seijo
William A. Wojtczak
David Bernhard
Thomas H. Baum
David Minsek
Original Assignee
Advanced Technology Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials, Inc. filed Critical Advanced Technology Materials, Inc.
Priority to EP03714254A priority Critical patent/EP1488286A4/en
Priority to AU2003218260A priority patent/AU2003218260A1/en
Priority to JP2003580947A priority patent/JP2005522027A/en
Priority to KR10-2004-7014582A priority patent/KR20040104519A/en
Publication of WO2003083582A1 publication Critical patent/WO2003083582A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • C11D2111/22

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Computer Hardware Design (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Weting (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A semi-aqueous cleaning formulation useful for removing particles from semiconductor wafer substrates formed during a dry etching process for semiconductor devices, the cleaning formulation comprising a buffering system a polar organic solvent, and a fluoride source.

Description

PH BUFFERED COMPOSITIONS FOR CLEANING SEMICONDUCTOR SUBSTRATES
Field of the Invention
The present invention relates to a semi-aqueous cleaning formulation for use in producing semiconductor devices and a process for producing semiconductor devices using the cleaning formulation. More particularly, the present invention relates to a semi-aqueous cleaning formulation useful for cleaning organic materials, organometallic residues, organosilicon residues, sidewall polymers and inorganic residues from a semiconductor substrate.
Background of the Invention
The process of wafer fabrication includes a series of putting down layers. Each layer involves a series of steps, which may comprise all or some of photolithography, etch, strip, diffusion, ion implant, deposition, and chemical mechanical polishing.
Photolithography is the process through which images are transferred to the surface of a wafer by means of a light sensitive polymeric film layer (photoresist). The image is transferred from a mask to the photoresist layer by a developing process, which includes exposing regions of the film to a light UV source, which are not blocked by the mask, resulting in a pattern on the wafer.
Figures l(a)-l(d) depict four key photolithographic steps for an exemplary photoresist process. In Figure la, photoresist (10) is deposited on a silicon dioxide, dielectric, hard mask, etch stop, and/or barrier layer (12) on silicon substrate (14). A light source (16) (as indicated by the down ward facing arrows) exposes the resist (10) not blocked by mask (18). The exposed resist (20), (the areas where the down arrows penetrate the resist layer), is dissolved in a developing solution, leaving a resist pattern identical to the mask (18). Figure 1(b) depicts the resist pattern (22) on silicon dioxide layer (12) after dissolution of the exposed resist. In Figure 1(c), the silicon dioxide layer (12) is then put into a plasma etch process or wet etch process typically using a fluorinated compound to remove the oxide in areas not protected by resist (22), to create a patterned silicon dioxide layer of vias and/or trenches. At this point, the photoresist has served its useful purpose and must be removed by an ashing and/or wet stripping step. The resist must be entirely removed since it is an organic material, which, if left on the wafer surface, would cause defects. The plasma etch process used to remove the silicon dioxide or other substrate material requires exposing the substrate surface to UV radiation. The radiation tends to cross link the photoresist material making it more difficult to remove in the subsequent ashing or wet stripping step.
Ashing is a general term used for a process, which includes removing a photoresist coating by exposing the photoresist-coated wafer to an oxygen or hydrogen plasma in order to burn the resist film from the substrate surface. Alternatively, wet stripping typically involves contacting the photoresist-covered substrate with a stripper solution consisting primarily of an organic solvent and an amine. Of the two, plasma ashing is the more popular method for removing photoresist because it is less susceptible to contamination, as the process is carried out in a vacuum. Figure 1(d) shows a patterned silicon dioxide layer (12), on the silicon substrate (14) after plasma ashing removal of the photoresist layer having particles and residues (24) left behind from the ashing process.
Prior to trench or via fill, the wafer surface must be cleaned of particles and residues left behind from the plasma etch and resist removal processes. The deposits may vary, but will most likely include at least an etching gas component, a component of the film being patterned by etching, and photoresist. If left in place, the deposits would cause a significant deterioration in the reliability of the semiconductor device. Accordingly, the wafer surface must be sufficiently cleaned of such deposits.
As fabs work to integrate new materials such as copper, and low dielectric constant materials, a need emerges for new cleaning techniques for post etch and post ash residue removal, where long trenches and narrow vias trap particles. Integration of low-dielectric constant materials with k < 3.0 with existing aluminum or new copper damascene processes has been difficult to overcome.
Low- dielectric constant films such as carbon-doped oxide (OSG) comprise Si-O, Si-H and Si-C linkages. The film structure is preferably porous, whereby the porous nature of the film is due to the loss of volatile organic species or the inherent structure of the precursor material(s). In the presence of highly acidic or alkaline aqueous formulations, the Si-O and Si-H links are converted to OH groups, destroying the integrity of the Si-O link and increasing the dielectric of the low-k film.
One useful carbon-doped oxide (OSG) is a low-k material having a dielectric constant in the range of 2.7-3.0. The trend to single wafer tools for photoresist removal and post plasma/ash residual removal has mandated a short cleaning time to maintain wafer throughput. At the same time the introduction of organosilica glass (OSG) dielectrics has made the alkaline strippers and post-ash residual removers less viable since they attack OSG. The solution to high cleaning rate and compatibility with OSG requires new chemistries, which are formulated in such a way as to clean and remove particles without corroding the substrate surface.
Prior art cleaning formulations including highly-acidic, hydrofluoric acid are effective when the wafer substrate is made of a material, such as silicon, silicon dioxide, tungsten, tungsten-titanium alloys or titanium nitride. Such materials are relatively resistant to corrosion by a hydrofluoric acid. However, when made of materials, such as aluminum, aluminum alloys containing copper, copper or carbon-doped oxide, which are relatively less resistant to corrosion by the fluorine compound, the cleaning agent significantly corrodes and dissolves the conductive line pattern and/or dielectric.
Other prior art cleaning formulations have used alkanolamines to remove resist residues. However, if water is present during use, the alkanolamine dissociates and creates an alkaline solution that accelerates the corrosion of metals and dielectrics.
Other prior art cleaning formulations used organic solvents, which are no longer compatible with the new low-k dielectrics as they react with the organic and hydrogen substituents to produce a chemically altered dielectric material.
Hence, there is a need for an improved cleaning formulation that removes organic materials, organometallic residues, organosilicon residues, sidewall polymers and inorganic residues from a semiconductor wafer surface and inside and around via holes when low dielectric constant films and conductive lines containing aluminum and/or copper are exposed to plasma etch and resist ash conditions.
Further, there is a need for a cleaning formulation that is not effected by small changes in the concentration of acidic and/or basic components.
Therefore, it is one object of the present invention to provide an improved cleaning formulation that removes organic materials, organometallic residues, organosilicon residues, sidewall polymers and inorganic residues from a semiconductor wafer surface when low dielectric constant films and conductive lines containing aluminum and/or copper are exposed to plasma etch and resist ash conditions
It is a further object of the present invention to produce a cleaning formulation having a pH in a range that does not corrode metal and/or dielectric films and that is not effected by small changes in the concentration of acidic and or basic components. A still further object of the present invention is to provide a cleaning agent for use in producing semiconductor devices, which meets the above objects.
A still further object of the present invention is to provide a process for producing semiconductor devices, which comprises a step of cleaning a wafer surface with a cleaning formulation that removes organic materials, organometallic residues, organosilicon residues, sidewall polymers and inorganic residues from a semiconductor wafer surface when low dielectric constant films and conductive lines containing aluminum and/or copper are exposed to plasma etch and resist ash conditions.
Summary of the Invention
The present invention relates to a semi-aqueous cleaning formulation for semiconductor devices comprising a buffering system, and a low temperature process for cleaning a semiconductor substrate using the semi-aqueous formulation.
In one aspect, the present invention relates to a semi aqueous cleaning formulation comprising a buffering system.
In a further aspect, the present invention relates to a semi-aqueous cleaning formulation comprising a buffering system, a fluoride salt and a polar organic solvent and water.
In a still further aspect the present invention relates to a method of removing particles from a semiconductor substrate which includes, providing a semi-aqueous cleaning formulation comprising a buffering system and contacting the wafer surface with the cleaning formulation for a time sufficient to effect the removal of at least of portion of the particles.
Brief Description of the Drawings
Figure l(a)-l(d) shows four key photolithographic steps for an exemplary photoresist process.
Figure 2 shows an aluminum metal line having residue, prior to chemical processing.
Figure 3 shows the aluminum metal line of Figure 2, after chemical processing.
Figure 4 shows a via structure having residue, prior to chemical processing.
Figure 5 shows the via structure of Figure 4, after chemical processing. Detailed Description of the Invention and Preferred Embodiments Thereof
The present invention relates to a semi-aqueous cleaning formulation for semiconductor wafers that provides for simultaneous cleaning and/or removal of particles from a wafer surface, while maintaining a fixed pH, thus avoiding unnecessary corrosion of the substrate.
The present formulations provide effective cleaning of the substrates, which means more effective residue removal, which in turn means that higher product yields can be obtained from the substrates being cleaned.
In one embodiment, the present invention relates to a semi-aqueous cleaning formulation comprising a buffering system, wherein said buffering system comprises at least one organic acid, the salt of the organic acid or other conjugate base, and water, such that the buffer system provides a buffering capacity of from about 100 to 1000 mM to change the pH one unit. The buffering capacity is measured by a solution of the buffer only. Preferably the water used in the formulation is high purity deionized water, present in an amount of from about 0 to 70.0% weight by volume of the total formulation, more preferably from about 5.0 to 25.0% weight by volume, and most preferably from about 8.0 to 15.0% weight by volume.
As used herein, the term "semi-aqueous" refers to a mixture of water and organic components.
The buffering system of the present invention should comprise from about 1.0 to 70% weight by volume, and more preferably from about 5.0 to 45% weight by volume of the total composition. The pH of the present solution should be maintained within the range of 3.0 to 8.0, more preferably about 4 to 7 and, most preferably about 4.0 to 6.5. The term "buffer system" refers to a combination of compounds that provide a buffering system in solution that exhibits buffering capacity, that is, the capacity to neutralize within limits, either acids or bases (alkali) with relatively little or no change in the original pH. The buffering system of the present invention serves to maintain the pH of the instant formulation to within a pH of ± 1, and as such the potential for oxide etching and/or metal corrosion is limited.
As used herein, the term "buffering capacity" is defined as the millimoles (mM) of strong acid or base (or respectively, hydrogen or hydroxide ions) required to change the pH by one unit when added to one liter (a standard unit) of the buffer solution. The buffering capacity will depend on the kind and concentration of the buffer components. Organic acids useful in the buffering system of the instant invention include but are not limited to: formic acid, trifluoroacetic acid, propionic acid, butyric acid, valeric acid, heptanoic acid, lactic acid, oxalic acid, malic acid, malonic acid, succinic acid, fumaric acid, adiptic acid, benzoic acid, phtalic acid and citric acid. Conjugate bases useful in the buffering system of the present invention include but are not limited to: a salt of the organic acid, ammonia, tetramethylammonium hydroxide, tetraalkylammonium hydroxide, 2-(methylamino)ethanol, monoisopropanolamine, diglycolamine, N,N-dimethyl-2-(2-aminoethoxy)ethanol, l-(2-aminoethyl)piperidine, l-(2- hydroxyethyl)piperazine, l-(2-aminoethyl)piperazine, l-(3-aminopropyl)-imidazole, 1,8- diazabicyclo[5.4.0]undec-7-ene, N.N.N' -trimethylaminoethanolamine, pentamethyldiethylenetriamine, ethylmorpholine, hydroxyethylmorpholine, aminopropylmorpholine, triethanolamine, and methyldiethanolamine. In a preferred embodiment, the buffering system of the present invention, comprises lactic acid and ammonium lactate.
In one embodiment, the cleaning formulation of the present invention comprises a multi- component buffering system, such as a lactic aci Vlactate and citric acid/citrate buffering system.
In a further embodiment, the present invention relates to a semi-aqueous cleaning formulation comprising a buffering system, and optionally a fluoride source. Preferably, the fluoride source is present in an amount ranging from about 0 to 25.0% weight by volume, more preferably from about 0 to 8.0 % weight by volume and most preferably from about 0 to 1.0 percent weight by volume. Suitable fluoride compounds are ammonium fluoride and derivatives thereof, including but not limited to: ammonium fluoride; ammonium bifluoride; tetraalkylammonium fluorides, wherein each alkyl group may be same or different and is selected from the group consisting of Ci to C , such as tetramethylammonium fluoride (TMAF); and amine fluoride salts, such as, methyldiethanolammonium fluoride (MDEAF); triethanolammonium fluoride (TEAF); diglycolammonium fluoride (DGAF); triethylamine tris (hydrogen fluoride) (TREAT-HF). In a further embodiment, the present invention relates to a semi-aqueous cleaning formulation useful for removing particles from a semiconductor substrate, wherein said formulation comprises a buffer system, and optionally, a fluoride source and an organic solvent system. Preferably, the organic solvent system is soluble in water. Preferably the organic solvent system comprises at least one polar solvent component. The cleaning formulation may comprise from about 0-95% weight by volume of the solvent system, more preferably between 0 and 80% weight by volume and most preferably between 0 and 70% weight by volume of the organic solvent system. Preferably, at least one component of the organic solvent system comprises an amide or ether functional group. Preferred solvents include but are not limited formamides amide glycol ethers, to N,N- dimethylacetamide, N,N-dimethylformamide, l-cyclohexyl-2-pyrrolidinone, N-methylpyrrolidone, N-cyclohexylpyrrolidone, N-hydroxyethylpyrrolidone, N-octylpyrrolidone, 1,3- dimethylpiperidone, ethylene glycol, propylene glycol, phenoxyethanol, sulfolane, gamabutyrolactone, butyrolactone, 1,4-butanediol, N,N-dimethylacetoacetamide, N- cyclohexylpyrrolidone, N-octylpyrrolidone, l-phenoxy-2-propanol, phenoxyethanol, dimethylsulfoxide, diethyleneglycol monobutylether, diethylenegylcol monomethylether, diethylene glycol monoethylether, diethylene glycol monopropyl ether, l,3-dimethyl-2- imidazolidinone and mixtures thereof.
In a further embodiment, the semi-aqueous cleaning formulation of the present invention may further and optionally comprise a corrosion inhibitor and/or a cleaning agent. The cleaning agent may be present in a concentration ranging from about 0 to 5.0% weight by volume of the total cleaning formulation, preferably 0 to 2% weight by volume of the total cleaning formulation and most preferably from about 0 to 2.0% weight by volume of the total cleaning formulation. The corrosion inhibitor may be present in a concentration ranging from about 0 to 2.5% weight by volume of the total cleaning formulation, preferably 0 to 1.0% weight by volume of the total cleaning formulation and most preferably about 0 to 0.5% weight by volume of the total cleaning formulation.
The corrosion inhibitor serves to react with the substrate surface, which may be metal or non-metal, to passivate the surface and prevent excessive etching during cleaning. The cleaning agent is a substance that chelates to specific metal or non-metal components to be removed, leading to soluble components that can be readily removed during polishing. The corrosion inhibitor and cleaning agent for the instant invention are preferably a carboxylic acid. More specifically, the carboxylic acid may be chosen from, but not limited to glycine, oxalic acid, malonic acid, succinic acid, citric acid, tartaric acid, gluconic acid, nitrilotriacetic acid, their salts and mixtures thereof. Alternatively, the carboxylic acid may be a di, tri or tetra carboxylic acid that preferentially has a nitrogen containing functional group. In the most preferred form, the corrosion inhibitor and the cleaning agent are iminodiacetic acid. Other substances useful as corrosion inhibitors and/or cleaning agents include but are not limited to ethylene-diaminetetraacetic acid (EDTA), benzotriazole (BTA), tolytriazole, BTA derivatives, such as BTA carboxylic acids, boric acid, fluoroboric acid, cystine, haloacetic acids, glucose, dodecyl mercaptan and mixtures thereof.
In a still further embodiment, the present invention may further and optionally comprise at least one surfactant. Suitable surfactants include but are not limited to amphoteric, cationic, anionic, and nonionic, which may be present individually or in combination in amounts up to 0.5%, preferably up to 0.1% and more preferably up to 0.05% weight by volume. Specific non-limiting examples of surfactants are Surfynol®, Triton®, Tergitol®, and Tween ®.
In one embodiment, the present invention relates to a cleaning formulation comprising at least one bi-functional component, wherein at least one component serves as both, a component of the buffering system and/or solvent system as well as at least one of, a chelating agent, surfactant, corrosion inhibitor and/or stabilizer.
In one embodiment, the semi-aqueous cleaning formulation of the present invention comprises: Component Percent weight by volume a buffer system comprising: organic acid 0.1 to 35.0; salt of organic acid or conjugate base 0.1 to 40.0; water 1.0 to 70.0; and
organic solvent system 0 to 95.0; and fluoride salt 0 to 25.0.
In one embodiment, the present invention relates to a semi-aqueous cleaning formulation comprising: a lactic acid, ammonium lactate and water buffer system; a l-cyclohexyl-2- pyrrolidinone and N,N-dimethylacetamide solvent system; and ammonium fluoride. In a more preferred embodiment, the semi-aqueous cleaning formulation of the present invention comprises:
Component Percent weight by volume a buffer system comprising: lactic acid 8.14-13.26; ammonium lactate 13.25-16.95; water 8.2-10; and
organic solvent system: comprising: 1 -cyclohexyl-2-pyrrolidinone 29.1-31.5; N,N-dimethylacetamide 34.0-36.8; and
ammonium fluoride 0.27-0.33.
Other exemplary formulations of the instant invention include but are not limited to:
Component Percent weight by volume a buffer system comprising: formic acid 26.2; l-(2-aminoethyl)piperazine (AEP) 37.0; water 32.8; and
corrosion inhibitor: comprising: iminodiacetic acid 3.5
ammonium fluoride 0.5.
Component Percept weight by volume a buffer system comprising: formic acid 28.7; l-(2-aminoethyl)piperazine (AEP) 37.0; water 33.8; and
ammonium fluoride 0.5.
Component Percent weight by volume a buffer system comprising: formic acid 26.4; l-(2-aminoethyl)piperazine (AEP) 37.6; water 32.5; and
corrosion inhibitor: comprising: iminodiacetic acid 3.5
Component Percent weight by volume a buffer system comprising: formic acid 31.0; l-(2-aminoethyl)piperazine (AEP) 36.1; water 32.9
The cleaning formulation of the instant invention may have multiple cleaning uses and is not limited to post etch and resist residue removal. For example, the cleaning formulation of the instant invention when diluted with water in a ratio of from about 1 part formulation to 12 parts water, is useful for post chemical mechanical polishing cleaning.
The cleaning formulation is useful in cleaning wafer surfaces of multiple particle residues, particularly post etch and post ash residues from a carbon doped dielectric thin film. In one embodiment, the instant invention relates to a method of removing particles from a semiconductor substrate. Representative particle compositions include positive photoresists, electron beam resists, X-ray resists, ion beam resists, and the like. Examples of plasma processing residue sidewall polymers include among others, metal-organic complexes and /or inorganic salts, oxides, hydroxides or complexes of the organic polymer resins of a photoresist.
In a further embodiment, the present invention relates to a method for removing particles from a semiconductor wafer surface, said method includes providing a semi-aqueous cleaning formulation comprising a buffering system and contacting the wafer surface with said cleaning formulation for a time sufficient to effect the removal of at least of portion of the particles.
The method of cleaning a wafer surface using the cleaning compositions of the present invention involves contacting a substrate having residue thereon, particularly organometallic or metal oxide residue, with a cleaning composition of the present invention for a time and at a temperature sufficient to remove the residue. Stirring, agitation, circulation, sonication or other techniques as are known in the art optionally may be used. The substrate is generally immersed in the cleaning composition. The time and temperature are determined based on the particular material being removed from a substrate. Generally, the temperature is in the range of from about ambient or room temperature to 45°C, and the contact time is from about 30 seconds to 60 minutes. The preferred temperature and time of contact for this invention is 20 to 45° C. and from about 1 to 10 minutes. The formulation may be removed from the wafer by any method readily know to those skilled in the art. However, preferably, the formulation is removed by spin-drying. Generally, the wafer is preferably rinsed with a solvent such as ethanol or deionized water to complete the cleaning process.
In one embodiment, the present invention relates to a method of removing particles from a semiconductor substrate comprising a step of forming an insulation film on a semiconductor substrate, a step of dry-etching the insulation film into a prescribed pattern and a step of cleaning the resultant product with a semi-aqueous cleaning formulation comprising a buffer system, an organic solvent system and a fluoride source.
In a further embodiment, the present invention relates to a method of removing particles from a semiconductor substrate comprising a step of forming a conductive line pattern from a metal material or a semiconducting material on a semiconductor substrate, a step of forming an insulation film on the conductive line pattern, a step of forming a via hole in the insulation film by dry etching and a step of cleaning the resultant product with a semi-aqueous cleaning formulation comprising a buffer system, an organic solvent system and a fluoride source.
In a still further embodiment, the present invention relates to a method of removing particles from a semiconductor substrate comprising a step of forming a film of a metal material or a semiconducting material on a semiconductor substrate, a step of dry-etching the film into a conductive line pattern and a step of cleaning the resultant product with a semi-aqueous cleaning formulation comprising a buffer system, an organic solvent system and a fluoride source.
In a further embodiment, the method of the instant invention may further comprise an agitating means, wherein the wafer is contacted with the cleaning formulation and agitated by means of shaking, megasonic or ultrasonic to aid in freeing particles adhering to the substrate surface or trapped in vias or trenches.
The present invention is not limited to the particular embodiments shown and described herein, but that various changes and modifications may be made without departing from the scope and spirit of the invention.
The features, aspects and advantages of the present invention are further shown with reference to the following non-limiting example relating to the invention.
Example
Example 1: Metal Etch rates at 100%
Formulation: pH of approximately 6 Component Percent weight by volume a buffer system comprising: boric acid 5.0; formic acid 19.0 l-(2-aminoethyl) piperazine (AEP) 26.0; water 48.6; and
corrosion inhibitor: comprising: citric acid 0.4; and
ammonium fluoride 1.0
Recommended Process Conditions: Time: 10 Minutes Process Temperature: 40°C DI H20 Rinse: 3 Minutes
Table 1:
Figure imgf000014_0001
Example 2: Cleaning of Metal Lines
The same formulation as used in Example 1, was used to clean aluminum/copper metal lines:
Figure 2 and Figure 3 show the aluminum/copper metal lines before (Figure 2) and after (Figure 3) cleaning with the above formulation. Experimental conditions included a processing temperature of 40°C for a time of 10 minutes, followed by a deionized (DI) water rinse.
Example 3: Cleaning of Via Structure
The same formulation as used in Example 1 was used to clean a via structure:
Figure 4 and Figure 5 show a via structure comprising silicon dioxide, before (Figure 4) and after (Figure 5) cleaning with the above formulation. Experimental conditions included a processing temperature of 40°C for a time of 10 minutes, followed by a deionized (DI) water rinse.
Although the present invention has been described in detail, it should be understood that various changes, substitutions and alterations can be made hereto without departing from the spirit and scope of the invention as described by the appended claims.

Claims

The ClaimsWhat is claimed is:
1. A semi-aqueous cleaning formulation for semiconductor devices comprising a buffering system.
2. The semi-aqueous cleaning formulation of claim 1, further comprising a polar organic solvent.
3. The semi-aqueous cleaning formulation of claim 1, further comprising a fluoride source.
4. The semi-aqueous cleaning formulation of claim 1, wherein said buffering system comprises at least one organic acid.
5. The semi-aqueous cleaning formulation of claim 1, wherein said buffering system comprises at least one organic acid and the salt of the organic acid.
6. The semi -aqueous cleaning formulation of claim 1, wherein said buffering system comprises at least one organic acid, at least one conjugate base and water.
7. The semi-aqueous cleaning formulation of claim 1, comprising from about 1.0 to 75.0% weight by volume buffering system.
8. The semi -aqueous cleaning formulation of claim 1, comprising a pH in a range for from about 4 to 7.
9. The semi-aqueous cleaning formulation of claim 1, having a buffering capacity of 100 to 1000 mM.
10. The semi-aqueous cleaning formulation of claim 1, wherein said buffering system comprises an organic acid selected from the group consisting of: formic acid, trifluoroacetic acid, propionic acid, butyric acid, valeric acid, heptanoic acid, lactic acid, oxalic acid, malic acid, malonic acid, succinic acid, fumaric acid, adiptic acid, benzoic acid, phtalic acid and citric acid.
11. The semi-aqueous cleaning formulation of claim 1, wherein said buffering system comprises a salt of an organic acid, wherein said organic acid is selected from the group consisting of: formate, trifluoroacetate, propionate, butyrate, valerate, heptanoate, lactate, oxalate, malate, malonate, succinate, fumarate, adiptate, benzoate, phtalate and citrate.
12. The semi -aqueous cleaning formulation of claim 1, wherein said buffering system comprises a conjugate base selected from the group consisting of: ammonia, tetramethylammonium hydroxide, tetraalkylammonium hydroxide, 2-(methylamino)ethanol, monoisopropanolamine, diglycolamine, N,N-dimethyl-2-(2-aminoethoxy)ethanol, 1 -(2-aminoethyl)piperidine, 1 -(2- hydroxyethyl)piperazine, l-(2-aminoethyl)piperazine, l-(3-aminopropyl)imidazole, 1,8- diazabicyclo[5.4.0]undec-7-ene, N.N.N' -trimethylaminoethanolamine, pentamethyldiethylenetriamine, ethylmorpholine, hydroxyethylmorpholine, aminopropylmorpholine, triethanolamine, and methyldiethanolamine.
13. The semi-aqueous cleaning formulation of claim 1, wherein said buffering system comprises lactic acid, ammonium lactate and water.
14. The semi -aqueous cleaning formulation of claim 1, wherein said cleaning formulation further comprises a polar organic solvent system.
15. The semi -aqueous cleaning formulation of claim 1, wherein said cleaning formulation further comprises a polar organic solvent system, wherein at least one component of the organic solvent system is selected from the group consisting of: N,N-dimethylacetamide, N,N-dimethylformamide, l-cyclohexyl-2-pyrrolidinone, N-methylpyrrolidone, N-cyclohexylpyrrolidone, N- hydroxyethylpyrrolidone, N-octylpyrrolidone, 1,3-dimethylpiperidone, ethylene glycol, propylene glycol, phenoxyethanol, sulfolane, gamabutyrolactone, 1,4 butanediol, N,N- dimethylacetoacetamide, N-cyclohexylpyrrolidone, N-octylpyrrolidone, l-phenoxy-2-propanol, phenoxyethanol, dimethylsulfoxide, diethyleneglycol monobutylether, diethylenegylcol monomethylether, diethylene glycol monoethylether, diethylene glycol monopropyl ether, 1,3- dimethyl-2-imidazolidinone and mixtures thereof.
16. The semi-aqueous cleaning formulation of claim 14, wherein said polar organic solvent is soluble in water.
17. The semi-aqueous cleaning formulation of claim 14, comprising from about 10.0 to 95.0% weight by volume solvent system.
18. The semi-aqueous cleaning formulation of claim 14, wherein said polar organic solvent system comprises at least one component having an amide functional group.
19. The semi-aqueous cleaning formulation of claim 1, further comprising a fluoride source.
20. The semi-aqueous cleaning formulation of claim 1, further comprising a polar organic solvent system and a fluoride source.
21. The semi-aqueous cleaning formulation of claim 1, further comprising from about 0.1 to 25.0% weight by volume fluoride source.
22. The semi-aqueous cleaning formulation of claim 19, wherein said fluoride source is selected from the group consisting of: ammonium fluoride and derivatives thereof.
23. The semi-aqueous cleaning formulation of claim 19, wherein said fluoride source is selected from the group consisting of: ammonium fluoride; ammonium bifluoride; tetraalkylammonium fluorides, wherein each alkyl group may be same or different and is selected from the group consisting of d to C4, such as tetramethylammonium fluoride (TMAF); and amine fluoride salts, such as, methyldiethanolammonium fluoride (MDEAF); triethanolammonium fluoride (TEAF); diglycolammonium fluoride (DGAF); and triethylamine tris (hydrogen fluoride) (TREAT-HF).
24. The semi-aqueous cleaning formulation of claim 1, further comprising a corrosion inhibitor.
25. The semi -aqueous cleaning formulation of claim 1, further comprising a cleaning agent.
26. The semi-aqueous cleaning formulation of claim 24, wherein said corrosion inhibitor is a carboxylic acid.
27. The semi-aqueous cleaning formulation of claim 25, wherein said cleaning agent is a carboxylic acid.
28. The semi-aqueous cleaning formulation of claim 24, wherein said corrosion inhibitor is a carboxylic acid selected from the group consisting of: glycine, oxalic acid, malonic acid, succinic acid, citric acid, tartaric acid, gluconic acid, nitrilotriacetic acid, their salts and mixtures thereof.
29. The semi-aqueous cleaning formulation of claim 25, wherein said cleaning agent is a carboxylic acid selected from the group consisting of: glycine, oxalic acid, malonic acid, succinic acid, citric acid, tartaric acid, gluconic acid, nitrilotriacetic acid, their salts and mixtures thereof.
30. The semi-aqueous cleaning formulation of claim 24, wherein said corrosion inhibitor is a di, tri or tetra carboxylic acid.
31. The semi-aqueous cleaning formulation of claim 25, wherein said cleaning agent is a di, tri or tetra carboxylic acid.
32. The semi-aqueous cleaning formulation of claim 24, wherein said corrosion inhibitor is selected from the group consisting of: ethylene-diaminetetraacetic acid (EDTA), benzotriazole (BTA), tolytriazole, BTA derivatives, such as BTA carboxylic acids, boric acid, fluoroboric acid, cystine, haloacetic acids, glucose, dodecyl mercaptan and mixtures thereof.
33. The semi-aqueous cleaning formulation of claim 25, wherein said corrosion inhibitor is selected from the group consisting of: ethylene-diaminetetraacetic acid (EDTA), benzotriazole (BTA), tolytriazole, BTA derivatives, such as BTA carboxylic acids, boric acid, fluoroboric acid, cystine, haloacetic acids, glucose, dodecyl mercaptan and mixtures thereof.
34. The semi-aqueous cleaning formulation of claim 1, further comprising a surfactant.
35. The semi -aqueous cleaning formulation of claim 1, wherein said buffer system comprises:
Component Percent weight by volume organic acid 0.1 to 25; salt of organic acid or conjugate base 0.1 to 25.0; and water 1.0 to 70.0.
36. The semi -aqueous cleaning formulation of claim 1, wherein said formulation comprises:
Component Percent weight by volume organic acid 0.1 to 25; salt of organic acid or conjugate base 0.1 to 25.0; water 1.0 to 70.0. organic solvent system 10.0 to 95.0; and fluoride salt 0.1 to 25.
37. The semi-aqueous cleaning formulation of claim 1, comprising: lactic acid, ammonium lactate water, l-cyclohexyl-2-pyrrolidinone and N,N-dimethylacetamide and ammonium fluoride.
38. The semi-aqueous cleaning formulation of claim 1, wherein said formulation comprises:
Component Percent weight by volume lactic acid 8.14-13.26; ammonium lactate 13.25-16.95; water 8.2-10;
1 -cyclohexyl-2-pyrrolidinone 29.1-31.5;
N,N-dimethylacetamide 34.0-36.8; and ammonium fluoride 0.27-0.33.
39. The semi-aqueous cleaning formulation of claim 36, diluted with water in a ratio of from about 1 part formulation to 12 parts water.
40. The semi-aqueous cleaning formulation of claim 1, wherein said formulation comprises:
Component Percent weight by volume lactic acid 8.14-13.26; ammonium lactate 13.25-16.95; water 8.2-10; and l-cyclohexyl-2-pyrrolidinone 29.1-31.5;
N,N-dimethylacetamide 34.0-36.8; and ammonium fluoride 0.27-0.33.
41. The semi-aqueous cleaning formulation of claim 1, wherein said formulation comprises:
Component Percent weight by volume formic acid 26.2; l-(2-aminoethyl)piperazine (AEP) 37.0; water 32.8; and iminodiacetic acid 3.5 ammonium fluoride 0.5.
42. The semi-aqueous cleaning formulation of claim 1, wherein said formulation comprises:
Component Percent weight by volume formic acid 28.7; l-(2-aminoethyl)piperazine (AEP) 37.0; water 33.8; and ammonium fluoride 0.5.
43. The semi-aqueous cleaning formulation of claim 1, wherein said formulation comprises:
Component Percent weight by volume formic acid 26.4; l-(2-aminoethyl)piperazine (AEP) 37.6; water 32.5; and iminodiacetic acid 3.5
44. The semi-aqueous cleaning formulation of claim 1, wherein said formulation comprises:
Component Percent weight by volume formic acid 31.0; l-(2-aminoethyl)piperazine (AEP) 36.1; water 32.9
45. A method for removing particles from a semiconductor wafer surface, said method comprising contacting the wafer surface with a semi-aqueous cleaning formulation for a time sufficient to effect the removal of at least of portion of the particles from the wafer surface, said cleaning formulation comprising a buffer system.
46. The method of claim 40, wherein said cleaning formulation further comprises an organic solvent system and a fluoride source.
47. The method according to claim 40, wherein said particle is a metal oxide residue.
48. A method of removing particles from a semiconductor substrate comprising: forming an insulation film on a semiconductor substrate; dry-etching the insulation film into a prescribed pattern, thereby producing particles comprising at least one resultant product from the insulation film on the semiconductor substrate; and cleaning the resultant product with a semi-aqueous cleaning formulation comprising a buffer system, an organic solvent system and a fluoride source.
49. A method of removing particles from a semiconductor substrate comprising: forming a conductive line pattern from a metal material or a semiconducting material on a semiconductor substrate; forming an insulation film on the conductive line pattern, forming a via hole in the insulation film by dry etching, thereby producing particles comprising at least one resultant product from the insulation film or the metal material on the semiconductor substrate; and cleaning the resultant product with a semi-aqueous cleaning formulation comprising a buffer system, an organic solvent system and a fluoride source.
PCT/US2003/008408 2002-03-25 2003-03-18 Ph buffered compositions for cleaning semiconductor substrates WO2003083582A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
EP03714254A EP1488286A4 (en) 2002-03-25 2003-03-18 Ph buffered compositions for cleaning semiconductor substrates
AU2003218260A AU2003218260A1 (en) 2002-03-25 2003-03-18 Ph buffered compositions for cleaning semiconductor substrates
JP2003580947A JP2005522027A (en) 2002-03-25 2003-03-18 PH buffer composition for semiconductor substrate cleaning
KR10-2004-7014582A KR20040104519A (en) 2002-03-25 2003-03-18 pH Buffered Compositions for Cleaning Semiconductor Substrates

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/105,704 2002-03-25
US10/105,704 US6773873B2 (en) 2002-03-25 2002-03-25 pH buffered compositions useful for cleaning residue from semiconductor substrates

Publications (1)

Publication Number Publication Date
WO2003083582A1 true WO2003083582A1 (en) 2003-10-09

Family

ID=28040851

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/008408 WO2003083582A1 (en) 2002-03-25 2003-03-18 Ph buffered compositions for cleaning semiconductor substrates

Country Status (8)

Country Link
US (1) US6773873B2 (en)
EP (1) EP1488286A4 (en)
JP (1) JP2005522027A (en)
KR (1) KR20040104519A (en)
CN (1) CN1643454A (en)
AU (1) AU2003218260A1 (en)
TW (1) TW200306348A (en)
WO (1) WO2003083582A1 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005336342A (en) * 2004-05-27 2005-12-08 Tosoh Corp Cleaning composition
JP2005347587A (en) * 2004-06-04 2005-12-15 Sony Corp Cleaning liquid composition after dry etching, and manufacturing method of semiconductor device
KR100796193B1 (en) 2006-06-22 2008-02-14 램테크놀러지 주식회사 Stripping compositions for cleaning organic and etched residuies
WO2010062508A1 (en) * 2008-10-28 2010-06-03 Mallinckrodt Baker, Inc. Gluconic acid containing photoresist cleaning composition for multi-metal device processing
US7928446B2 (en) 2007-07-19 2011-04-19 Mitsubishi Chemical Corporation Group III nitride semiconductor substrate and method for cleaning the same
WO2011005755A3 (en) * 2009-07-06 2011-04-28 Honeywell International Inc. Methods and composition for cleaning a heat transfer system having an aluminum component
CN104498208A (en) * 2014-12-31 2015-04-08 镇江市港南电子有限公司 Preparation method of novel silicon wafer cleaning liquid with special effect
CN104562058A (en) * 2014-12-23 2015-04-29 北京有色金属研究总院 Solution for deashing and processing surface of silicon aluminum composite material
CN106409753A (en) * 2015-07-28 2017-02-15 北大方正集团有限公司 Method and device of reducing chromatic aberration of double diffused metal oxide semiconductor (DMOS)
US10233413B2 (en) 2015-09-23 2019-03-19 Versum Materials Us, Llc Cleaning formulations

Families Citing this family (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1872976A (en) * 2000-03-21 2006-12-06 和光纯药工业株式会社 Semiconductor wafer cleaning agent and cleaning method
DE10127888A1 (en) * 2001-06-08 2002-12-19 Infineon Technologies Ag Process for forming contact holes in contact regions of components integrated in a substrate comprises applying an insulating layer on a substrate with the integrated components, and applying a mask with openings
MY143399A (en) * 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
WO2003091376A1 (en) * 2002-04-24 2003-11-06 Ekc Technology, Inc. Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
CN102135735A (en) * 2002-06-07 2011-07-27 安万托特性材料股份有限公司 Microelectronic cleaning and arc remover compositions
JP4443864B2 (en) * 2002-07-12 2010-03-31 株式会社ルネサステクノロジ Cleaning solution for removing resist or etching residue and method for manufacturing semiconductor device
TWI295076B (en) * 2002-09-19 2008-03-21 Dongwoo Fine Chem Co Ltd Washing liquid for semiconductor substrate and method of producing semiconductor device
US7166419B2 (en) * 2002-09-26 2007-01-23 Air Products And Chemicals, Inc. Compositions substrate for removing etching residue and use thereof
US20040061092A1 (en) * 2002-09-30 2004-04-01 Seagate Technology Llc Wet etch for selective removal of alumina
US7419768B2 (en) * 2002-11-18 2008-09-02 Micron Technology, Inc. Methods of fabricating integrated circuitry
WO2004094581A1 (en) * 2003-04-18 2004-11-04 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
US9236279B2 (en) * 2003-06-27 2016-01-12 Lam Research Corporation Method of dielectric film treatment
US7384900B2 (en) * 2003-08-27 2008-06-10 Lg Display Co., Ltd. Composition and method for removing copper-compatible resist
US7888301B2 (en) * 2003-12-02 2011-02-15 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
US7087564B2 (en) * 2004-03-05 2006-08-08 Air Liquide America, L.P. Acidic chemistry for post-CMP cleaning
JP4390616B2 (en) * 2004-04-27 2009-12-24 Necエレクトロニクス株式会社 Cleaning liquid and method for manufacturing semiconductor device
JP4456424B2 (en) 2004-06-29 2010-04-28 関東化学株式会社 Photoresist residue and polymer residue removal composition
US8030263B2 (en) 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US9217929B2 (en) * 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
JP2008508097A (en) * 2004-08-03 2008-03-21 ヒェメタル ゲゼルシャフト ミット ベシュレンクテル ハフツング Method for coating metal surface with anticorrosion coating
US20060124026A1 (en) * 2004-12-10 2006-06-15 3M Innovative Properties Company Polishing solutions
US7923423B2 (en) * 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
WO2006081406A1 (en) * 2005-01-27 2006-08-03 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7888302B2 (en) * 2005-02-03 2011-02-15 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
US7682458B2 (en) * 2005-02-03 2010-03-23 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
WO2006093770A1 (en) * 2005-02-25 2006-09-08 Ekc Technology, Inc. Method to remove resist, etch residue, and copper oxide from substrates having copper and low-k dielectric material
US8044009B2 (en) * 2005-04-04 2011-10-25 Avantor Performance Materials, Inc. Compositions for cleaning ion implanted photoresist in front end of line applications
US7713885B2 (en) * 2005-05-11 2010-05-11 Micron Technology, Inc. Methods of etching oxide, reducing roughness, and forming capacitor constructions
CN100348709C (en) * 2005-05-20 2007-11-14 长兴开发科技股份有限公司 Aqueous phase cleaning composition for semiconductor copper manufacture process
EP1888735B1 (en) * 2005-05-26 2013-08-07 Advanced Technology Materials, Inc. Copper passivating post-chemical mechanical polishing cleaning composition and method of use
JP2008546036A (en) * 2005-06-07 2008-12-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Metal and dielectric compatible sacrificial antireflective coating purification and removal composition
TW200709294A (en) * 2005-06-13 2007-03-01 Advanced Tech Materials Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
KR100655647B1 (en) * 2005-07-04 2006-12-08 삼성전자주식회사 Cleaning composition for a semiconductor substrate, method of preparing the cleaning composition, method of cleaning a semiconductor substrate and method of manufacturing a semiconductor device using the cleaning composition
TWI339780B (en) * 2005-07-28 2011-04-01 Rohm & Haas Elect Mat Stripper
KR101444468B1 (en) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Oxidizing aqueous cleaner for the removal of post-etch residues
WO2007045268A1 (en) * 2005-10-21 2007-04-26 Freescale Semiconductor, Inc. Method for removing etch residue and chemistry therefor
US7435162B2 (en) * 2005-10-24 2008-10-14 3M Innovative Properties Company Polishing fluids and methods for CMP
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
EP1946358A4 (en) * 2005-11-09 2009-03-04 Advanced Tech Materials Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
JP2007152913A (en) * 2005-12-08 2007-06-21 Seiko Epson Corp Manufacturing method for piezoelectric element and manufacturing method for liquid jet head
US7534753B2 (en) * 2006-01-12 2009-05-19 Air Products And Chemicals, Inc. pH buffered aqueous cleaning composition and method for removing photoresist residue
JP2007200944A (en) * 2006-01-23 2007-08-09 Tokuyama Corp Substrate cleaning liquid
US7288483B1 (en) * 2006-03-28 2007-10-30 Tokyo Electron Limited Method and system for patterning a dielectric film
JP4666515B2 (en) * 2006-04-07 2011-04-06 花王株式会社 Release agent composition
US7468124B2 (en) * 2006-05-11 2008-12-23 International Business Machines Corporation Method and apparatus for copper corrosion prevention during wet clean
WO2007140193A1 (en) * 2006-05-25 2007-12-06 Honeywell International Inc. Selective tantalum carbide etchant, methods of production and uses thereof
US7943562B2 (en) * 2006-06-19 2011-05-17 Samsung Electronics Co., Ltd. Semiconductor substrate cleaning methods, and methods of manufacture using same
US7947637B2 (en) * 2006-06-30 2011-05-24 Fujifilm Electronic Materials, U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US7314852B1 (en) 2006-09-14 2008-01-01 S.C. Johnson & Son, Inc. Glass cleaning composition
US20080125342A1 (en) * 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
WO2008061258A2 (en) * 2006-11-17 2008-05-22 Sachem, Inc. Selective metal wet etch composition and process
TWI449784B (en) * 2006-12-21 2014-08-21 Advanced Tech Materials Liquid cleaner for the removal of post-etch residues
KR100891255B1 (en) * 2007-01-05 2009-04-01 주식회사 하이닉스반도체 Etchant Compositon for Preventing Leaning of Capacitor and Method for Manufacturing Capacitor Using the Same
US7879783B2 (en) * 2007-01-11 2011-02-01 Air Products And Chemicals, Inc. Cleaning composition for semiconductor substrates
US20100081595A1 (en) * 2007-01-22 2010-04-01 Freescale Semiconductor, Inc Liquid cleaning composition and method for cleaning semiconductor devices
TWI516573B (en) * 2007-02-06 2016-01-11 安堤格里斯公司 Composition and process for the selective removal of tisin
US7951717B2 (en) * 2007-03-06 2011-05-31 Kabushiki Kaisha Toshiba Post-CMP treating liquid and manufacturing method of semiconductor device using the same
US20080234162A1 (en) * 2007-03-21 2008-09-25 General Chemical Performance Products Llc Semiconductor etch residue remover and cleansing compositions
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
US7670497B2 (en) * 2007-07-06 2010-03-02 International Business Machines Corporation Oxidant and passivant composition and method for use in treating a microelectronic structure
CN101412948B (en) * 2007-10-19 2012-05-16 安集微电子(上海)有限公司 Cleaning agent for plasma etching residue
JP2011503899A (en) * 2007-11-16 2011-01-27 イー.ケー.シー.テクノロジー.インコーポレーテッド Composition for removing metal hard mask etching residue from a semiconductor substrate
CN101959977B (en) * 2008-02-29 2013-12-04 安万托特性材料股份有限公司 Microelectronic substrate cleaning compositions
KR101621088B1 (en) * 2008-11-05 2016-05-13 동우 화인켐 주식회사 Cleaning composition
WO2010125664A1 (en) * 2009-04-30 2010-11-04 アクアサイエンス株式会社 Peeling liquid and method for cleaning object
CN101907835B (en) * 2009-06-08 2013-08-28 安集微电子科技(上海)有限公司 Detergent composition for photoresists
SG178608A1 (en) * 2009-09-02 2012-03-29 Wako Pure Chem Ind Ltd Resist remover composition and method for removing resist using the composition
US8101561B2 (en) 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
KR101891501B1 (en) * 2010-02-19 2018-08-24 주식회사 동진쎄미켐 Washing liquid composition for deposition material and washing method using the same
SG183510A1 (en) * 2010-03-05 2012-09-27 Lam Res Corp Cleaning solution for sidewall polymer of damascene processes
KR101114502B1 (en) * 2010-06-28 2012-02-24 램테크놀러지 주식회사 Cleaning composition and method of forming semiconductor pattern using the same
TWI548738B (en) 2010-07-16 2016-09-11 安堤格里斯公司 Aqueous cleaner for the removal of post-etch residues
JP6101421B2 (en) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド Etching solution for copper or copper alloy
TWI558818B (en) 2010-08-20 2016-11-21 恩特葛瑞斯股份有限公司 Sustainable process for reclaiming precious metals and base metals from e-waste
SG10201508015RA (en) 2010-10-06 2015-10-29 Entegris Inc Composition and process for selectively etching metal nitrides
WO2012051380A2 (en) 2010-10-13 2012-04-19 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
TW201237159A (en) * 2011-03-07 2012-09-16 Hua Qing Internat Co Ltd Automatic water-based solution washing method applicable to surface mount solder paste printing device
JP5933950B2 (en) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Etching solution for copper or copper alloy
JP5192581B1 (en) * 2011-10-26 2013-05-08 株式会社カネコ化学 Solvent composition for dissolving synthetic resin
EP2798669B1 (en) 2011-12-28 2021-03-31 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
KR102105381B1 (en) 2012-02-15 2020-04-29 엔테그리스, 아이엔씨. Post-cmp removal using compositions and method of use
WO2013138278A1 (en) * 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
US9678430B2 (en) 2012-05-18 2017-06-13 Entegris, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
CN102880017B (en) * 2012-09-28 2014-07-23 京东方科技集团股份有限公司 Stripping liquid composition for photoresist and preparation and applications of stripping liquid composition
US9536730B2 (en) * 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
KR102118964B1 (en) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Compositions for cleaning iii-v semiconductor materials and methods of using same
SG11201507014RA (en) 2013-03-04 2015-10-29 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
TWI651396B (en) 2013-06-06 2019-02-21 美商恩特葛瑞斯股份有限公司 Compositions and methods for selectively etching titanium nitride
EP3027709A4 (en) 2013-07-31 2017-03-29 Entegris, Inc. AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY
SG10201801575YA (en) 2013-08-30 2018-03-28 Entegris Inc Compositions and methods for selectively etching titanium nitride
US9834746B2 (en) 2013-10-21 2017-12-05 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulations for removing residues on surfaces
KR101964901B1 (en) 2013-12-06 2019-04-02 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. Cleaning formulation for removing residues on surfaces
WO2015089023A1 (en) 2013-12-11 2015-06-18 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
TWI654340B (en) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge SELECTIVE ETCH FORMULATIONS AND METHOD OF USING SAME
EP3084809A4 (en) * 2013-12-20 2017-08-23 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
WO2016003729A1 (en) * 2014-06-30 2016-01-07 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
KR102456079B1 (en) * 2014-12-24 2022-11-21 삼성디스플레이 주식회사 Cleaning composition for removing oxide and method of cleaning using the same
CN104498209A (en) * 2014-12-31 2015-04-08 镇江市港南电子有限公司 Novel special-effect silicon wafer cleanout fluid
KR102180284B1 (en) * 2015-01-13 2020-11-18 동우 화인켐 주식회사 Composition for removing silicone polymer and manufacturing method of thin film substrate using the same
KR102265416B1 (en) * 2015-01-13 2021-06-15 동우 화인켐 주식회사 Composition for removing silicone polymer and manufacturing method of thin film substrate using the same
KR102223781B1 (en) * 2015-01-22 2021-03-05 동우 화인켐 주식회사 Composition for removing cured polymers
TWI647337B (en) * 2015-03-31 2019-01-11 美商慧盛材料美國責任有限公司 Cleaning formula
CN105759573A (en) * 2015-12-23 2016-07-13 苏州瑞红电子化学品有限公司 Stripping liquid combination for removing residual photoresist after titanium nickel silver surface etching
US10866518B2 (en) * 2016-09-28 2020-12-15 Dow Global Technologies Llc Solvents for use in the electronics industry
US20180371292A1 (en) * 2017-06-21 2018-12-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Buffered cmp polishing solution
US11078380B2 (en) * 2017-07-10 2021-08-03 Entegris, Inc. Hard abrasive particle-free polishing of hard materials
CN111902379B (en) 2018-03-28 2023-02-17 富士胶片电子材料美国有限公司 Cleaning composition
CN109108032A (en) * 2018-06-25 2019-01-01 上海华力微电子有限公司 A kind of unproductive method for cleaning wafer
CN108803262A (en) * 2018-07-03 2018-11-13 昆山欣谷微电子材料有限公司 A kind of acidity photoresist lift off liquid
US11111435B2 (en) * 2018-07-31 2021-09-07 Versum Materials Us, Llc Tungsten chemical mechanical planarization (CMP) with low dishing and low erosion topography
CN109267073B (en) * 2018-11-01 2020-10-16 深圳市中科东明表面处理新材料技术有限公司 Wax removing water and preparation method and application thereof
CN109852977B (en) * 2019-03-11 2024-02-02 上海新阳半导体材料股份有限公司 Tin ball production process, cleaning agent and preparation method thereof
JP6692029B1 (en) * 2019-03-25 2020-05-13 パナソニックIpマネジメント株式会社 Resist stripper
JP7294859B2 (en) * 2019-04-11 2023-06-20 東京応化工業株式会社 Cleaning solution and method for cleaning support provided with metal resist
WO2021049330A1 (en) * 2019-09-11 2021-03-18 富士フイルム株式会社 Processing liquid, processing method
CN111647892A (en) * 2020-06-03 2020-09-11 吉林中财管道有限公司 Online cleaning agent for profiled bar plastic extruder shaping mold
KR20220083186A (en) * 2020-12-11 2022-06-20 동우 화인켐 주식회사 Process solution for polymer processing

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4343884A (en) * 1980-12-29 1982-08-10 Andrews Paper & Chemical Co., Inc. Diazotype developing process and acidic developer with amine base salt
US4592787A (en) * 1984-11-05 1986-06-03 The Dow Chemical Company Composition useful for stripping photoresist polymers and method
US5252245A (en) * 1992-02-07 1993-10-12 The Clorox Company Reduced residue hard surface cleaner
US5698503A (en) * 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US5792274A (en) * 1995-11-13 1998-08-11 Tokyo Ohka Kogyo Co., Ltd. Remover solution composition for resist and method for removing resist using the same
US5962385A (en) * 1997-08-18 1999-10-05 Mitsubishi Gas Chemical Company, Inc. Cleaning liquid for semiconductor devices
US6066763A (en) * 1996-02-26 2000-05-23 Nippon Soda Co., Ltd. Process for preparing free α-hydroxy acids from ammonium salts thereof
US6197733B1 (en) * 1998-09-09 2001-03-06 Tokuyama Corporation Photoresist ashing residue cleaning agent
US6200891B1 (en) * 1998-08-13 2001-03-13 International Business Machines Corporation Removal of dielectric oxides
US6224784B1 (en) * 1995-09-07 2001-05-01 Claude Q. C. Hayes Heat absorbing temperature control devices and method
US6235693B1 (en) * 1999-07-16 2001-05-22 Ekc Technology, Inc. Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
US6248704B1 (en) * 1999-05-03 2001-06-19 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductors devices
US6265309B1 (en) * 1998-05-14 2001-07-24 Mitsubishi Gas Chemicals Co., Inc. Cleaning agent for use in producing semiconductor devices and process for producing semiconductor devices using the same
US6310019B1 (en) * 2000-07-05 2001-10-30 Wako Pure Chemical Industries, Ltd. Cleaning agent for a semi-conductor substrate
US6367486B1 (en) * 1990-11-05 2002-04-09 Ekc Technology, Inc. Ethylenediaminetetraacetic acid or its ammonium salt semiconductor process residue removal process
US6399552B1 (en) * 1999-02-03 2002-06-04 Samsung Electronics Co., Ltd. Aqueous cleaning solution for removing contaminants surface of circuit substrate cleaning method using the same
US6432209B2 (en) * 1998-03-03 2002-08-13 Silicon Valley Chemlabs Composition and method for removing resist and etching residues using hydroxylazmmonium carboxylates
US6447563B1 (en) * 1998-10-23 2002-09-10 Arch Specialty Chemicals, Inc. Chemical mechanical polishing slurry system having an activator solution
US6465404B2 (en) * 2000-03-21 2002-10-15 Bbj Environmental Solutions, Inc. Aqueous cleaning composition with controlled PH

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6043005A (en) * 1998-06-03 2000-03-28 Haq; Noor Polymer remover/photoresist stripper
US6828289B2 (en) * 1999-01-27 2004-12-07 Air Products And Chemicals, Inc. Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
TW554258B (en) * 2000-11-30 2003-09-21 Tosoh Corp Resist stripper
US20030022800A1 (en) * 2001-06-14 2003-01-30 Peters Darryl W. Aqueous buffered fluoride-containing etch residue removers and cleaners

Patent Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4343884A (en) * 1980-12-29 1982-08-10 Andrews Paper & Chemical Co., Inc. Diazotype developing process and acidic developer with amine base salt
US4592787A (en) * 1984-11-05 1986-06-03 The Dow Chemical Company Composition useful for stripping photoresist polymers and method
US6367486B1 (en) * 1990-11-05 2002-04-09 Ekc Technology, Inc. Ethylenediaminetetraacetic acid or its ammonium salt semiconductor process residue removal process
US5252245A (en) * 1992-02-07 1993-10-12 The Clorox Company Reduced residue hard surface cleaner
US6224784B1 (en) * 1995-09-07 2001-05-01 Claude Q. C. Hayes Heat absorbing temperature control devices and method
US5792274A (en) * 1995-11-13 1998-08-11 Tokyo Ohka Kogyo Co., Ltd. Remover solution composition for resist and method for removing resist using the same
US5905063A (en) * 1995-11-13 1999-05-18 Tokyo Ohka Kogyo Co., Ltd. Remover solution composition for resist and method for removing resist using the same
US6066763A (en) * 1996-02-26 2000-05-23 Nippon Soda Co., Ltd. Process for preparing free α-hydroxy acids from ammonium salts thereof
US5698503A (en) * 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US5962385A (en) * 1997-08-18 1999-10-05 Mitsubishi Gas Chemical Company, Inc. Cleaning liquid for semiconductor devices
US6432209B2 (en) * 1998-03-03 2002-08-13 Silicon Valley Chemlabs Composition and method for removing resist and etching residues using hydroxylazmmonium carboxylates
US6265309B1 (en) * 1998-05-14 2001-07-24 Mitsubishi Gas Chemicals Co., Inc. Cleaning agent for use in producing semiconductor devices and process for producing semiconductor devices using the same
US6200891B1 (en) * 1998-08-13 2001-03-13 International Business Machines Corporation Removal of dielectric oxides
US6197733B1 (en) * 1998-09-09 2001-03-06 Tokuyama Corporation Photoresist ashing residue cleaning agent
US6447563B1 (en) * 1998-10-23 2002-09-10 Arch Specialty Chemicals, Inc. Chemical mechanical polishing slurry system having an activator solution
US6399552B1 (en) * 1999-02-03 2002-06-04 Samsung Electronics Co., Ltd. Aqueous cleaning solution for removing contaminants surface of circuit substrate cleaning method using the same
US6248704B1 (en) * 1999-05-03 2001-06-19 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductors devices
US6235693B1 (en) * 1999-07-16 2001-05-22 Ekc Technology, Inc. Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
US6465404B2 (en) * 2000-03-21 2002-10-15 Bbj Environmental Solutions, Inc. Aqueous cleaning composition with controlled PH
US6310019B1 (en) * 2000-07-05 2001-10-30 Wako Pure Chemical Industries, Ltd. Cleaning agent for a semi-conductor substrate

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1488286A4 *

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005336342A (en) * 2004-05-27 2005-12-08 Tosoh Corp Cleaning composition
JP2005347587A (en) * 2004-06-04 2005-12-15 Sony Corp Cleaning liquid composition after dry etching, and manufacturing method of semiconductor device
KR100796193B1 (en) 2006-06-22 2008-02-14 램테크놀러지 주식회사 Stripping compositions for cleaning organic and etched residuies
US7928446B2 (en) 2007-07-19 2011-04-19 Mitsubishi Chemical Corporation Group III nitride semiconductor substrate and method for cleaning the same
US8022413B2 (en) 2007-07-19 2011-09-20 Misubishi Chemical Corporation Group III nitride semiconductor substrate and method for cleaning the same
KR101749610B1 (en) 2008-10-28 2017-06-22 아반토 퍼포먼스 머티리얼즈, 엘엘씨 Gluconic acid containing photoresist cleaning composition for multi-metal device processing
WO2010062508A1 (en) * 2008-10-28 2010-06-03 Mallinckrodt Baker, Inc. Gluconic acid containing photoresist cleaning composition for multi-metal device processing
WO2011005755A3 (en) * 2009-07-06 2011-04-28 Honeywell International Inc. Methods and composition for cleaning a heat transfer system having an aluminum component
US8216383B2 (en) 2009-07-06 2012-07-10 Prestone Products Corporation Methods and composition for cleaning a heat transfer system having an aluminum component
CN108004556A (en) * 2014-12-23 2018-05-08 南京驰韵科技发展有限公司 A kind of aluminium silicon composite material plated surfaces dedusting processing method
CN104562058A (en) * 2014-12-23 2015-04-29 北京有色金属研究总院 Solution for deashing and processing surface of silicon aluminum composite material
CN108004556B (en) * 2014-12-23 2020-06-09 南京驰韵科技发展有限公司 Method for deashing surface of coating of silicon-aluminum composite material
CN104498208A (en) * 2014-12-31 2015-04-08 镇江市港南电子有限公司 Preparation method of novel silicon wafer cleaning liquid with special effect
CN106409753A (en) * 2015-07-28 2017-02-15 北大方正集团有限公司 Method and device of reducing chromatic aberration of double diffused metal oxide semiconductor (DMOS)
CN106409753B (en) * 2015-07-28 2019-06-14 北大方正集团有限公司 Reduce the method and device of DMOS color difference
US10233413B2 (en) 2015-09-23 2019-03-19 Versum Materials Us, Llc Cleaning formulations

Also Published As

Publication number Publication date
CN1643454A (en) 2005-07-20
EP1488286A1 (en) 2004-12-22
TW200306348A (en) 2003-11-16
EP1488286A4 (en) 2005-09-28
US6773873B2 (en) 2004-08-10
JP2005522027A (en) 2005-07-21
KR20040104519A (en) 2004-12-10
US20030181342A1 (en) 2003-09-25
AU2003218260A1 (en) 2003-10-13

Similar Documents

Publication Publication Date Title
US6773873B2 (en) pH buffered compositions useful for cleaning residue from semiconductor substrates
KR100368193B1 (en) Aqueous rinsing composition
JP4959095B2 (en) Composition for cleaning organic and plasma etching residues of semiconductor devices
US7456140B2 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
KR100700998B1 (en) Composition and method comprising same for removing residue from a substrate
KR100561178B1 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
US7144848B2 (en) Cleaning compositions containing hydroxylamine derivatives and processes using same for residue removal
US5911835A (en) Method of removing etching residue
US6319885B1 (en) Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
US7888302B2 (en) Aqueous based residue removers comprising fluoride
US7223352B2 (en) Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US20050003977A1 (en) Composition for cleaning
EP0578507A2 (en) Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
US20050217697A1 (en) Aqueous stripping and cleaning composition
US20070207938A1 (en) Cleaning compositions and methods of use thereof
EP1853973A1 (en) Method to remove resist, etch residue, and copper oxide from substrates having copper and low-k dielectric material
US7682458B2 (en) Aqueous based residue removers comprising fluoride
US7387130B2 (en) Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
JP2008519310A (en) Post-etch cleaning composition for use on aluminum-containing substrates
US20030032567A1 (en) Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2003714254

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2003580947

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1020047014582

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 20038068338

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 1020047014582

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2003714254

Country of ref document: EP