WO2003087936A1 - Method of treatment of porous dielectric films to reduce damage during cleaning - Google Patents

Method of treatment of porous dielectric films to reduce damage during cleaning Download PDF

Info

Publication number
WO2003087936A1
WO2003087936A1 PCT/US2003/011012 US0311012W WO03087936A1 WO 2003087936 A1 WO2003087936 A1 WO 2003087936A1 US 0311012 W US0311012 W US 0311012W WO 03087936 A1 WO03087936 A1 WO 03087936A1
Authority
WO
WIPO (PCT)
Prior art keywords
supercritical
dielectric material
low
dielectric
solvent
Prior art date
Application number
PCT/US2003/011012
Other languages
French (fr)
Inventor
Paul Schilling
Original Assignee
Supercritical Systems Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Supercritical Systems Inc. filed Critical Supercritical Systems Inc.
Priority to AU2003226048A priority Critical patent/AU2003226048A1/en
Priority to EP03746699A priority patent/EP1495366A1/en
Priority to JP2003584818A priority patent/JP4424998B2/en
Priority to KR1020047016321A priority patent/KR100969027B1/en
Publication of WO2003087936A1 publication Critical patent/WO2003087936A1/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/28Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material
    • C03C17/30Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material with silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • C03C23/0075Cleaning of glass
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

A device, method, and system for treating low-k dielectric material films to reduce damage during microelectronic component cleaning processes is disclosed. The current invention cleans porous low-k dielectric material films in a highly selectivity with minimal dielectric material damage by first treating microelectronic components to a passivating process followed by a cleaning solution process.

Description

METHOD OF TREATMENT OF POROUS DIELECTRIC FILMS TO REDUCE DAMAGE DURING CLEANING
RELATED APPLICATION(S
This Patent Application is a continuation in part of co-pending U.S. Patent Application, Serial No. 10/379,984 mailed on March 4, 2003, and entitled "METHOD OF PASSIVATING OF LOW DIELECTRIC MATERIALS IN WAFER PROCESSING". This
Patent Application claims priority under 35 U.S.C. 119 (e) of the co-pending U.S. Provisional Patent Application, Serial No. 60/372,822 filed April 12, 2002, and entitled "METHOD OF TREATMENT OF POROUS DIELECTRIC FILMS TO REDUCE DAMAGE DURING CLEANING". The Provisional Patent Application, Serial No. 60/372,822 filed April 12, 2002, and entitled METHOD OF TREATMENT OF POROUS DIELECTRIC FILMS TO REDUCE DAMAGE DURING CLEANING", and the U.S. Patent Application Serial No. 10/379,984, mailed on March 4, 2003, and entitled "METHOD OF PASSIVATING OF LOW DIELECTRIC MATERIALS IN WAFER PROCESSING" are also hereby incorporated by reference.
FIELD OF THE INVENTION
This invention relates to the field of cleaning of dielectric films. More particularly, this invention relates to systems, devices for, and methods of treating low-k dielectric material films to reduce damage during cleaning.
BACKGROUND OF THE INVENTION
A recent advancement in semiconductor technology involves the replacement of dielectric materials used for insulating interconnects with low-k dielectric materials. Low-k dielectric materials are currently being integrated as interlevel dielectric materials. The three main categories of low-k dielectric materials include: inorganic (SiO2 based material); hybrid (organic functionalized inorganic matrix), and organic materials. This shift to using low-k dielectric materials has required photoresist stripping to evolve to meet higher requirements for cleanliness and residue removal, without adding cost and affecting throughput. By using the low-k dielectric materials for insulating the interconnects, smaller geometry interconnect structures can be built resulting in faster integrated circuits. Porous low-k dielectric materials are a particular class of these low-k dielectric materials. When etching lines and vias in the porous low-k dielectric materials, silanol groups tend to form on surfaces within the lines and the vias. The silanol groups also tend to form in the voids of the porous low-k dielectric materials adjacent to the lines and the vias. hi the case of low-k dielectric inorganic and hybrid materials, cleaning of these materials presents a challenge in that traditional cleaning formulations are designed to remove etch residues through dissolution of the residue or slight etching of the dielectric to release the residue. But, with low-k dielectric materials, the increased surface area due to their porosity greatly increases their sensitivity to these cleaning formulations, reducing the selectivity of the formulation to the etch residue. Also, traditional dry cleaning methods such as ashing have unacceptable shortcomings because the ashing plasma tends to affect the organic content of the hybrid materials, thereby increasing the dielectric constant. Currently, there are two basic systems in use: wet and dry. Dry is typically used for stripping and wet is usually used for cleaning. Wet systems use acids, bases or solvents, requiring several processing steps for residue removal. Dry systems are the preferred choice when dealing with organic photoresist material. Even when dry stripping systems are utilized, post-strip wet processing is still required to remove inorganic residues that the dry systems leave behind. h semiconductor fabrication, a low-k dielectric material layer is generally patterned using a photoresist mask in one or more etching and ashing steps. These films, after etching or due to their physical nature, tend to have large numbers of silanol functionalities on their surfaces, and, due to their porous nature, present a large surface area of material to a cleaning formulation during cleaning. This presents the problem of substantial etching of the low-k dielectric material film with many cleaning formulations, often to the point of destroying the low-k dielectric material film.
To remove these silanol groups, the etch and photoresist residue in the lines and the vias, and the bulk photoresist from an exposed surface of the low-k dielectric material, a cleaning process is performed following the etching of the lines and the vias. hi this cleaning process, a weak etchant is typically employed to remove a monolayer of the low-k dielectric material in order to release the etch residue, the photoresist, and the bulk photoresist. It has been found that this cleaning process results in an unacceptably high etch rate of the porous low-k dielectric materials. This is even true when the porous low-k dielectric materials are exposed to a weak etchant. Where the silanol groups exist, it has been found that significantly more than the monolayer of the low-k dielectric material is removed by the weak etchant. Current high-dose implant cleaning has problems. When utilized, the resist gets heavily implanted, the hydrogen is driven from the resist's top third, and an extremely carbonized layer is produced. This carbonized layer is hard to remove and does not etch as quickly. Further, bulk resist with volatile components still exist underneath.
Even if normal stripping is utilized, there is a pressure build-up resulting in popping and blistering while cleaning at a slower rate. This not only contaminates the chamber, but these carbonized chunks also bond with exposed areas of the wafer's surface, h addition, standard high temperature oxygen-based plasmas do not work for low-k dielectric material cleaning. These high temperature and high-oxygen environments oxidize and degrade film integrity and low-k dielectric material properties. What is needed is a method of treating porous low-k dielectric materials subsequent to etching and prior to cleaning which reduces the presence of silanol groups in the porous low- It dielectric materials. The challenge is to ensure the cleaning method is aggressive enough to clean the surface efficiently, without etching or altering the low-k material.
SUMMARY OF INVENTION
Today's microelectronic devices, with finer architectures and higher aspect ratios, require new low-k materials. There is a need for photoresist stripping technology to meet the challenges brought up by critical aspect ratios and shrinking sizes. Low-k dielectric material is a film for which the manufacturing processes require unprecedented levels of cleanliness.
The low-k dielectric materials differ from typical features found in 0.25 μm architecture in that both vias and lines are etched into the dielectric layer, which can trap residues, hi addition, current photoresists create tougher residues. The current invention provides a means to clean the vias and lines on the one hand, and to preserve a dielectric film, on the other.
The current invention addresses the greatest difficulty in cleaning exposed low-k materials: stripping. Stripping is a limitation due to the fact that a polymer is utilized for the low-k and an organic resist. Cleaning the resist or residues from low-k dielectric materials without affecting the low-k dielectric material is complicated. Usually, a hard mask is placed on the low-k dielectric material, to serve as an etch stop. The hard mask can also be used as a CMP stop. When etching, most of the bulk resist is removed. However, considerable residues and polymers are typically left on the sidewalk of the trench and vias. The current invention addresses the problems associated with removal of these residues and polymers but does not etch away the low-k dielectric material.
Standard 250°F oxygen-based plasmas do not work for low-k dielectric material cleaning. High-oxygen environments oxidize and degrade film integrity and low-k dielectric material properties. The current invention provides chemical cleaning without additional physical cleaning to clean sidewalls and still be selective vis-a-vis the polymer. In addition, the current invention addresses current cleaning process shortcomings by utilizing lower temperatures during the cleaning process.
The preferred embodiment of the current invention is for use in conjunction with supercritical carbon dioxide (SCCO2). hi alternate embodiments of the current invention, a dry chemical ion-depleted downstream microwave plasma approach is utilized, h yet another embodiment of the current invention, a wet chemical process is utilized in conjunction with the current invention to achieve high selectivity and minimal low-k dielectric material damage.
The current invention clears the primary hurdle of ensuring that the stripper or residue remover does not attack or degrade the low-k dielectric material. Also, etching that results in a loss in thickness or widening of openings is minimized. Further, the k- value of the film is maintained or decreased through use of the present invention.
BRIEF DESCRIPTION OF THE DRAWINGS
FIGS. 1A and IB illustrate simplified schematics of a low-k dielectric material prior to and after removal of post-etch residue using the supercritical solution comprising supercritical carbon dioxide and a silicon-based passivating agent (i.e. a passivation processing step), followed by a cleaning solution processing step, in accordance with the instant invention.
FIG. 2 illustrates a simplified schematic of a supercritical wafer processing apparatus, in accordance with the embodiments of the invention.
FIG. 3 illustrates a detailed schematic diagram of a supercritical processing apparatus, in accordance with the embodiments of the invention.
FIG. 4 illustrates a schematic block diagram outlining steps for treating a silicon oxide-based low-k dielectric material layer, in accordance with the embodiments of the present invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
Materials exhibiting low dielectric constants of between 3.5-2.5 are generally referred to as low-k dielectric materials. Porous materials with dielectric constant of 2.5 and below are generally referred to as ultra low-k (ULK) dielectric materials. For the purpose of this application low-k dielectric materials refer to both low-k dielectric and ultra low-k dielectric materials. Low-k dielectric materials are usually porous oxide-based materials and can include an organic or hydrocarbon component. Examples of low-k dielectric materials include, but are not limited to, carbon-doped oxide (COD), spin-on-glass (SOG) and fluorinated silicon glass (FSG) materials. These porous low-k dielectric material films typically contain carbon and hydrogen and are deposited by methods such as spin-on or CVD. These films are processed in such a way as to produce a film resistant to damage from cleaning formulations and typically have an inorganic matrix of either a SiOx base or a SiOx- CxHy base. hi accordance with the method of the present invention, a patterned low-k dielectric material layer is formed by depositing a continuous layer of a low-k dielectric material, etching a pattern in the low-k dielectric material using photolithography and removing post- etch residue using a supercritical solution comprising supercritical carbon dioxide and a silicon-based passivating agent (i.e. a passivation processing step), followed by a cleaning solution processing step.
The current invention acts to reduce or eliminate etching by reacting the silanol functionalities with a supercritical silylating agent, thereby reducing the rate of etch of the low-k dielectric material film in the cleaning formulation. The method of the present invention preferably passivates a layer of patterned low-k dielectric material layer by end- capping silanol groups on the surface and/or in the bulk of the low-k dielectric material to produce a patterned low-k dielectric material which is more hydrophobic, more resistant to contamination and/or less reactive. Following this passivation, the method of the present invention preferably cleans the film with minimal etching with a cleaning solution, hi accordance with the embodiments of the present invention, a passivation processing step is carried out separately from a supercritical post-etch cleaning process or, alternatively, is carried out simultaneously with a supercritical post-etch cleaning process. Further, in accordance with the embodiments of the current invention, a cleaning solution processing step is carried out following a passivation processing step. In accordance with the embodiments of the present invention, a supercritical silylating agent comprises supercritical carbon dioxide and an amount of a passivating agent that is preferably a silylating agent. The silylating agent preferably comprises a silane structure (R1);(R2);(R3)SiNH(R4) - Where Rl5 R2, R3 could be the same or independently selected from the group H, alkyl, aryl, propyl, phenyl, and/or derivatives thereof as well as halogens (Cl, Br, F, I). R4 could be (SiRx;R2;R3) in addition to being independently selected from the group H, alkyl, aryl, propyl, phenyl, and or derivatives therof. alternate embodiments, the silylating agent comprises a tetravalent organosihcon compound, wherein the silicon atom is coordinated to 4 ligands in the positions 1, 2, 3 and 4 in a pyramidal configuration. In yet another embodiment, the silylating agent comprises a silazane structure, which can be described as an amine structure with two organosilyl groups coordinated to the nitrogen of the amine.
The silylating agent can be introduced into supercritical carbon dioxide (SCCO2) by itself or with a carrier solvent, such as N, -dimethylacetamide (DMAC), gamma- butyrolacetone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC) N-methylpyrrolidone (NMP), dimethylpiperidone, propylene carbonate, alcohol or combinations thereof, to generate the supercritical silylating agent. Preferably, SCCO2 is used as a carrier fluid for the silylating agent. By using SCCO2 as the carrier fluid, the silylating agent can be carried easily and quickly throughout the film, insuring complete and rapid reaction with the entire film. It will be clear to one skilled in the art that a supercritical passivating solution with any number of silylating agents and combinations of silylating agents are within the scope of the present invention.
The thermodynamic conditions are variable: the process temperature is between 25 and 200 °C and the pressure is between 700 and 9000 psi. While supercritical CO2 is preferred, under certain circumstances liquid CO2 can be used. Preferably, the silylating agent comprises hexamethyldisilazane. Alternatively, the silylating agent comprises an organochlorosilane. Further alternatively, the silylating agent comprises a hydrolyzed alkoxysilane. The typical process time is between 15 seconds and 10 minutes.
Figures 1A and IB show a simplified schematic of a low-k dielectric material prior to and after removal of post-etch residue using the supercritical solution comprising supercritical carbon dioxide and a silicon-based passivating agent (i.e. a passivation processing step), followed by a cleaning solution processing step. The patterned low-k dielectric material 100 in FIG. 1A illustrates the patterned low-k dielectric material 100 prior to removal of post-etch residue and FIG. IB illustrates the low-k dielectric material 100 following removal of post-etch residue. Specifically, the resist 110 and the sidewall polymer residue 120 can be seen on the low-k dielectric material structure 130 in FIG. 1 A prior to the supercritical carbon dioxide cleaning and cleaning solution processing steps. FIG. IB illustrates the same low-k dielectric material structure 130 after high-selectivity cleaning, showing no undercut and residue removal.
Figure 2 shows a simplified schematic of a supercritical processing apparatus 200. The apparatus 200 comprises a carbon dioxide source 221 that is connected to an inlet line 226 through a source valve 223 which can be opened and closed to start and stop the flow of carbon dioxide from the carbon dioxide source 221 to the inlet line 226. The inlet line 226 is preferably equipped with one or more back-flow valves, pumps and heaters, schematically shown by the box 220, for generating and/or maintaining a stream of supercritical carbon dioxide. The inlet line 226 also preferably has an inlet valve 225 that is configured to open and close to allow or prevent the stream of supercritical carbon dioxide from flowing into a processing chamber 201.
Still referring to Figure 2, the processing camber 201 is preferably equipped with one or more pressure valves 209 for exhausting the processing chamber 201 and/or for regulating the pressure within the processing chamber 201. Also in accordance with the embodiments of the invention, the processing chamber 201, is coupled to a pump and/or a vacuum 211 for pressurizing and/or evacuating the processing chamber 201.
Again referring to Figure 2, within the processing chamber 201 of the apparatus 200 there is preferably a chuck 233 for holding and/or supporting a wafer structure 213. h accordance with further the embodiments of the invention, the chuck 233 and/or the processing chamber 201 has one or more heaters 231 for regulating the temperature of the wafer structure 213 and/or the temperature of a supercritical processing solution within the processing chamber 201.
The apparatus 200, also preferably has a circulation loop 203 that is coupled to the processing chamber 201. The circulation loop 203 is preferably equipped with one or more valves 215 and 215' for regulating the flow of a supercritical processing solution through the circulation loop 203 and through the processing chamber 201. The circulation loop 203, is also preferably equipped with any number back-flow valves, pumps and/or heaters, schematically represented by the box 205, for maintaining a supercritical processing solution and flowing the supercritical processing solution through the circulation loop 203 and through the processing chamber 201. hi accordance with a preferred embodiment of the invention, the circulation loop 203 has an injection port 207 for introducing chemistry, such as passivating agents and solvents, into the circulation loop 203 for generating supercritical processing solutions in situ. Figure 3 shows a supercritical processing apparatus 76 in more detail than Figure 2 described above. The supercritical processing apparatus 76 is configured for generating supercritical cleaning, rinse and curing solutions, and for treating a wafer therewith. The supercritical processing apparatus 76 includes a carbon dioxide supply vessel 332, a carbon dioxide pump 334, a processing chamber 336, a chemical supply vessel 338, a circulation pump 340, and an exhaust gas collection vessel 344. The carbon dioxide supply vessel 332 is coupled to the processing chamber 336 via the carbon dioxide pump 334 and carbon dioxide piping 346. The carbon dioxide piping 346 includes a carbon dioxide heater 348 located between the carbon dioxide pump 334 and the processing chamber 336. The processing chamber 336 includes a processing chamber heater 350. The circulation pump 340 is located on a circulation line 352, which couples to the processing chamber 336 at a circulation inlet
354 and at a circulation outlet 356. The chemical supply vessel 338 is coupled to the circulation line 352 via a chemical supply line 358, which includes a first injection pump 359. A rinse agent supply vessel 360 is coupled to the circulation line 352 via a rinse supply line 362, which includes a second injection pump 363. The exhaust gas collection vessel 344 is coupled to the processing chamber 336 via exhaust gas piping 364.
The carbon dioxide supply vessel 332, the carbon dioxide pump 334, and the carbon dioxide heater 348 form a carbon dioxide supply arrangement 349. The chemical supply vessel 338, the first injection pump 359, the rinse agent supply vessel 360, and the second injection pump 363 form a chemical and rinse agent supply arrangement 365. It will be readily apparent to one skilled in the art that the supercritical processing apparatus 76 includes varving. control electronics, filters, and utility hookups which are typical of supercritical fluid processing systems.
Still referring to Figure 3, in operation a wafer (not shown) with a residue thereon is inserted into the wafer cavity 312 of the processing chamber 336 and the processing chamber 336 is sealed. The processing chamber 336 is pressurized by the carbon dioxide pump 334 with the carbon dioxide from the carbon dioxide supply vessel 332 and the carbon dioxide is heated by the carbon dioxide heater 348 while the processing chamber 336 is heated by the processing chamber heater 350 to ensure that a temperature of the carbon dioxide in the processing chamber 336 is above a critical temperature. The critical temperature for the carbon dioxide is 31 °C. Preferably, the temperature of the carbon dioxide in the processing chamber 336 is within a range of range of from 25 °C to about 200 °C, and preferably at or near to 70 °C, during a supercritical passivating step. Upon reaching initial supercritical conditions, the first injection pump 359 pumps the processing chemistry, such as a silylating agent, from the chemical supply vessel 338 into the processing chamber 336 via the circulation line 352 while the carbon dioxide pump further pressurizes the supercritical carbon dioxide. At the beginning of the addition of processing chemistry to the processing chamber 336, the pressure in the processing chamber 336 is preferably in the range of about 700 to 9,000 psi and most preferably at or near 3,000 psi.
Once a desired amount of the processing chemistry has been pumped into the processing chamber 336 and desired supercritical conditions are reached, the carbon dioxide pump 334 stops pressurizing the processing chamber 336, the first injection pump 359 stops pumping processing chemistry into the processing chamber 336, and the circulation pump 340 begins circulating supercritical carbon dioxide and a cleaning solution. Finally, the circulation pump 340 begins circulating the supercritical cleaning solution comprising the supercritical carbon dioxide and the processing chemistry. Preferably, the pressure within the processing chamber 336 at this point is about 3000 psi. By circulating the supercritical cleaning solution and the supercritical processing solution, the supercritical solvent and solution are replenished quickly at the surface of the wafer thereby enhancing the rate of passivating and cleaning of the surface of a low-k dielectric material layer on the wafer.
When a wafer (not shown) with a low-k dielectric material layer is being processed within the pressure chamber 336, the wafer is held using a mechanical chuck, a vacuum chuck or other suitable holding or securing means, accordance with the embodiments of the invention the wafer is stationary within the processing chamber 336 or, alternatively, is rotated, spun or otherwise agitated during the supercritical process step.
After the supercritical processing solution is circulated though circulation line 352 and the processing chamber 336, the processing chamber 336 is partially depressurized by exhausting some of the supercritical process solution to the exhaust gas collection vessel 344 in order to return conditions in the processing chamber 336 to near the initial supercritical conditions. Preferably, the processing chamber 336 is cycled through at least one such decompression and compression cycle before the supercritical processing solutions are completely exhausting the processing chamber 336 to the exhaust into the collection vessel 344. After exhausting the pressure chamber 336 a second supercritical process step is performed or the wafer is removed from the processing chamber 336, and the wafer processing continues in a second processing apparatus or module (not shown).
Figure 4 is a block diagram 400 outlining steps for treating a substrate structure comprising a patterned low-k dielectric material layer and post-etch or post-ash residue thereon using a supercritical cleaning and passivating solution, hi the step 402 the substrate structure comprising the post-etch residue is placed and sealed within a processing chamber. After the substrate structure is placed into and sealed within processing chamber in the step 402, in the step 404 the processing chamber is pressurized with supercritical CO2 and processing chemistry is added to the supercritical CO2 to generate a supercritical cleaning and passivating solution. Preferably, the cleaning and passivating chemistry comprises at least one organosihcon compound.
After the supercritical cleaning and passivating solution is generated in the step 404, in the step 406 the substrate structure is maintained in the supercritical processing solution for a period of time sufficient to remove at least a portion of the residue from the substrate structure and passivate surfaces exposed after the residue is removed. During the step 406, the supercritical cleaning and passivating solution is preferably circulated through the processing chamber and/or otherwise agitated to move the supercritical cleaning solution over surfaces of the substrate structure. This cleaning step can also be performed after passivation, before passivation or during passivation.
Still referring to Figure 4, after at least a portion of the residue is removed from the substrate structure in the step 406, in the step 408, a supercritical cleaning solution processing step occurs in which a supercritical cleaning solution is preferably circulated through the processing chamber and/or otherwise agitated to move the supercritical solvent over surfaces of the substrate structure. Following the supercritical cleaning solution processing step 408, the processing chamber is partially exhausted in the step 410. The cleaning process comprising steps 404, 406, and 408 are repeated any number of times, as indicated by the arrow connecting the steps 410 to 404, required to remove the residue from the substrate structure and passivate the surfaces exposed. The processing comprising steps 404, 406, and 408, in accordance with the embodiments of the invention, use fresh supercritical carbon dioxide, fresh chemistry or both. Alternatively, the concentration of the cleaning chemistry is modified by diluting the processing chamber with supercritical carbon dioxide, by adding additional charges of cleaning chemistry or a combination thereof. Still referring to Figure 4, after the processing steps 404, 406, 408, and 410 are complete, in the step 412 the substrate structure is preferably treated to a supercritical rinse solution. The supercritical rinse solution preferably comprises supercritical CO2 and one or more organic solvents, but can be pure supercritical CO2. Still referring to Figure 4, after the substrate structure is cleaned in the steps 404, 406,
408, and 410 and rinsed in the step 412, in the step 414 the processing chamber is depressurized and the substrate structure is removed from the processing chamber. Alternatively, the substrate structure is cycled through one or more additional cleaning/ rinse processes comprising the steps 404, 406, 408, 410, and 412 as indicated by the arrow connecting steps 412 and 404. Alternatively, or in addition to cycling the substrate structure through one or more additional cleaning/rinse cycles, the substrate structure is treated to several rinse cycles prior to removing the substrate structure from the chamber in the step 414, as indicated by the arrow connecting the steps 412 and 410.
As described previously, the substrate structure can be dried and/or pretreated prior to passivating the low-k dielectric material layer thereon by using a supercritical solution comprising supercritical carbon dioxide and one or more solvents such as methanol, ethanol, and/or a combination thereof. Also, as mentioned previously pretreating the low-k dielectric material layer with supercritical solution comprising supercritical carbon dioxide with or without cosolvents appears to improve the coverage of the silyl-groups on surface of the low- k dielectric material layer. Also, it will be clear to one skilled in the art that a wafer comprising a post-etch residue and/or a patterned low-k dialectic material layer can be treated to any number cleaning and passivating steps and/or sequences.
It will be understood by one skilled in the art, that while the method of passivating low-k dielectric material has been primarily described herein with reference to a post-etch treatment and/or a post-etch cleaning treatment, the method of the present invention can be used to directly passivate low-k dielectric materials. Further, it will be appreciated that when treating a low-k dielectric material, in accordance with the method of the present invention, a supercritical rinse step is not always necessary and simply drying the low-k dielectric material prior treating the low-k dielectric material with a supercritical passivating solution can be appropriate for some applications.

Claims

CLAIMSWhat is claimed is:
1. A method of treating a low-k dielectric material surface comprising: a. treating the low-k dielectric material surface to a supercritical silylating agent to form a passivated low-k dielectric material surface; b. removing the supercritical silylating agent following treating the low-k dielectric material surface to the supercritical silylating agent; c. treating the passivated low-k dielectric material surface to a supercritical solvent; and d. removing the supercritical solvent following treating the passivated low-k dielectric material surface to the supercritical solvent, wherein the passivated low-k dielectric material surface is at least partially passivated with the supercritical silylating agent and the supercritical solvent.
2. The method of claim 1, wherein the supercritical silylating agent comprises supercritical CO2 and an amount of a silylating agent comprising organic groups.
3. The method of claim 2, wherein the organic groups comprise 5 carbon atoms or fewer.
4. The method of claim 1, wherein the supercritical solvent comprises supercritical CO2 and a mixture of acids and fluorides.
5. The method of claim 4, wherein the acids comprise organic acids.
6. The method of claim 4, wherein the acids comprise inorganic acids.
7. The method of claim 1 , wherein the supercritical silylating agent is silane having the structure (R1);(R2);(R3)SiNH(R4).
8. The method of claim 1, wherein the supercritical silylating agent further comprises a carrier solvent.
9. The method of claim 5, wherein the carrier solvent is selected from the group consisting of N, N-dimethylacetamide (DMAC), gamma-butyrolacetone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), N-methylpyrrolidone (NMP), dimethylpiperidone, propylene carbonate and alcohol.
10. The method of claim 1 , wherein the low-k dielectric material surface is maintained at temperatures in a range of 25 to 200 degrees Celsius.
11. The method of claim 1 , wherein treating the low-k dielectric material surface to a supercritical silylating agent comprises circulating the supercritical silylating agent over the low-k dielectric material surface.
12. The method of claim 1 , wherein treating the low-k dielectric material surface to a supercritical solvent comprises circulating the supercritical solvent over the low-k dielectric material surface.
13. The method of claim 1, wherein the supercritical silylating agent is maintained at pressures in a range of 700 to 9,000 psi.
14. The method of claim 1, further comprising drying the low-k dielectric material surface prior to treating the low-k dielectric material surface to a supercritical solution.
15. The method of claim 10, wherein drying the low-k dielectric material surface comprises treating the low-k dielectric material surface to a supercritical drying solution comprising supercritical carbon dioxide.
16. The method of claim 1 , wherein the low-k dielectric material surface comprises silicon-oxide.
17. The method of claim 1, wherein the low-k dielectric material surface comprises a material selected from the group consisting of a carbon doped oxide (COD), a spin- on-glass (SOG) and fluoridated silicon glass (FSG).
18. A method of treating a dielectric surface, comprising: a. removing post etch residue from the dielectric surface with a first supercritical cleaning solution; b. treating the dielectric surface with a silylating agent to form a passivated dielectric surface, wherein the silylating agent is in a second supercritical cleaning solution; and c. treating the passivated dielectric surface with a solvent, wherein the solvent is in a third supercritical cleaning solution.
19. The method of claim 18, wherein the residue comprises a polymer.
20. The method of claim 19, wherein the polymer is a photoresist polymer.
21. The method of claim 20, wherein the photoresist polymer comprises an anti-reflective dye.
22. The method of claim 18, wherein the dielectric surface comprises silicon oxide.
23. The method of claim 18, wherein the dielectric surface comprises low-k dielectric material.
24. The method of claim 18, wherein the dielectric surface comprises a material selected from the group consisting of a carbon doped oxide (COD), a spin-on-glass (SOG) and fluoridated silicon glass (FSG).
25. The method of claim 18, wherein the post etch residue comprises an anti-reflective coating.
26. The method of claim 18, wherein the silylating agent comprises an organosihcon compound.
27. The method of claim 18, wherein the solvent comprises a supercritical CO2 and a mixture of acids and fluorides.
28. The method of claim 25, wherein the organosihcon compound is agent is silane having the structure (R1);(R2);(R3)SiNH(R4).
29. A method of forming a patterned low-k dielectric material layer, the method comprising: a. depositing a continuous layer of low-k dielectric material; b. forming a photoresist mask over the continuous layer of low-k dielectric material; c. patterning the continuous layer of low-k dielectric material through the photoresist mask, thereby forming a post-etch residue; d. removing a portion of the post-etch residue using a supercritical solution comprising supercritical carbon dioxide and passivating agent; and e. removing remaining post-etch residue using a supercritical solvent comprising a acid and fluoride solution.
30. The method of claim 28, wherein the supercritical processing solution comprises supercritical carbon dioxide.
31. The method of claim 28, wherein the supercritical solvent further comprising supercritical carbon dioxide.
32. The method of claim 24, wherein the passivating agent is silicon-based.
33. The method of claim 31 , wherein the silicon-based passivating agent comprises an organosihcon compound.
34. A method of forming dielectric material layer with a reduced k- value, the method comprising: a. patterning the layer of dielectric material to form a patterned dielectric material layer with a first k- value; b. passivating the patterned dielectric material layer with a passivating agent to form a patterned reduced low-k dielectric material layer with a second k- value; and c. treating the patterned reduced low-k dielectric material layer with a supercritical cleaning solvent.
35. The method of claim 33, wherein the first k-value is greater than 3.0.
36. The method of claim 33, wherein the second k-value is less that 3.0.
37. The method of claim 33, wherein first k-value and the second k-value differ by 1.0 or more.
38. The method of claim 33, therein the dielectric material comprises a silicon-oxide component and hydrocarbon component.
39. The method of claim 33, wherein the passivating agent is a silylating agent comprising organic groups.
40. The method of claim 33, wherein the supercritical cleaning solvent is a acid and fluoride solution.
41. The method of claim 33, wherein the supercritical cleaning solvent is a 0.1-15.0 v/v %.
PCT/US2003/011012 2002-04-12 2003-04-11 Method of treatment of porous dielectric films to reduce damage during cleaning WO2003087936A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
AU2003226048A AU2003226048A1 (en) 2002-04-12 2003-04-11 Method of treatment of porous dielectric films to reduce damage during cleaning
EP03746699A EP1495366A1 (en) 2002-04-12 2003-04-11 Method of treatment of porous dielectric films to reduce damage during cleaning
JP2003584818A JP4424998B2 (en) 2002-04-12 2003-04-11 Method of reducing damage during cleaning of porous dielectric film
KR1020047016321A KR100969027B1 (en) 2002-04-12 2003-04-11 Method of treatment of porous dielectric films to reduce damage during cleaning

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US37282202P 2002-04-12 2002-04-12
US60/372,822 2002-04-12

Publications (1)

Publication Number Publication Date
WO2003087936A1 true WO2003087936A1 (en) 2003-10-23

Family

ID=29250913

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/011012 WO2003087936A1 (en) 2002-04-12 2003-04-11 Method of treatment of porous dielectric films to reduce damage during cleaning

Country Status (7)

Country Link
EP (1) EP1495366A1 (en)
JP (1) JP4424998B2 (en)
KR (1) KR100969027B1 (en)
CN (2) CN100335969C (en)
AU (1) AU2003226048A1 (en)
TW (1) TWI272693B (en)
WO (1) WO2003087936A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006080429A1 (en) * 2005-01-27 2006-08-03 Nippon Telegraph And Telephone Corporation Method for forming resist pattern, supercritical processing liquid for lithography process and method for forming antireflection film
CN100341136C (en) * 2004-01-20 2007-10-03 台湾积体电路制造股份有限公司 Semiconductor device and forming method for interconnecting structure and copper wiring processing method
JP2008538013A (en) * 2005-04-15 2008-10-02 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
US7977036B2 (en) 2005-01-27 2011-07-12 Nippon Telegraph And Telephone Corporation Resist pattern forming method
US9920286B2 (en) 2012-06-11 2018-03-20 Tokyo Ohka Kogyo Co., Ltd. Cleaning liquid for lithography and method for forming wiring

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100969027B1 (en) * 2002-04-12 2010-07-09 도쿄엘렉트론가부시키가이샤 Method of treatment of porous dielectric films to reduce damage during cleaning
US7008853B1 (en) * 2005-02-25 2006-03-07 Infineon Technologies, Ag Method and system for fabricating free-standing nanostructures
JP5247999B2 (en) * 2005-09-29 2013-07-24 東京エレクトロン株式会社 Substrate processing method and computer-readable storage medium
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
JP5173396B2 (en) * 2007-12-25 2013-04-03 大陽日酸株式会社 Insulation film damage recovery method
KR20200015279A (en) 2018-08-03 2020-02-12 삼성전자주식회사 Method for forming nanocrystalline graphene and device including the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020001929A1 (en) * 2000-04-25 2002-01-03 Biberger Maximilian A. Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US20030036023A1 (en) * 2000-12-12 2003-02-20 Moreau Wayne M. Supercritical fluid(SCF) silylation process

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5185296A (en) * 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
GB2262465A (en) * 1991-12-16 1993-06-23 Secr Defence Casting of aluminium-lithium alloys
US5479727A (en) * 1994-10-25 1996-01-02 Air Products And Chemicals, Inc. Moisture removal and passivation of surfaces
KR100969027B1 (en) * 2002-04-12 2010-07-09 도쿄엘렉트론가부시키가이샤 Method of treatment of porous dielectric films to reduce damage during cleaning

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US20020001929A1 (en) * 2000-04-25 2002-01-03 Biberger Maximilian A. Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US20030036023A1 (en) * 2000-12-12 2003-02-20 Moreau Wayne M. Supercritical fluid(SCF) silylation process

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100341136C (en) * 2004-01-20 2007-10-03 台湾积体电路制造股份有限公司 Semiconductor device and forming method for interconnecting structure and copper wiring processing method
WO2006080429A1 (en) * 2005-01-27 2006-08-03 Nippon Telegraph And Telephone Corporation Method for forming resist pattern, supercritical processing liquid for lithography process and method for forming antireflection film
JP2006208735A (en) * 2005-01-27 2006-08-10 Nippon Telegr & Teleph Corp <Ntt> Resist pattern forming method, supercritical processing liquid for lithography process and antireflection film forming method
JP4555698B2 (en) * 2005-01-27 2010-10-06 日本電信電話株式会社 Resist pattern forming method
US7977036B2 (en) 2005-01-27 2011-07-12 Nippon Telegraph And Telephone Corporation Resist pattern forming method
US8026047B2 (en) 2005-01-27 2011-09-27 Nippon Telegraph And Telephone Corporation Resist pattern forming method, supercritical processing solution for lithography process, and antireflection film forming method
JP2008538013A (en) * 2005-04-15 2008-10-02 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
US9920286B2 (en) 2012-06-11 2018-03-20 Tokyo Ohka Kogyo Co., Ltd. Cleaning liquid for lithography and method for forming wiring

Also Published As

Publication number Publication date
CN1646990A (en) 2005-07-27
TW200308051A (en) 2003-12-16
AU2003226048A1 (en) 2003-10-27
KR100969027B1 (en) 2010-07-09
JP4424998B2 (en) 2010-03-03
CN101005024B (en) 2011-06-08
CN101005024A (en) 2007-07-25
TWI272693B (en) 2007-02-01
KR20040111507A (en) 2004-12-31
JP2005522737A (en) 2005-07-28
EP1495366A1 (en) 2005-01-12
CN100335969C (en) 2007-09-05

Similar Documents

Publication Publication Date Title
US7169540B2 (en) Method of treatment of porous dielectric films to reduce damage during cleaning
US7270941B2 (en) Method of passivating of low dielectric materials in wafer processing
US7553769B2 (en) Method for treating a dielectric film
US7585777B1 (en) Photoresist strip method for low-k dielectrics
JP3771496B2 (en) Removal of photoresist and residue from substrate using supercritical carbon dioxide method
US8591661B2 (en) Low damage photoresist strip method for low-K dielectrics
US7387868B2 (en) Treatment of a dielectric layer using supercritical CO2
US20040177867A1 (en) Tetra-organic ammonium fluoride and HF in supercritical fluid for photoresist and residue removal
KR20080109886A (en) Method for removing damaged dielectric material
JP4424998B2 (en) Method of reducing damage during cleaning of porous dielectric film
KR20050001797A (en) Cleaning method of photoresist or organic material from microelectronic device substrate
US20070000519A1 (en) Removal of residues for low-k dielectric materials in wafer processing
TW500985B (en) Removal of photoresist and residue from substrate using supercritical carbon dioxide process
TW200305213A (en) Method of passivating of low dielectric materials in wafer processing

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 20038081466

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 2003584818

Country of ref document: JP

Ref document number: 1020047016321

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2003746699

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020047016321

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2003746699

Country of ref document: EP