WO2003105182A3 - Externally excited torroidal plasma source with magnetic control of ion distribution - Google Patents

Externally excited torroidal plasma source with magnetic control of ion distribution Download PDF

Info

Publication number
WO2003105182A3
WO2003105182A3 PCT/US2003/018025 US0318025W WO03105182A3 WO 2003105182 A3 WO2003105182 A3 WO 2003105182A3 US 0318025 W US0318025 W US 0318025W WO 03105182 A3 WO03105182 A3 WO 03105182A3
Authority
WO
WIPO (PCT)
Prior art keywords
processing region
tube
plasma source
plasma
reentrant
Prior art date
Application number
PCT/US2003/018025
Other languages
French (fr)
Other versions
WO2003105182A2 (en
Inventor
Kenneth S Collins
Hiroji Hanawa
Yan Ye
Kartik Ramaswamy
Andrew Nguyen
Michael S Barnes
Huong Thanh Nguyen
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to KR1020047019828A priority Critical patent/KR101011580B1/en
Publication of WO2003105182A2 publication Critical patent/WO2003105182A2/en
Publication of WO2003105182A3 publication Critical patent/WO2003105182A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation

Abstract

A plasma reactor is described that includes a vacuum chamber defined by an enclosure including a side wall and a workpiece support pedestal within the chamber defining a processing region overlying said pedestal. The chamber has at least a first pair of ports near opposing sides of said processing region and a first external reentrant tube is connected at respective ends thereof to the pair of ports. The reactor further includes a process gas injection apparatus (such as a gas distribution plate) and an RF power applicator coupled to the reentrant tube for applying plasma source power to process gases within the tube to produce a reentrant torroidal plasma current through the first tube and across said processing region. A magnet controls radial distribution of plasma ion density in the processing region, the magnet having an elongated pole piece defining a pole piece axis intersecting the processing region.
PCT/US2003/018025 2002-06-05 2003-06-05 Externally excited torroidal plasma source with magnetic control of ion distribution WO2003105182A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020047019828A KR101011580B1 (en) 2002-06-05 2003-06-05 Externally excited torroidal plasma source with magnetic control of ion distribution

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/164,327 2002-06-05
US10/164,327 US6939434B2 (en) 2000-08-11 2002-06-05 Externally excited torroidal plasma source with magnetic control of ion distribution

Publications (2)

Publication Number Publication Date
WO2003105182A2 WO2003105182A2 (en) 2003-12-18
WO2003105182A3 true WO2003105182A3 (en) 2004-03-04

Family

ID=29710179

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/018025 WO2003105182A2 (en) 2002-06-05 2003-06-05 Externally excited torroidal plasma source with magnetic control of ion distribution

Country Status (4)

Country Link
US (7) US6939434B2 (en)
KR (1) KR101011580B1 (en)
TW (1) TWI333396B (en)
WO (1) WO2003105182A2 (en)

Families Citing this family (242)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
JP2004128281A (en) * 2002-10-03 2004-04-22 Tokyo Electron Ltd Substrate treatment method and apparatus thereof
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US20040135828A1 (en) * 2003-01-15 2004-07-15 Schmitt Stephen E. Printer and method for printing an item with a high durability and/or resolution image
US6981767B2 (en) * 2003-01-15 2006-01-03 Ssgii, Inc. Printed item having an image with a high durability and/or resolution
US8158016B2 (en) * 2004-02-04 2012-04-17 Veeco Instruments, Inc. Methods of operating an electromagnet of an ion source
KR20050024949A (en) * 2003-09-05 2005-03-11 삼성전자주식회사 Plasma etcher
JP2005093518A (en) * 2003-09-12 2005-04-07 Matsushita Electric Ind Co Ltd Control method and apparatus of dopant introduction
EP1695369A4 (en) * 2003-12-12 2009-11-04 Semequip Inc Method and apparatus for extending equipment uptime in ion implantation
US20080223409A1 (en) * 2003-12-12 2008-09-18 Horsky Thomas N Method and apparatus for extending equipment uptime in ion implantation
US20050170598A1 (en) * 2004-01-29 2005-08-04 Howard Gregory E. Silicided amorphous polysilicon - metal capacitor
US20050202624A1 (en) * 2004-03-12 2005-09-15 Infineon Technologies North America Corp. Plasma ion implantation system
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
US7396746B2 (en) * 2004-05-24 2008-07-08 Varian Semiconductor Equipment Associates, Inc. Methods for stable and repeatable ion implantation
US20050287307A1 (en) * 2004-06-23 2005-12-29 Varian Semiconductor Equipment Associates, Inc. Etch and deposition control for plasma implantation
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US7422988B2 (en) * 2004-11-12 2008-09-09 Applied Materials, Inc. Rapid detection of imminent failure in laser thermal processing of a substrate
US7129440B2 (en) * 2004-11-12 2006-10-31 Applied Materials, Inc. Single axis light pipe for homogenizing slow axis of illumination systems based on laser diodes
US7910499B2 (en) * 2004-11-12 2011-03-22 Applied Materials, Inc. Autofocus for high power laser diode based annealing system
US7438468B2 (en) * 2004-11-12 2008-10-21 Applied Materials, Inc. Multiple band pass filtering for pyrometry in laser based annealing systems
US7459692B2 (en) 2004-11-19 2008-12-02 Varian Semiconductor Equipment Associates, Inc. Electron confinement inside magnet of ion implanter
US7569503B2 (en) * 2004-11-24 2009-08-04 Nanosys, Inc. Contact doping and annealing systems and processes for nanowire thin films
KR101133090B1 (en) 2005-03-30 2012-04-04 파나소닉 주식회사 Impurity introduction apparatus and method of impurity introduction
KR101153161B1 (en) * 2005-04-01 2012-06-18 주성엔지니어링(주) Gas injector and Apparatus including the same for fabricating Liquid Crystal Display Device
US20060234455A1 (en) * 2005-04-19 2006-10-19 Chien-Hao Chen Structures and methods for forming a locally strained transistor
US7256094B2 (en) * 2005-05-24 2007-08-14 Atmel Corporation Method for changing threshold voltage of device in resist asher
US7135392B1 (en) 2005-07-20 2006-11-14 Applied Materials, Inc. Thermal flux laser annealing for ion implantation of semiconductor P-N junctions
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US8900980B2 (en) 2006-01-20 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Defect-free SiGe source/drain formation by epitaxy-free process
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US7264688B1 (en) * 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US8932430B2 (en) * 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
US7888245B2 (en) * 2006-05-11 2011-02-15 Hynix Semiconductor Inc. Plasma doping method and method for fabricating semiconductor device using the same
US7504326B2 (en) * 2006-05-30 2009-03-17 Advanced Micro Devices, Inc. Use of scanning theme implanters and annealers for selective implantation and annealing
US7674999B2 (en) * 2006-08-23 2010-03-09 Applied Materials, Inc. Fast axis beam profile shaping by collimation lenslets for high power laser diode based annealing system
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US7976671B2 (en) 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US7659187B2 (en) * 2006-11-03 2010-02-09 Applied Materials, Inc. Method of forming PN junctions including a post-ion implant dynamic surface anneal process with minimum interface trap density at the gate insulator-silicon interface
US7786024B2 (en) 2006-11-29 2010-08-31 Nanosys, Inc. Selective processing of semiconductor nanowires by polarized visible radiation
US20080136887A1 (en) * 2006-12-11 2008-06-12 Schmitt Stephen E Printed item having an image with a high durability and/or resolution
US7547900B2 (en) * 2006-12-22 2009-06-16 Varian Semiconductor Equipment Associates, Inc. Techniques for providing a ribbon-shaped gas cluster ion beam
KR100864928B1 (en) * 2006-12-29 2008-10-22 동부일렉트로닉스 주식회사 Method of Forming ?????? Device
KR20090106617A (en) * 2007-01-19 2009-10-09 어플라이드 머티어리얼스, 인코포레이티드 Plasma immersion chamber
US7586109B2 (en) * 2007-01-25 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Technique for improving the performance and extending the lifetime of an ion source with gas dilution
US7871828B2 (en) * 2007-02-06 2011-01-18 Applied Materials, Inc. In-situ dose monitoring using optical emission spectroscopy
US7655931B2 (en) * 2007-03-29 2010-02-02 Varian Semiconductor Equipment Associates, Inc. Techniques for improving the performance and extending the lifetime of an ion source with gas mixing
US8394687B2 (en) * 2007-03-30 2013-03-12 Intel Corporation Ultra-abrupt semiconductor junction profile
US7972469B2 (en) * 2007-04-22 2011-07-05 Applied Materials, Inc. Plasma processing apparatus
JP2008286742A (en) * 2007-05-21 2008-11-27 Kyoto Univ Device and method for controlling ion beam
KR100855002B1 (en) * 2007-05-23 2008-08-28 삼성전자주식회사 Plasma based ion implantation system
US7875125B2 (en) * 2007-09-21 2011-01-25 Semequip, Inc. Method for extending equipment uptime in ion implantation
US20090142875A1 (en) * 2007-11-30 2009-06-04 Applied Materials, Inc. Method of making an improved selective emitter for silicon solar cells
JP5297048B2 (en) * 2008-01-28 2013-09-25 三菱重工業株式会社 Plasma processing method and plasma processing apparatus
US20110097517A1 (en) * 2008-01-30 2011-04-28 Applied Materials, Inc. Dynamic vertical microwave deposition of dielectric layers
US7993733B2 (en) 2008-02-20 2011-08-09 Applied Materials, Inc. Index modified coating on polymer substrate
US7713757B2 (en) * 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
US20090238998A1 (en) * 2008-03-18 2009-09-24 Applied Materials, Inc. Coaxial microwave assisted deposition and etch systems
US20090238993A1 (en) * 2008-03-19 2009-09-24 Applied Materials, Inc. Surface preheating treatment of plastics substrate
KR101004927B1 (en) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Showerhead and Chemical Vapor Deposition Apparatus Having the Same
US8057649B2 (en) * 2008-05-06 2011-11-15 Applied Materials, Inc. Microwave rotatable sputtering deposition
US8349156B2 (en) * 2008-05-14 2013-01-08 Applied Materials, Inc. Microwave-assisted rotatable PVD
US20100099266A1 (en) * 2008-09-22 2010-04-22 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US20100078315A1 (en) * 2008-09-26 2010-04-01 Applied Materials, Inc. Microstrip antenna assisted ipvd
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US8815634B2 (en) 2008-10-31 2014-08-26 Varian Semiconductor Equipment Associates, Inc. Dark currents and reducing defects in image sensors and photovoltaic junctions
KR101124419B1 (en) * 2009-02-18 2012-03-20 포항공과대학교 산학협력단 Portable power module for microwave excited microplasmas
TW201130007A (en) * 2009-07-09 2011-09-01 Applied Materials Inc High efficiency low energy microwave ion/electron source
CN102598201A (en) * 2009-08-27 2012-07-18 摩赛科结晶公司 Penetrating plasma generating apparatus for high vacuum chambers
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JPWO2012011480A1 (en) * 2010-07-21 2013-09-09 東京エレクトロン株式会社 Interlayer insulation layer formation method
KR101147349B1 (en) * 2010-09-17 2012-05-23 인제대학교 산학협력단 Plasma processing equipment with a leakage current transformer
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9136794B2 (en) 2011-06-22 2015-09-15 Research Triangle Institute, International Bipolar microelectronic device
US10225919B2 (en) * 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
US20130017315A1 (en) * 2011-07-15 2013-01-17 Applied Materials, Inc. Methods and apparatus for controlling power distribution in substrate processing systems
KR101241049B1 (en) * 2011-08-01 2013-03-15 주식회사 플라즈마트 Plasma generation apparatus and plasma generation method
WO2013039508A1 (en) 2011-09-16 2013-03-21 Empire Technology Development Llc Alteration of graphene defects
US8692468B2 (en) 2011-10-03 2014-04-08 Varian Semiconductor Equipment Associates, Inc. Transformer-coupled RF source for plasma processing tool
US8933628B2 (en) * 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US10271416B2 (en) 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
US9035553B2 (en) * 2011-11-09 2015-05-19 Dae-Kyu Choi Hybrid plasma reactor
US10283325B2 (en) * 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
JP2013165254A (en) * 2012-01-13 2013-08-22 Tokyo Electron Ltd Plasma doping apparatus, plasma doping method, method for manufacturing semiconductor element, and semiconductor element
JP6009171B2 (en) * 2012-02-14 2016-10-19 東京エレクトロン株式会社 Substrate processing equipment
US9082591B2 (en) * 2012-04-24 2015-07-14 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9082589B2 (en) * 2012-10-09 2015-07-14 Novellus Systems, Inc. Hybrid impedance matching for inductively coupled plasma system
US8944003B2 (en) * 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
USD733202S1 (en) * 2013-04-30 2015-06-30 American Ceramic Technology Nuclear reactor tube shield
USD738946S1 (en) * 2013-04-30 2015-09-15 American Ceramic Technology Nuclear reactor boiler divider plate shield
USD732589S1 (en) * 2013-04-30 2015-06-23 American Ceramic Technology Nuclear reactor boiler divider plate shield
KR20140137172A (en) * 2013-05-22 2014-12-02 최대규 Remote plasma system having self-management function and self management method of the same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US9960763B2 (en) 2013-11-14 2018-05-01 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9533909B2 (en) 2014-03-31 2017-01-03 Corning Incorporated Methods and apparatus for material processing using atmospheric thermal plasma reactor
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9550694B2 (en) 2014-03-31 2017-01-24 Corning Incorporated Methods and apparatus for material processing using plasma thermal source
US9284210B2 (en) 2014-03-31 2016-03-15 Corning Incorporated Methods and apparatus for material processing using dual source cyclonic plasma reactor
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
CN104209069B (en) * 2014-09-05 2015-12-30 北京石油化工工程有限公司 Paste state bed reactor gas distribution structure
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) * 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US20160200618A1 (en) 2015-01-08 2016-07-14 Corning Incorporated Method and apparatus for adding thermal energy to a glass melt
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
WO2017024203A1 (en) * 2015-08-05 2017-02-09 Wade Spicer Magnetic drive, seal-less pump
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10395895B2 (en) * 2015-08-27 2019-08-27 Mks Instruments, Inc. Feedback control by RF waveform tailoring for ion energy distribution
EP3387679B1 (en) * 2015-12-09 2022-04-27 First Solar, Inc. Photovoltaic devices and method of manufacturing
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
WO2018009524A1 (en) * 2016-07-05 2018-01-11 Duralar Technologies, Llc Plasma-enhanced chemical vapor deposition of carbon-based coatings on surfaces
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10535505B2 (en) * 2016-11-11 2020-01-14 Lam Research Corporation Plasma light up suppression
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
CN115378264A (en) 2017-02-07 2022-11-22 鹰港科技有限公司 Transformer resonant converter
CN110226219B (en) * 2017-02-07 2023-12-08 株式会社半导体能源研究所 Semiconductor device and method for manufacturing semiconductor device
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10950500B2 (en) 2017-05-05 2021-03-16 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN111264032B (en) 2017-08-25 2022-08-19 鹰港科技有限公司 Arbitrary waveform generation using nanosecond pulses
US10002746B1 (en) * 2017-09-13 2018-06-19 Lam Research Corporation Multi regime plasma wafer processing to increase directionality of ions
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
JP2019186098A (en) * 2018-04-12 2019-10-24 東京エレクトロン株式会社 Method of generating plasma
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10707050B2 (en) * 2018-07-26 2020-07-07 Varian Semiconductor Equipment Associates, Inc. System and method to detect glitches
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
KR102499709B1 (en) 2018-08-10 2023-02-16 이글 하버 테크놀로지스, 인코포레이티드 Plasma sheath control for RF plasma reactors
JP7091196B2 (en) * 2018-09-04 2022-06-27 キオクシア株式会社 Manufacturing method of plasma processing equipment and semiconductor equipment
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
TW202308306A (en) 2019-01-08 2023-02-16 美商鷹港科技股份有限公司 Method for creating high voltage pulses
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI778449B (en) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 High voltage pulsing circuit
US20210175103A1 (en) * 2019-12-06 2021-06-10 Applied Materials, Inc. In situ failure detection in semiconductor processing chambers
US11527383B2 (en) 2019-12-24 2022-12-13 Eagle Harbor Technologies, Inc. Nanosecond pulser RF isolation for plasma systems
CN113889391B (en) * 2020-07-02 2024-03-12 中微半导体设备(上海)股份有限公司 Plasma processing apparatus and insulating window assembly thereof
KR20220107521A (en) * 2021-01-25 2022-08-02 (주) 엔피홀딩스 Reactor, process processing apparatus including the same and method for manufacturing reactor
IL281747B2 (en) * 2021-03-22 2024-04-01 N T Tao Ltd High efficiency plasma creation system and method
US11823867B2 (en) * 2021-05-20 2023-11-21 Kaufman & Robinson, Inc. Load current derived switch timing of switching resonant topology
CN113285223B (en) * 2021-05-24 2023-10-10 中国科学院合肥物质科学研究院 Discrete pi/2 phase difference ion cyclotron resonance heating antenna
CN113757297B (en) * 2021-09-09 2023-06-06 重庆交通大学 Magnetorheological damper based on U-shaped coil
CN116066319A (en) * 2023-03-14 2023-05-05 哈尔滨工业大学 Cathode external electron compensation method for inhibiting discharge oscillation of electric propulsion hollow cathode

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001011650A1 (en) * 1999-08-06 2001-02-15 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
WO2001086697A2 (en) * 2000-05-11 2001-11-15 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
WO2002015650A2 (en) * 2000-08-11 2002-02-21 Applied Materials, Inc. Externally excited torroidal plasma source

Family Cites Families (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US13314A (en) * 1855-07-24 Parlor-stove
US310910A (en) * 1885-01-20 piquerez
US2344138A (en) * 1940-05-20 1944-03-14 Chemical Developments Corp Coating method
US3109100A (en) * 1960-05-19 1963-10-29 Automatic Canteen Co Photosensitive currency testing device
US3576685A (en) * 1968-03-15 1971-04-27 Itt Doping semiconductors with elemental dopant impurity
US3676685A (en) * 1970-05-04 1972-07-11 Xercon Inc Light-responsive switching circuit
US3907616A (en) * 1972-11-15 1975-09-23 Texas Instruments Inc Method of forming doped dielectric layers utilizing reactive plasma deposition
CH611938A5 (en) * 1976-05-19 1979-06-29 Battelle Memorial Institute
RU725326C (en) 1977-08-29 1993-11-15 Отделение Института химической физики АН СССР Method of producing double-layer pipes
US4176003A (en) * 1978-02-22 1979-11-27 Ncr Corporation Method for enhancing the adhesion of photoresist to polysilicon
DE3118785A1 (en) * 1981-05-12 1982-12-02 Siemens AG, 1000 Berlin und 8000 München METHOD AND DEVICE FOR DOPING SEMICONDUCTOR MATERIAL
US4465529A (en) * 1981-06-05 1984-08-14 Mitsubishi Denki Kabushiki Kaisha Method of producing semiconductor device
US4385946A (en) * 1981-06-19 1983-05-31 Bell Telephone Laboratories, Incorporated Rapid alteration of ion implant dopant species to create regions of opposite conductivity
US4434063A (en) * 1981-08-07 1984-02-28 Kyodo Yushi Co., Ltd. Lubricant compositions
US4382099A (en) * 1981-10-26 1983-05-03 Motorola, Inc. Dopant predeposition from high pressure plasma source
JPH0635323B2 (en) * 1982-06-25 1994-05-11 株式会社日立製作所 Surface treatment method
JPS5986214A (en) 1982-11-09 1984-05-18 Nippon Denso Co Ltd Manufacture of amorphous semiconductor
US4500563A (en) * 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
JPS59218728A (en) 1983-05-26 1984-12-10 Fuji Electric Corp Res & Dev Ltd Impurity introduction into semiconductor substrate
US4521441A (en) * 1983-12-19 1985-06-04 Motorola, Inc. Plasma enhanced diffusion process
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPS60153119A (en) * 1984-01-20 1985-08-12 Fuji Electric Corp Res & Dev Ltd Impurity diffusing method
US4656588A (en) * 1984-04-17 1987-04-07 Nissan Motor Company, Limited Anti-skid brake control system with a plurality of independently operative digital controllers
US4539217A (en) * 1984-06-27 1985-09-03 Eaton Corporation Dose control method
US4584026A (en) * 1984-07-25 1986-04-22 Rca Corporation Ion-implantation of phosphorus, arsenic or boron by pre-amorphizing with fluorine ions
US4698104A (en) * 1984-12-06 1987-10-06 Xerox Corporation Controlled isotropic doping of semiconductor materials
JPS62120041A (en) 1985-11-20 1987-06-01 Fujitsu Ltd Manufacture of semiconductor device
JPS62290885A (en) 1986-06-10 1987-12-17 Toshiba Corp Reactive ion etching device
JPH0763056B2 (en) * 1986-08-06 1995-07-05 三菱電機株式会社 Thin film forming equipment
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4764394A (en) * 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US4912065A (en) * 1987-05-28 1990-03-27 Matsushita Electric Industrial Co., Ltd. Plasma doping method
KR930003857B1 (en) * 1987-08-05 1993-05-14 마쯔시다덴기산교 가부시기가이샤 Plasma doping method
US4892752A (en) * 1987-08-12 1990-01-09 Oki Electric Industry Co., Ltd. Method of ion implantation
US4778561A (en) * 1987-10-30 1988-10-18 Veeco Instruments, Inc. Electron cyclotron resonance plasma source
US5643838A (en) * 1988-03-31 1997-07-01 Lucent Technologies Inc. Low temperature deposition of silicon oxides for device fabrication
US4871421A (en) * 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
US5061838A (en) * 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5106827A (en) * 1989-09-18 1992-04-21 The Perkin Elmer Corporation Plasma assisted oxidation of perovskites for forming high temperature superconductors using inductively coupled discharges
US5312778A (en) * 1989-10-03 1994-05-17 Applied Materials, Inc. Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5040046A (en) * 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5107201A (en) * 1990-12-11 1992-04-21 Ogle John S High voltage oscilloscope probe with wide frequency response
US5288650A (en) * 1991-01-25 1994-02-22 Ibis Technology Corporation Prenucleation process for simox device fabrication
JP3119693B2 (en) 1991-10-08 2000-12-25 エム・セテック株式会社 Semiconductor substrate manufacturing method and apparatus
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5423945A (en) * 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
US5229305A (en) * 1992-02-03 1993-07-20 Motorola, Inc. Method for making intrinsic gettering sites in bonded substrates
US5208172A (en) * 1992-03-02 1993-05-04 Motorola, Inc. Method for forming a raised vertical transistor
US5505780A (en) * 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
AU5017293A (en) * 1992-09-01 1994-03-29 University Of North Carolina At Chapel Hill, The High pressure magnetically assisted inductively coupled plasma
US5510011A (en) * 1992-11-09 1996-04-23 Canon Kabushiki Kaisha Method for forming a functional deposited film by bias sputtering process at a relatively low substrate temperature
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
JP3430552B2 (en) * 1993-05-07 2003-07-28 ソニー株式会社 Manufacturing method of diamond semiconductor
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5572038A (en) * 1993-05-07 1996-11-05 Varian Associates, Inc. Charge monitor for high potential pulse current dose measurement apparatus and method
IT1263372B (en) * 1993-05-26 1996-08-05 Deregibus A & A Spa MACHINE PERFECTED FOR THE PRODUCTION OF VULCANIZED RUBBER HOSES.
JP3320392B2 (en) 1993-06-24 2002-09-03 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
EP0634778A1 (en) * 1993-07-12 1995-01-18 The Boc Group, Inc. Hollow cathode array
JP2919254B2 (en) * 1993-11-22 1999-07-12 日本電気株式会社 Semiconductor device manufacturing method and forming apparatus
US5520209A (en) * 1993-12-03 1996-05-28 The Dow Chemical Company Fluid relief device
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5504042A (en) * 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
US5569363A (en) * 1994-10-25 1996-10-29 Sony Corporation Inductively coupled plasma sputter chamber with conductive material sputtering capabilities
US5674321A (en) * 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5711812A (en) 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
US5888413A (en) * 1995-06-06 1999-03-30 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US5683517A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
US5702530A (en) * 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
JPH0945624A (en) 1995-07-27 1997-02-14 Tokyo Electron Ltd Leaf-type heat treating system
JPH0982495A (en) * 1995-09-18 1997-03-28 Toshiba Corp Plasma producing device and method
JPH09180897A (en) * 1995-12-12 1997-07-11 Applied Materials Inc Gas supply device for high density plasma reactor
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5895548A (en) * 1996-03-29 1999-04-20 Applied Komatsu Technology, Inc. High power microwave plasma applicator
US5660895A (en) * 1996-04-24 1997-08-26 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor
US5751537A (en) * 1996-05-02 1998-05-12 Applied Materials, Inc. Multielectrode electrostatic chuck with fuses
US6000360A (en) * 1996-07-03 1999-12-14 Tokyo Electron Limited Plasma processing apparatus
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5711182A (en) * 1996-09-09 1998-01-27 Yang; Shyi-Dong Crimping tool with wire stripping capability
JP3220394B2 (en) 1996-09-27 2001-10-22 東京エレクトロン株式会社 Plasma processing equipment
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US5911832A (en) * 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US5654043A (en) * 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5770982A (en) * 1996-10-29 1998-06-23 Sematech, Inc. Self isolating high frequency saturable reactor
SE510984C2 (en) 1996-10-31 1999-07-19 Assa Ab Cylinder
JP4013271B2 (en) 1997-01-16 2007-11-28 日新電機株式会社 Article surface treatment method and apparatus
US6139697A (en) 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
JPH10270428A (en) * 1997-03-27 1998-10-09 Mitsubishi Electric Corp Plasma treating device
US6174450B1 (en) * 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6582999B2 (en) * 1997-05-12 2003-06-24 Silicon Genesis Corporation Controlled cleavage process using pressurized fluid
US6291313B1 (en) * 1997-05-12 2001-09-18 Silicon Genesis Corporation Method and device for controlled cleaving process
US6162705A (en) * 1997-05-12 2000-12-19 Silicon Genesis Corporation Controlled cleavage process and resulting device using beta annealing
US5897752A (en) * 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6103599A (en) * 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
JP2001511608A (en) 1997-07-29 2001-08-14 シリコン ジェネシス コーポレイション Cluster tool method and apparatus using plasma penetrating ion implantation
US5935077A (en) * 1997-08-14 1999-08-10 Ogle; John Seldon Noninvasive blood flow sensor using magnetic field parallel to skin
EP1018153A1 (en) * 1997-08-29 2000-07-12 Sharon N. Farrens In situ plasma wafer bonding method
JPH1187340A (en) * 1997-09-05 1999-03-30 Mitsubishi Electric Corp Semiconductor device and its manufacture
US5995207A (en) * 1997-11-26 1999-11-30 Litton Systems, Inc. Method for determining the phase difference of light waves propagated over two paths
US6041735A (en) * 1998-03-02 2000-03-28 Ball Semiconductor, Inc. Inductively coupled plasma powder vaporization for fabricating integrated circuits
US5994236A (en) * 1998-01-23 1999-11-30 Ogle; John Seldon Plasma source with process nonuniformity improved using ferromagnetic cores
US6265328B1 (en) * 1998-01-30 2001-07-24 Silicon Genesis Corporation Wafer edge engineering method and device
US6274459B1 (en) * 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US5944942A (en) * 1998-03-04 1999-08-31 Ogle; John Seldon Varying multipole plasma source
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US5998933A (en) 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
EP0964074A3 (en) 1998-05-13 2001-02-07 Axcelis Technologies, Inc. Ion implantation control using optical emission spectroscopy
US6101971A (en) * 1998-05-13 2000-08-15 Axcelis Technologies, Inc. Ion implantation control using charge collection, optical emission spectroscopy and mass analysis
US6164241A (en) 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
JP3497092B2 (en) * 1998-07-23 2004-02-16 名古屋大学長 Plasma density information measurement method, probe used for measurement, and plasma density information measurement device
US6020592A (en) * 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6300643B1 (en) 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6050218A (en) * 1998-09-28 2000-04-18 Eaton Corporation Dosimetry cup charge collection in plasma immersion ion implantation
WO2000026960A1 (en) * 1998-10-29 2000-05-11 Tokyo Electron Limited Vacuum processor apparatus
WO2000026939A1 (en) * 1998-10-29 2000-05-11 Applied Materials, Inc. Apparatus for coupling power through a workpiece in a semiconductor wafer processing system
US6174743B1 (en) * 1998-12-08 2001-01-16 Advanced Micro Devices, Inc. Method of reducing incidence of stress-induced voiding in semiconductor interconnect lines
US6096661A (en) * 1998-12-15 2000-08-01 Advanced Micro Devices, Inc. Method for depositing silicon dioxide using low temperatures
WO2000041229A1 (en) 1998-12-28 2000-07-13 Tokyo Electron Limited Plasma apparatus and lower electrode thereof
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6239553B1 (en) * 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
US20020036881A1 (en) * 1999-05-07 2002-03-28 Shamouil Shamouilian Electrostatic chuck having composite base and method
JP3160263B2 (en) 1999-05-14 2001-04-25 キヤノン販売株式会社 Plasma doping apparatus and plasma doping method
US6248642B1 (en) * 1999-06-24 2001-06-19 Ibis Technology Corporation SIMOX using controlled water vapor for oxygen implants
US6375790B1 (en) * 1999-07-19 2002-04-23 Epion Corporation Adaptive GCIB for smoothing surfaces
DE19936864A1 (en) 1999-08-05 2001-02-15 Patent Treuhand Ges Fuer Elektrische Gluehlampen Mbh Method for inserting a pump stem into a discharge vessel
US6237527B1 (en) * 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
US6103567A (en) * 1999-08-10 2000-08-15 Vanguard International Semiconductor Corp. Method of fabricating dielectric layer
US6264328B1 (en) * 1999-10-21 2001-07-24 University Of Rochester Wavefront sensor with off-axis illumination
US6335536B1 (en) * 1999-10-27 2002-01-01 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for low voltage plasma doping using dual pulses
US6433553B1 (en) * 1999-10-27 2002-08-13 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for eliminating displacement current from current measurements in a plasma processing system
US6182604B1 (en) * 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6341574B1 (en) * 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
DE19954926C2 (en) * 1999-11-16 2001-09-13 Bruker Medical Gmbh Method for correcting linear inhomogeneities in magnetic resonance equipment
US6426015B1 (en) * 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6458430B1 (en) 1999-12-22 2002-10-01 Axcelis Technologies, Inc. Pretreatment process for plasma immersion ion implantation
US6350697B1 (en) * 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6291938B1 (en) * 1999-12-31 2001-09-18 Litmas, Inc. Methods and apparatus for igniting and sustaining inductively coupled plasma
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6417078B1 (en) * 2000-05-03 2002-07-09 Ibis Technology Corporation Implantation process using sub-stoichiometric, oxygen doses at different energies
DE10024876A1 (en) 2000-05-16 2001-11-29 Infineon Technologies Ag Vertical transistor
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6643557B1 (en) 2000-06-09 2003-11-04 Advanced Micro Devices, Inc. Method and apparatus for using scatterometry to perform feedback and feed-forward control
KR100366623B1 (en) * 2000-07-18 2003-01-09 삼성전자 주식회사 Method for cleaning semiconductor substrate or LCD substrate
US6305316B1 (en) * 2000-07-20 2001-10-23 Axcelis Technologies, Inc. Integrated power oscillator RF source of plasma immersion ion implantation system
US6303519B1 (en) * 2000-07-20 2001-10-16 United Microelectronics Corp. Method of making low K fluorinated silicon oxide
US6403453B1 (en) * 2000-07-27 2002-06-11 Sharp Laboratories Of America, Inc. Dose control technique for plasma doping in ultra-shallow junction formations
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6893907B2 (en) * 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7320734B2 (en) 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US6494986B1 (en) 2000-08-11 2002-12-17 Applied Materials, Inc. Externally excited multiple torroidal plasma source
US6468388B1 (en) 2000-08-11 2002-10-22 Applied Materials, Inc. Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US6410449B1 (en) * 2000-08-11 2002-06-25 Applied Materials, Inc. Method of processing a workpiece using an externally excited torroidal plasma source
US6551446B1 (en) * 2000-08-11 2003-04-22 Applied Materials Inc. Externally excited torroidal plasma source with a gas distribution plate
US6453842B1 (en) 2000-08-11 2002-09-24 Applied Materials Inc. Externally excited torroidal plasma source using a gas distribution plate
US6348126B1 (en) * 2000-08-11 2002-02-19 Applied Materials, Inc. Externally excited torroidal plasma source
AU2001282327A1 (en) 2000-09-18 2002-04-02 Axcelis Technologies, Inc. System and method for controlling sputtering and deposition effects in a plasma immersion implantation device
CA2320557A1 (en) 2000-09-25 2002-03-25 Michelangelo Delfino Radioactive medical implant and method of manufacturing
US6593173B1 (en) * 2000-11-28 2003-07-15 Ibis Technology Corporation Low defect density, thin-layer, SOI substrates
US6413321B1 (en) 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6387719B1 (en) * 2001-02-28 2002-05-14 Lexmark International, Inc. Method for improving adhesion
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US6780759B2 (en) 2001-05-09 2004-08-24 Silicon Genesis Corporation Method for multi-frequency bonding
US20030013314A1 (en) 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6632728B2 (en) * 2001-07-16 2003-10-14 Agere Systems Inc. Increasing the electrical activation of ion-implanted dopants
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6887341B2 (en) * 2001-11-13 2005-05-03 Tokyo Electron Limited Plasma processing apparatus for spatial control of dissociation and ionization
JP4488662B2 (en) * 2001-12-13 2010-06-23 東京エレクトロン株式会社 Plasma processing equipment, matching box
JP2004047696A (en) * 2002-07-11 2004-02-12 Matsushita Electric Ind Co Ltd Method and apparatus for plasma doping, and matching circuit
FR2846788B1 (en) * 2002-10-30 2005-06-17 PROCESS FOR PRODUCING DETACHABLE SUBSTRATES
US6838695B2 (en) * 2002-11-25 2005-01-04 International Business Machines Corporation CMOS device structure with improved PFET gate electrode
US6747243B1 (en) * 2002-12-24 2004-06-08 Novellus Systems, Inc. Spot cleaning of particles after inspection
US20040126993A1 (en) * 2002-12-30 2004-07-01 Chan Kevin K. Low temperature fusion bonding with high surface energy using a wet chemical treatment
US6811448B1 (en) * 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001011650A1 (en) * 1999-08-06 2001-02-15 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
WO2001086697A2 (en) * 2000-05-11 2001-11-15 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
WO2002015650A2 (en) * 2000-08-11 2002-02-21 Applied Materials, Inc. Externally excited torroidal plasma source

Also Published As

Publication number Publication date
US7700465B2 (en) 2010-04-20
US20040149217A1 (en) 2004-08-05
TW200405769A (en) 2004-04-01
TWI333396B (en) 2010-11-11
US20040112542A1 (en) 2004-06-17
US20050051271A1 (en) 2005-03-10
KR101011580B1 (en) 2011-01-27
US20030226641A1 (en) 2003-12-11
US20040107908A1 (en) 2004-06-10
US20040107909A1 (en) 2004-06-10
US6939434B2 (en) 2005-09-06
KR20040111725A (en) 2004-12-31
US20070119546A1 (en) 2007-05-31
WO2003105182A2 (en) 2003-12-18

Similar Documents

Publication Publication Date Title
WO2003105182A3 (en) Externally excited torroidal plasma source with magnetic control of ion distribution
US4713585A (en) Ion source
KR920004847B1 (en) Sputtering apparatus
WO2003015123A3 (en) Dual frequency plasma etch reactor with independent plasma density/chemistry and ion energy control
WO2004027825A3 (en) Beam plasma source
TW367556B (en) Plasma processing device ad plasma processing method
JPS5751265A (en) Microwave plasma etching device
CN209676566U (en) Microwave antenna, which regulates and controls magnetic, enhances linear plasma source generating device
JPS55141729A (en) Ion-shower device
JPS59194407A (en) Magnet apparatus
JPS63155728A (en) Plasma processor
CN109302791A (en) Microwave antenna, which regulates and controls magnetic, enhances linear plasma source generation system
Voronin et al. High-current ECR ion source
JPS57131373A (en) Plasma etching device
JPS5867870A (en) Magnetically attached magnetron-type apparatus for high-speed plasma etching or reactive ion etching
EP0469631A3 (en) Ion pump and vacuum pumping unit using the same
JPS5553422A (en) Plasma reactor
WO2022143120A1 (en) Ion source device with adjustable plasma density
JPS61183463A (en) Spherical shell-like plasma generator
JPS59121747A (en) Method of ion milling
Decrock et al. Single‐stage electron cyclotron resonance ion source for efficient low‐charge‐state production
JPS644023A (en) Dry etching device
JPS6339253Y2 (en)
Pelletier Distributed ECR: concept, performances and perspectives
Yoshida et al. The use of magnetic fields in a partial-coaxial microwave cavity holey-plate ion source

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020047019828

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 1020047019828

Country of ref document: KR

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP