WO2004017365A3 - Deposition of amorphous silicon-containing films - Google Patents

Deposition of amorphous silicon-containing films Download PDF

Info

Publication number
WO2004017365A3
WO2004017365A3 PCT/US2003/022976 US0322976W WO2004017365A3 WO 2004017365 A3 WO2004017365 A3 WO 2004017365A3 US 0322976 W US0322976 W US 0322976W WO 2004017365 A3 WO2004017365 A3 WO 2004017365A3
Authority
WO
WIPO (PCT)
Prior art keywords
amorphous silicon
deposition
containing films
over
methods
Prior art date
Application number
PCT/US2003/022976
Other languages
French (fr)
Other versions
WO2004017365A2 (en
Inventor
Michael A Todd
Original Assignee
Asm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Inc filed Critical Asm Inc
Priority to JP2004529111A priority Critical patent/JP2005536054A/en
Publication of WO2004017365A2 publication Critical patent/WO2004017365A2/en
Publication of WO2004017365A3 publication Critical patent/WO2004017365A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02378Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Abstract

Chemical vapor deposition (330) methods are used to deposit amorphous silicon-containing films (340) over various substrates (310, 320). Such methods are useful in semiconductor manufacturing to provide a variety of advantages, including uniform deposition over heterogeneous surfaces (320, 310), high deposition rates, and higher manufacturing productivity. Preferably, the deposited amorphous silicon-containing film (340) is annealed to produce crystalline regions (360) over all or part of an underlying substrate (310, 320).
PCT/US2003/022976 2002-08-14 2003-07-24 Deposition of amorphous silicon-containing films WO2004017365A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004529111A JP2005536054A (en) 2002-08-14 2003-07-24 Deposition of amorphous silicon-containing films

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/219,687 2002-08-14
US10/219,687 US7186630B2 (en) 2002-08-14 2002-08-14 Deposition of amorphous silicon-containing films

Publications (2)

Publication Number Publication Date
WO2004017365A2 WO2004017365A2 (en) 2004-02-26
WO2004017365A3 true WO2004017365A3 (en) 2004-04-15

Family

ID=31714781

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/022976 WO2004017365A2 (en) 2002-08-14 2003-07-24 Deposition of amorphous silicon-containing films

Country Status (3)

Country Link
US (2) US7186630B2 (en)
JP (1) JP2005536054A (en)
WO (1) WO2004017365A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9190515B2 (en) 2005-02-04 2015-11-17 Asm America, Inc. Structure comprises an As-deposited doped single crystalline Si-containing film

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
KR101050377B1 (en) * 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 Improved process for deposition of semiconductor films
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
TWI242796B (en) * 2002-09-04 2005-11-01 Canon Kk Substrate and manufacturing method therefor
JP2004103600A (en) * 2002-09-04 2004-04-02 Canon Inc Substrate and its manufacturing method
JP2004103855A (en) * 2002-09-10 2004-04-02 Canon Inc Substrate and its manufacturing method
JP2004103946A (en) * 2002-09-11 2004-04-02 Canon Inc Substrate and its manufacturing method
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
JP4065516B2 (en) * 2002-10-21 2008-03-26 キヤノン株式会社 Information processing apparatus and information processing method
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US8501594B2 (en) * 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7078302B2 (en) * 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7314513B1 (en) 2004-09-24 2008-01-01 Kovio, Inc. Methods of forming a doped semiconductor thin film, doped semiconductor thin film structures, doped silane compositions, and methods of making such compositions
WO2006044268A1 (en) * 2004-10-13 2006-04-27 Dow Global Technologies Inc. Catalysed diesel soot filter and process for its use
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
KR100611108B1 (en) * 2005-01-13 2006-08-09 삼성전자주식회사 Method of manufacturing a thin film layer
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
TW200713455A (en) * 2005-09-20 2007-04-01 Applied Materials Inc Method to form a device on a SOI substrate
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
JP4542492B2 (en) * 2005-10-07 2010-09-15 セイコーエプソン株式会社 Electro-optical device and manufacturing method thereof, electronic apparatus, and semiconductor device
KR20080089403A (en) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 Epitaxial deposition of doped semiconductor materials
FR2895419B1 (en) * 2005-12-27 2008-02-22 Commissariat Energie Atomique PROCESS FOR SIMPLIFIED REALIZATION OF AN EPITAXIC STRUCTURE
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US20070238267A1 (en) * 2006-03-28 2007-10-11 International Business Machines Corporation Epitaxy of Silicon-Carbon Substitutional Solid Solutions by Ultra-Fast Annealing of Amorphous Material
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
FR2900277B1 (en) * 2006-04-19 2008-07-11 St Microelectronics Sa PROCESS FOR FORMING A SILICON-BASED MONOCRYSTALLINE PORTION
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
CN101496153A (en) * 2006-07-31 2009-07-29 应用材料股份有限公司 Methods of forming carbon-containing silicon epitaxial layers
JP5175285B2 (en) * 2006-07-31 2013-04-03 アプライド マテリアルズ インコーポレイテッド Method for controlling morphology during epitaxial layer formation
US20080246101A1 (en) * 2007-04-05 2008-10-09 Applied Materials Inc. Method of poly-silicon grain structure formation
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
JP2009224727A (en) 2008-03-18 2009-10-01 Semiconductor Technology Academic Research Center Semiconductor device and its manufacturing method
US7947552B2 (en) * 2008-04-21 2011-05-24 Infineon Technologies Ag Process for the simultaneous deposition of crystalline and amorphous layers with doping
KR101436564B1 (en) * 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 Forming method of amorphous silicone thin film
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
JP5373718B2 (en) * 2010-08-17 2013-12-18 株式会社半導体理工学研究センター Manufacturing method of semiconductor device
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9128218B2 (en) * 2011-12-29 2015-09-08 Visera Technologies Company Limited Microlens structure and fabrication method thereof
US9064745B2 (en) 2012-08-29 2015-06-23 International Business Machines Corporation Sublithographic width finFET employing solid phase epitaxy
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
WO2015100292A1 (en) 2013-12-26 2015-07-02 Applied Materials, Inc. Photo-assisted deposition of flowable films
CN105609406B (en) * 2014-11-19 2018-09-28 株式会社日立国际电气 The manufacturing method of semiconductor devices, substrate processing device, gas supply system
TWI715645B (en) * 2015-10-22 2021-01-11 美商應用材料股份有限公司 Deposition of conformal and gap-fill amorphous silicon thin-films
WO2017161236A1 (en) 2016-03-17 2017-09-21 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
US9984940B1 (en) * 2017-01-30 2018-05-29 International Business Machines Corporation Selective and conformal passivation layer for 3D high-mobility channel devices
US10460932B2 (en) * 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
US10276379B2 (en) 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
JP7118512B2 (en) 2017-04-07 2022-08-16 アプライド マテリアルズ インコーポレイテッド Gap filling using reactive annealing
KR102509390B1 (en) 2017-07-24 2023-03-14 어플라이드 머티어리얼스, 인코포레이티드 Pretreatment Approach to Improve Continuity of Ultrathin Amorphous Silicon Films on Silicon Oxide
US11572620B2 (en) * 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5654237A (en) * 1990-02-14 1997-08-05 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US6013922A (en) * 1997-05-30 2000-01-11 Sharp Kabushiki Kaisha Semiconductor storage element having a channel region formed of an aggregate of spherical grains and a method of manufacturing the same
US6121081A (en) * 1994-11-15 2000-09-19 Micron Technology, Inc. Method to form hemi-spherical grain (HSG) silicon

Family Cites Families (319)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS49121488U (en) 1973-02-15 1974-10-17
US3900597A (en) 1973-12-19 1975-08-19 Motorola Inc System and process for deposition of polycrystalline silicon with silane in vacuum
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
JPS6047202B2 (en) 1976-01-13 1985-10-21 東北大学金属材料研究所長 Super hard high purity oriented polycrystalline silicon nitride
US4217374A (en) 1978-03-08 1980-08-12 Energy Conversion Devices, Inc. Amorphous semiconductors equivalent to crystalline semiconductors
US4200666A (en) * 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US4223048A (en) 1978-08-07 1980-09-16 Pacific Western Systems Plasma enhanced chemical vapor processing of semiconductive wafers
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4237150A (en) 1979-04-18 1980-12-02 The United States Of America As Represented By The United States Department Of Energy Method of producing hydrogenated amorphous silicon film
US4363828A (en) * 1979-12-12 1982-12-14 International Business Machines Corp. Method for depositing silicon films and related materials by a glow discharge in a disiland or higher order silane gas
US4379020A (en) * 1980-06-16 1983-04-05 Massachusetts Institute Of Technology Polycrystalline semiconductor processing
US4444812A (en) * 1980-07-28 1984-04-24 Monsanto Company Combination gas curtains for continuous chemical vapor deposition production of silicon bodies
JPS57209810A (en) 1981-06-17 1982-12-23 Asahi Chem Ind Co Ltd Preparation of silicon nitride
US4452875A (en) * 1982-02-15 1984-06-05 Canon Kabushiki Kaisha Amorphous photoconductive member with α-Si interlayers
JPH0635323B2 (en) 1982-06-25 1994-05-11 株式会社日立製作所 Surface treatment method
US4737379A (en) * 1982-09-24 1988-04-12 Energy Conversion Devices, Inc. Plasma deposited coatings, and low temperature plasma method of making same
JPS5958819A (en) * 1982-09-29 1984-04-04 Hitachi Ltd Formation of thin film
JPS6015967Y2 (en) 1982-10-22 1985-05-18 トヨタ自動車株式会社 differential limiter
JPS5978919A (en) 1982-10-26 1984-05-08 Mitsui Toatsu Chem Inc Formation of amorphous silicon film
JPS5978918A (en) 1982-10-26 1984-05-08 Mitsui Toatsu Chem Inc Formation of amorphous silicon film with wide band gap
JPS5989407A (en) * 1982-11-15 1984-05-23 Mitsui Toatsu Chem Inc Formation of amorphous silicon film
JPS6043485A (en) 1983-08-19 1985-03-08 Mitsui Toatsu Chem Inc Formation of amorphous silicon film
JPS6043485U (en) 1983-08-27 1985-03-27 日野自動車株式会社 Room pressure release valve
JPS60109982U (en) 1983-12-28 1985-07-25 ぺんてる株式会社 cap type sharp pencil
US4557794A (en) * 1984-05-07 1985-12-10 Rca Corporation Method for forming a void-free monocrystalline epitaxial layer on a mask
US4578142A (en) * 1984-05-10 1986-03-25 Rca Corporation Method for growing monocrystalline silicon through mask layer
US4634605A (en) * 1984-05-23 1987-01-06 Wiesmann Harold J Method for the indirect deposition of amorphous silicon and polycrystalline silicone and alloys thereof
GB8413324D0 (en) 1984-05-24 1984-06-27 Westinghouse Brake & Signal Vehicle protection system
US4592933A (en) * 1984-06-29 1986-06-03 International Business Machines Corporation High efficiency homogeneous chemical vapor deposition
US4707197A (en) 1984-08-02 1987-11-17 American Telephone And Telegraph Company, At&T Bell Laboratories Method of producing a silicide/Si heteroepitaxial structure, and articles produced by the method
JPS6195535U (en) 1984-11-30 1986-06-19
US4631804A (en) 1984-12-10 1986-12-30 At&T Bell Laboratories Technique for reducing substrate warpage springback using a polysilicon subsurface strained layer
JPS61153277A (en) 1984-12-27 1986-07-11 Agency Of Ind Science & Technol Production of thin fine crystal silicon film
JPS61191015A (en) * 1985-02-20 1986-08-25 Hitachi Ltd Semiconductor vapor growth and equipment thereof
US4615762A (en) * 1985-04-30 1986-10-07 Rca Corporation Method for thinning silicon
US4695331A (en) 1985-05-06 1987-09-22 Chronar Corporation Hetero-augmentation of semiconductor materials
JPS61194823U (en) 1985-05-28 1986-12-04
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
JPH0650730B2 (en) 1985-09-30 1994-06-29 三井東圧化学株式会社 Method for manufacturing semiconductor thin film
US4891092A (en) * 1986-01-13 1990-01-02 General Electric Company Method for making a silicon-on-insulator substrate
EG18056A (en) 1986-02-18 1991-11-30 Solarex Corp Dispositif feedstock materials useful in the fabrication of hydrogenated amorphous silicon alloys for photo-voltaic devices and other semiconductor devices
US4704186A (en) * 1986-02-19 1987-11-03 Rca Corporation Recessed oxide method for making a silicon-on-insulator substrate
JPS62253771A (en) 1986-04-28 1987-11-05 Hitachi Ltd Formation of thin film
US4755481A (en) * 1986-05-15 1988-07-05 General Electric Company Method of making a silicon-on-insulator transistor
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JPS633414A (en) 1986-06-24 1988-01-08 Agency Of Ind Science & Technol Manufacture of silicon film
JP2592238B2 (en) 1986-06-24 1997-03-19 セイコー電子工業株式会社 Method for manufacturing thin film transistor
US4684542A (en) * 1986-08-11 1987-08-04 International Business Machines Corporation Low pressure chemical vapor deposition of tungsten silicide
US4720395A (en) * 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
JPS6351680U (en) 1986-09-22 1988-04-07
US5082696A (en) * 1986-10-03 1992-01-21 Dow Corning Corporation Method of forming semiconducting amorphous silicon films from the thermal decomposition of dihalosilanes
KR900007686B1 (en) * 1986-10-08 1990-10-18 후지쓰 가부시끼가이샤 Vapor-phase growth process
JPS63166502A (en) 1986-12-27 1988-07-09 新日本製鐵株式会社 Frame body for molded form
JPS63166502U (en) 1987-04-20 1988-10-31
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4902645A (en) * 1987-08-24 1990-02-20 Fujitsu Limited Method of selectively forming a silicon-containing metal layer
JPH01134932A (en) 1987-11-19 1989-05-26 Oki Electric Ind Co Ltd Cleansing process and clarifier of substrate
JPH076952Y2 (en) 1987-12-22 1995-02-22 株式会社タカラ Folding and unfolding structure of members in toys
JPH0613313Y2 (en) 1987-12-23 1994-04-06 未来工業株式会社 Synthetic resin flooring
JPH01128428U (en) 1988-02-26 1989-09-01
JP2835723B2 (en) 1988-02-26 1998-12-14 富士通株式会社 Capacitor and method of manufacturing capacitor
JPH01268064A (en) 1988-04-20 1989-10-25 Hitachi Ltd Formation of polycrystalline silicon thin film
JPH01296611A (en) * 1988-05-25 1989-11-30 Canon Inc Semiconductor thin film deposition
US4933206A (en) * 1988-08-17 1990-06-12 Intel Corporation UV-vis characteristic writing in silicon nitride and oxynitride films
US5091761A (en) * 1988-08-22 1992-02-25 Hitachi, Ltd. Semiconductor device having an arrangement of IGFETs and capacitors stacked thereover
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JPH02225399A (en) 1988-11-11 1990-09-07 Fujitsu Ltd Method for epitaxial growth and apparatus therefor
JPH02155225A (en) 1988-12-08 1990-06-14 Mitsui Toatsu Chem Inc Method of forming amorphous semiconductor thin-film
DE3909031A1 (en) 1989-03-18 1990-09-27 Pfeiffer Erich Gmbh & Co Kg DISCHARGE DEVICE FOR MEDIA
US4963506A (en) 1989-04-24 1990-10-16 Motorola Inc. Selective deposition of amorphous and polycrystalline silicon
US5194398A (en) * 1989-06-28 1993-03-16 Mitsui Toatsu Chemicals, Inc. Semiconductor film and process for its production
JPH03193880A (en) 1989-08-03 1991-08-23 Mikakutou Seimitsu Kogaku Kenkyusho:Kk Method and device for forming film at high rate by microwave plasma cvd under high pressure
JP2947828B2 (en) 1989-09-04 1999-09-13 株式会社日立製作所 Method for manufacturing semiconductor device
JPH03139824A (en) 1989-10-25 1991-06-14 Agency Of Ind Science & Technol Depositing method for semiconductor device
US5214002A (en) * 1989-10-25 1993-05-25 Agency Of Industrial Science And Technology Process for depositing a thermal CVD film of Si or Ge using a hydrogen post-treatment step and an optional hydrogen pre-treatment step
US5068124A (en) 1989-11-17 1991-11-26 International Business Machines Corporation Method for depositing high quality silicon dioxide by pecvd
JPH0737823Y2 (en) 1989-11-27 1995-08-30 株式会社藤本撚糸製造所 Ink bottle
US5198387A (en) * 1989-12-01 1993-03-30 Texas Instruments Incorporated Method and apparatus for in-situ doping of deposited silicon
JPH03185817A (en) 1989-12-15 1991-08-13 Seiko Epson Corp Method of forming semiconductor film
JPH03187215A (en) 1989-12-15 1991-08-15 Sharp Corp Manufacture of silicon thin film
JPH03205830A (en) 1990-01-06 1991-09-09 Fujitsu Ltd Manufacture of semiconductor device and polycrystalline germanium
US4992299A (en) * 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
JP2917392B2 (en) 1990-04-10 1999-07-12 セイコーエプソン株式会社 Method for manufacturing semiconductor device
US5316844A (en) * 1990-04-16 1994-05-31 Hoya Electronics Corporation Magnetic recording medium comprising an aluminum alloy substrate, now magnetic underlayers, magnetic layer, protective layer, particulate containing protective layer and lubricant layer
US5250452A (en) 1990-04-27 1993-10-05 North Carolina State University Deposition of germanium thin films on silicon dioxide employing interposed polysilicon layer
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
JP3193402B2 (en) 1990-08-31 2001-07-30 株式会社日立製作所 Method for manufacturing semiconductor device
KR100209856B1 (en) 1990-08-31 1999-07-15 가나이 쓰도무 Method of manufacturing semiconductor device
US5080933A (en) * 1990-09-04 1992-01-14 Motorola, Inc. Selective deposition of polycrystalline silicon
KR960016837B1 (en) * 1990-10-29 1996-12-21 Nec Kk Semiconductor memory device and manufacturing method thereof
JP3486421B2 (en) 1990-11-16 2004-01-13 セイコーエプソン株式会社 Method for manufacturing thin film semiconductor device
DE69131570T2 (en) 1990-11-16 2000-02-17 Seiko Epson Corp Method of manufacturing a thin film semiconductor device
JP3510973B2 (en) * 1990-11-16 2004-03-29 セイコーエプソン株式会社 Method for manufacturing thin film semiconductor device
US5849601A (en) 1990-12-25 1998-12-15 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method for manufacturing the same
JPH0485818U (en) 1990-11-27 1992-07-27
US5110757A (en) * 1990-12-19 1992-05-05 North American Philips Corp. Formation of composite monosilicon/polysilicon layer using reduced-temperature two-step silicon deposition
JP3161707B2 (en) 1990-12-20 2001-04-25 株式会社半導体エネルギー研究所 Electro-optical device
US5112773A (en) * 1991-04-10 1992-05-12 Micron Technology, Inc. Methods for texturizing polysilicon utilizing gas phase nucleation
JPH04299515A (en) 1991-03-27 1992-10-22 Shin Etsu Chem Co Ltd X-ray transmission film for x-ray lithography mask and manufacture thereof
JP3200863B2 (en) 1991-04-23 2001-08-20 セイコーエプソン株式会社 Method for manufacturing semiconductor device
JPH04332115A (en) 1991-05-02 1992-11-19 Shin Etsu Chem Co Ltd X-ray transmission film for x-ray lithography mask
DE69224640T2 (en) 1991-05-17 1998-10-01 Lam Res Corp METHOD FOR COATING A SIOx FILM WITH REDUCED INTRINSIC TENSION AND / OR REDUCED HYDROGEN CONTENT
JP2508948B2 (en) * 1991-06-21 1996-06-19 日本電気株式会社 Method for manufacturing semiconductor device
JPH07187892A (en) 1991-06-28 1995-07-25 Internatl Business Mach Corp <Ibm> Silicon and its formation
DE69233359T2 (en) * 1991-07-16 2005-06-02 Seiko Epson Corp. METHOD FOR PRODUCING A SEMICONDUCTOR THIN LAYER WITH A CHEMICAL GAS PHASE COATING SYSTEM
US5695819A (en) * 1991-08-09 1997-12-09 Applied Materials, Inc. Method of enhancing step coverage of polysilicon deposits
US5614257A (en) * 1991-08-09 1997-03-25 Applied Materials, Inc Low temperature, high pressure silicon deposition method
JP3121131B2 (en) * 1991-08-09 2000-12-25 アプライド マテリアルズ インコーポレイテッド Low temperature and high pressure silicon deposition method
JP3181357B2 (en) * 1991-08-19 2001-07-03 株式会社東芝 Method for forming semiconductor thin film and method for manufacturing semiconductor device
JPH0562911A (en) 1991-09-04 1993-03-12 Fujitsu Ltd Manufacture of semiconductor superlattice
JPH0562911U (en) 1991-09-25 1993-08-20 松下電工株式会社 Reflector for lighting equipment
JPH0621494Y2 (en) 1991-09-27 1994-06-08 株式会社ジョリーブ Magnetic material for magnetic therapy device
US5231056A (en) 1992-01-15 1993-07-27 Micron Technology, Inc. Tungsten silicide (WSix) deposition process for semiconductor manufacture
US5352636A (en) * 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5485019A (en) * 1992-02-05 1996-01-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for forming the same
US5324684A (en) 1992-02-25 1994-06-28 Ag Processing Technologies, Inc. Gas phase doping of semiconductor material in a cold-wall radiantly heated reactor under reduced pressure
JP3209789B2 (en) 1992-03-28 2001-09-17 鐘淵化学工業株式会社 Polysilicon thin film deposit and its manufacturing method
JP2881069B2 (en) 1992-03-27 1999-04-12 大同ほくさん株式会社 Manufacturing method of semiconductor device
JP3156878B2 (en) 1992-04-30 2001-04-16 株式会社東芝 Semiconductor device and method of manufacturing the same
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5242847A (en) 1992-07-27 1993-09-07 North Carolina State University At Raleigh Selective deposition of doped silion-germanium alloy on semiconductor substrate
US5461250A (en) * 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
JP2740087B2 (en) 1992-08-15 1998-04-15 株式会社東芝 Method for manufacturing semiconductor integrated circuit device
US6004683A (en) 1992-11-04 1999-12-21 C. A. Patents, L.L.C. Plural layered metal repair tape
JPH06204138A (en) 1992-12-28 1994-07-22 Canon Inc Thin film forming method and thin film forming equipment and semiconductor element
US5563093A (en) * 1993-01-28 1996-10-08 Kawasaki Steel Corporation Method of manufacturing fet semiconductor devices with polysilicon gate having large grain sizes
JPH06302526A (en) 1993-04-16 1994-10-28 Kokusai Electric Co Ltd Formation of amorphous silicon film
JPH06310493A (en) 1993-04-23 1994-11-04 Kawasaki Steel Corp Manufacturing equipment for semiconductor device
JP2508581B2 (en) 1993-05-28 1996-06-19 日本電気株式会社 Chemical vapor deposition
DE4419074C2 (en) * 1993-06-03 1998-07-02 Micron Semiconductor Inc Method for uniformly doping polycrystalline silicon with hemispherical grain
US5648293A (en) * 1993-07-22 1997-07-15 Nec Corporation Method of growing an amorphous silicon film
US5471330A (en) 1993-07-29 1995-11-28 Honeywell Inc. Polysilicon pixel electrode
JP2641385B2 (en) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド Film formation method
JPH07131007A (en) 1993-11-02 1995-05-19 Tadahiro Omi Semiconductor device
US6083810A (en) * 1993-11-15 2000-07-04 Lucent Technologies Integrated circuit fabrication process
US5413813A (en) * 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5656531A (en) * 1993-12-10 1997-08-12 Micron Technology, Inc. Method to form hemi-spherical grain (HSG) silicon from amorphous silicon
JPH07249618A (en) 1994-03-14 1995-09-26 Fujitsu Ltd Manufacture of semiconductor device
US6162667A (en) * 1994-03-28 2000-12-19 Sharp Kabushiki Kaisha Method for fabricating thin film transistors
JP2630257B2 (en) 1994-06-03 1997-07-16 日本電気株式会社 Method for manufacturing semiconductor device
EP1722403B1 (en) * 1994-06-15 2012-07-25 Seiko Epson Corporation Fabrication method for a thin film semiconductor device
JP3240847B2 (en) * 1994-07-26 2001-12-25 株式会社豊田中央研究所 Manufacturing method of SOI structure
JP2707985B2 (en) * 1994-11-11 1998-02-04 日本電気株式会社 Method for manufacturing semiconductor device
JP3331787B2 (en) 1994-11-25 2002-10-07 ソニー株式会社 Manufacturing method of silicon quantum dots
FI97731C (en) * 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
FI100409B (en) 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
JPH08213343A (en) 1995-01-31 1996-08-20 Sony Corp Semiconductor device and manufacture thereof
US5677236A (en) 1995-02-24 1997-10-14 Mitsui Toatsu Chemicals, Inc. Process for forming a thin microcrystalline silicon semiconductor film
JP4067589B2 (en) 1995-02-28 2008-03-26 株式会社半導体エネルギー研究所 Thin film solar cell fabrication method
US5698771A (en) 1995-03-30 1997-12-16 The United States Of America As Represented By The United States National Aeronautics And Space Administration Varying potential silicon carbide gas sensor
JPH08279462A (en) 1995-04-07 1996-10-22 Toshiba Corp Vapor growth method
JPH08306688A (en) 1995-04-28 1996-11-22 Sanyo Electric Co Ltd Manufacture of semiconductor device
JP3169337B2 (en) 1995-05-30 2001-05-21 キヤノン株式会社 Photovoltaic element and method for manufacturing the same
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
KR100300263B1 (en) 1995-08-04 2001-12-17 구사마 사부로 Manufacturing method of thin film transistor, manufacturing method of active matrix substrate and liquid crystal display device
JPH0982651A (en) * 1995-09-14 1997-03-28 Toshiba Corp Manufacture of semiconductor device
US6161498A (en) 1995-09-14 2000-12-19 Tokyo Electron Limited Plasma processing device and a method of plasma process
JP3305929B2 (en) * 1995-09-14 2002-07-24 株式会社東芝 Semiconductor device and manufacturing method thereof
JP3432059B2 (en) 1995-09-25 2003-07-28 キヤノン株式会社 Method of forming photovoltaic element
US5893949A (en) * 1995-12-26 1999-04-13 Xerox Corporation Solid phase epitaxial crystallization of amorphous silicon films on insulating substrates
JPH09191117A (en) 1996-01-09 1997-07-22 Mitsui Toatsu Chem Inc Semiconductor thin film
US5869389A (en) * 1996-01-18 1999-02-09 Micron Technology, Inc. Semiconductor processing method of providing a doped polysilicon layer
JP3109570B2 (en) 1996-01-27 2000-11-20 株式会社半導体エネルギー研究所 Semiconductor device manufacturing method
US5786027A (en) * 1996-02-14 1998-07-28 Micron Technology, Inc. Method for depositing polysilicon with discontinuous grain boundaries
US6599790B1 (en) * 1996-02-15 2003-07-29 Semiconductor Energy Laboratory Co., Ltd Laser-irradiation method and laser-irradiation device
US5789030A (en) * 1996-03-18 1998-08-04 Micron Technology, Inc. Method for depositing doped amorphous or polycrystalline silicon on a substrate
JP4093604B2 (en) 1996-03-25 2008-06-04 純一 半那 Method for forming conductive pattern
JPH09270421A (en) * 1996-04-01 1997-10-14 Mitsubishi Electric Corp Surface treatment apparatus and method
US5863598A (en) * 1996-04-12 1999-01-26 Applied Materials, Inc. Method of forming doped silicon in high aspect ratio openings
JP2795313B2 (en) * 1996-05-08 1998-09-10 日本電気株式会社 Capacitive element and method of manufacturing the same
US5930106A (en) 1996-07-11 1999-07-27 Micron Technology, Inc. DRAM capacitors made from silicon-germanium and electrode-limited conduction dielectric films
JPH1041321A (en) 1996-07-26 1998-02-13 Sony Corp Manufacture of bipolar transistor
US5731238A (en) * 1996-08-05 1998-03-24 Motorola Inc. Integrated circuit having a jet vapor deposition silicon nitride film and method of making the same
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP2954039B2 (en) * 1996-09-05 1999-09-27 日本電気株式会社 Method for forming SiGe thin film
US5763021A (en) 1996-12-13 1998-06-09 Cypress Semiconductor Corporation Method of forming a dielectric film
KR100236069B1 (en) * 1996-12-26 1999-12-15 김영환 Capacitor and manufacturing method thereof
JPH10203895A (en) 1997-01-20 1998-08-04 Sony Corp Production of silicon germanium mixed crystal film
JP3050152B2 (en) * 1997-01-23 2000-06-12 日本電気株式会社 Method for manufacturing semiconductor device
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
DE19718167C1 (en) 1997-04-29 1998-06-18 Siemens Ag MOS transistor with shallow source-drain regions
US5906951A (en) 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
JP3084395B2 (en) 1997-05-15 2000-09-04 工業技術院長 Semiconductor thin film deposition method
US6351039B1 (en) * 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
FR2765394B1 (en) 1997-06-25 1999-09-24 France Telecom PROCESS FOR OBTAINING A SILICON-GERMANIUM GRID TRANSISTOR
JPH1174485A (en) 1997-06-30 1999-03-16 Toshiba Corp Semiconductor device and manufacture thereof
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6100184A (en) 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
JP3529989B2 (en) 1997-09-12 2004-05-24 株式会社東芝 Film forming method and semiconductor device manufacturing method
JPH1197667A (en) 1997-09-24 1999-04-09 Sharp Corp Method of forming ultrafine particle of line and semiconductor element using the formed particle or line
JP3727449B2 (en) 1997-09-30 2005-12-14 シャープ株式会社 Method for producing semiconductor nanocrystal
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US6228181B1 (en) * 1997-10-02 2001-05-08 Shigeo Yamamoto Making epitaxial semiconductor device
KR100268936B1 (en) 1997-12-16 2000-10-16 김영환 A method of forming for quantum dot of semiconductor device
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
US6027705A (en) * 1998-01-08 2000-02-22 Showa Denko K.K. Method for producing a higher silane
KR100652908B1 (en) 1998-01-09 2006-12-01 에이에스엠 아메리카, 인코포레이티드 In situ growth of oxide and silicon layers
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
GB2337158B (en) 1998-02-07 2003-04-02 United Semiconductor Corp Method of fabricating dual voltage mos transistors
US5933761A (en) 1998-02-09 1999-08-03 Lee; Ellis Dual damascene structure and its manufacturing method
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
JP4147608B2 (en) 1998-03-06 2008-09-10 東京エレクトロン株式会社 Heat treatment equipment
JP3854731B2 (en) 1998-03-30 2006-12-06 シャープ株式会社 Microstructure manufacturing method
US5970352A (en) * 1998-04-23 1999-10-19 Kabushiki Kaisha Toshiba Field effect transistor having elevated source and drain regions and methods for manufacturing the same
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
KR100296117B1 (en) 1998-05-27 2001-10-26 윤덕용 Method for forming cobalt disilicide contact hole by chemical vapor deposition process
WO2000003061A1 (en) 1998-07-09 2000-01-20 Applied Materials, Inc. Method and apparatus for forming amorphous and polycrystalline silicon germanium alloy films
JP4364438B2 (en) 1998-07-10 2009-11-18 アプライド マテリアルズ インコーポレイテッド Plasma process for depositing silicon nitride with high film quality and low hydrogen content
US6048790A (en) * 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
US6144050A (en) 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
US6188134B1 (en) * 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
EP1114464A1 (en) 1998-08-20 2001-07-11 THE UNITED STATES OF AMERICA as represented by THE SECRETARY OF THE NAVY Electronic devices with barrier film and process for making same
US6077775A (en) 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
JP3259690B2 (en) 1998-08-26 2002-02-25 日本電気株式会社 Field effect transistor and method for manufacturing the same
US6027975A (en) * 1998-08-28 2000-02-22 Lucent Technologies Inc. Process for fabricating vertical transistors
JP2000077658A (en) 1998-08-28 2000-03-14 Toshiba Corp Manufacture of semiconductor device
JP2002524853A (en) 1998-08-31 2002-08-06 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Method for manufacturing semiconductor device having bipolar transistor
KR100332364B1 (en) 1998-09-01 2002-09-18 지니텍 주식회사 method of forming metal film
US6319782B1 (en) 1998-09-10 2001-11-20 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of fabricating the same
KR20000022003A (en) 1998-09-10 2000-04-25 이경수 Method for forming three-components compound comprising metal and silicon
KR100566905B1 (en) 1998-09-11 2006-07-03 에이에스엠지니텍코리아 주식회사 Chemical Vapor Deposition Using Surface Catalyst_
KR100273474B1 (en) 1998-09-14 2000-12-15 이경수 Gas supply apparatus of chemical vapor deposition apparatus
KR100287180B1 (en) * 1998-09-17 2001-04-16 윤종용 Method for manufacturing semiconductor device including metal interconnection formed using interface control layer
TW382787B (en) * 1998-10-02 2000-02-21 United Microelectronics Corp Method of fabricating dual damascene
US6268068B1 (en) 1998-10-06 2001-07-31 Case Western Reserve University Low stress polysilicon film and method for producing same
KR100280102B1 (en) 1998-10-13 2001-03-02 윤덕용 Method of forming single crystal cobalt disulfide contact using cobalt-carbon alloy thin film
KR100327328B1 (en) * 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
JP2000150647A (en) * 1998-11-11 2000-05-30 Sony Corp Wiring structure and its manufacture
US6107147A (en) 1998-12-18 2000-08-22 Texas Instruments Incorporated Stacked poly/amorphous silicon gate giving low sheet resistance silicide film at submicron linewidths
AU3346000A (en) * 1999-01-15 2000-08-01 Regents Of The University Of California, The Polycrystalline silicon germanium films for forming micro-electromechanical systems
KR100363083B1 (en) * 1999-01-20 2002-11-30 삼성전자 주식회사 Hemispherical grain capacitor and forming method thereof
US6235568B1 (en) * 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
JP3869572B2 (en) 1999-02-10 2007-01-17 シャープ株式会社 Quantum wire manufacturing method
JP4731655B2 (en) 1999-02-12 2011-07-27 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JPH11317530A (en) 1999-02-22 1999-11-16 Semiconductor Energy Lab Co Ltd Semiconductor device
US6153541A (en) 1999-02-23 2000-11-28 Vanguard International Semiconductor Corporation Method for fabricating an oxynitride layer having anti-reflective properties and low leakage current
US6281559B1 (en) 1999-03-03 2001-08-28 Advanced Micro Devices, Inc. Gate stack structure for variable threshold voltage
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (en) 1999-03-16 2000-10-16 이경수 Method for forming aluminum oxide films
US6365465B1 (en) * 1999-03-19 2002-04-02 International Business Machines Corporation Self-aligned double-gate MOSFET by selective epitaxy and silicon wafer bonding techniques
JP3443379B2 (en) 1999-03-23 2003-09-02 松下電器産業株式会社 Method for growing semiconductor film and method for manufacturing semiconductor device
US6207567B1 (en) * 1999-04-12 2001-03-27 United Microelectronics Corp. Fabricating method of glue layer and barrier layer
US6197669B1 (en) * 1999-04-15 2001-03-06 Taiwan Semicondcutor Manufacturing Company Reduction of surface defects on amorphous silicon grown by a low-temperature, high pressure LPCVD process
US6037258A (en) * 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
US6346732B1 (en) * 1999-05-14 2002-02-12 Kabushiki Kaisha Toshiba Semiconductor device with oxide mediated epitaxial layer
JP3886085B2 (en) * 1999-05-14 2007-02-28 株式会社東芝 Manufacturing method of semiconductor epitaxial substrate
JP2000323420A (en) 1999-05-14 2000-11-24 Sony Corp Manufacture for semiconductor device
US6146517A (en) 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
JP2000340684A (en) 1999-05-31 2000-12-08 Sony Corp Manufacture of semiconductor device
KR20010001543A (en) 1999-06-05 2001-01-05 김기범 Method of Fabricating Semiconductor Device Employing Copper Interconnect Structure
JP2001007301A (en) 1999-06-17 2001-01-12 Sony Corp Semiconductor device and manufacture thereof
WO2000079576A1 (en) 1999-06-19 2000-12-28 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
DE60042045D1 (en) 1999-06-22 2009-06-04 Panasonic Corp Heterojunction bipolar transistors and corresponding manufacturing methods
JP2001015736A (en) 1999-06-29 2001-01-19 Sony Corp Method for manufacturing semiconductor device
US6252264B1 (en) * 1999-07-30 2001-06-26 International Business Machines Corporation Integrated circuit chip with features that facilitate a multi-chip module having a number of the chips
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4192353B2 (en) 1999-09-21 2008-12-10 株式会社デンソー Silicon carbide semiconductor device and manufacturing method thereof
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR20010047128A (en) 1999-11-18 2001-06-15 이경수 Method of vaporizing a liquid source and apparatus used therefor
US6373112B1 (en) * 1999-12-02 2002-04-16 Intel Corporation Polysilicon-germanium MOSFET gate electrodes
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
DE60041522D1 (en) 1999-12-15 2009-03-19 Genitech Co Ltd METHOD FOR PRODUCING COPPER INTERCONNECTIONS AND THIN FILMS BY CVD AND A CATALYST
US6235566B1 (en) * 1999-12-23 2001-05-22 United Microelectronics Corp. Two-step silicidation process for fabricating a semiconductor device
US6184128B1 (en) * 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
TW408653U (en) * 2000-02-03 2000-10-11 Hu Hou Fei Ratcheting tool
DE60125338T2 (en) 2000-03-07 2007-07-05 Asm International N.V. GRADED THIN LAYERS
WO2001078123A1 (en) 2000-04-11 2001-10-18 Genitech Co., Ltd. Method of forming metal interconnects
KR100332363B1 (en) 2000-04-12 2002-04-12 최승철 Conditioner and conditioning process for polishing pad of chemical mechanical polishing apparatus
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6342448B1 (en) * 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
JP3687651B2 (en) 2000-06-08 2005-08-24 ジニテック インク. Thin film formation method
US6444512B1 (en) 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
US6252295B1 (en) * 2000-06-19 2001-06-26 International Business Machines Corporation Adhesion of silicon carbide films
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6274463B1 (en) * 2000-07-31 2001-08-14 Hewlett-Packard Company Fabrication of a photoconductive or a cathoconductive device using lateral solid overgrowth method
US6583015B2 (en) 2000-08-07 2003-06-24 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel MOSFET devices
JP4710187B2 (en) * 2000-08-30 2011-06-29 ソニー株式会社 Method for growing polycrystalline silicon layer and method for epitaxial growth of single crystal silicon layer
US6365479B1 (en) * 2000-09-22 2002-04-02 Conexant Systems, Inc. Method for independent control of polycrystalline silicon-germanium in a silicon-germanium HBT and related structure
JP4044276B2 (en) * 2000-09-28 2008-02-06 株式会社東芝 Semiconductor device and manufacturing method thereof
US6372559B1 (en) * 2000-11-09 2002-04-16 International Business Machines Corporation Method for self-aligned vertical double-gate MOSFET
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6444495B1 (en) 2001-01-11 2002-09-03 Honeywell International, Inc. Dielectric films for narrow gap-fill applications
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US7026219B2 (en) * 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
KR101050377B1 (en) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 Improved process for deposition of semiconductor films
US6812179B2 (en) 2001-04-25 2004-11-02 Syntroleum Corporation Process for regenerating a slurry fischer-tropsch catalyst
AU2002305733A1 (en) 2001-05-30 2002-12-09 Asm America, Inc Low temperature load and bake
JP2003068654A (en) * 2001-08-27 2003-03-07 Hoya Corp Production method for compound single crystal
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7005160B2 (en) * 2003-04-24 2006-02-28 Asm America, Inc. Methods for depositing polycrystalline films with engineered grain structures
US7208362B2 (en) 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
TWI270986B (en) * 2003-07-29 2007-01-11 Ind Tech Res Inst Strained SiC MOSFET
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
JP2007535147A (en) 2004-04-23 2007-11-29 エーエスエム アメリカ インコーポレイテッド In situ doped epitaxial film
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7195985B2 (en) 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7438760B2 (en) 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
US8105908B2 (en) 2005-06-23 2012-01-31 Applied Materials, Inc. Methods for forming a transistor and modulating channel stress
JP2007188976A (en) 2006-01-11 2007-07-26 Shinko Electric Ind Co Ltd Method of manufacturing light emitting device
JP2007319735A (en) * 2006-05-30 2007-12-13 Fuji Xerox Co Ltd Microreactor and method for cleaning micro flow path
US20080026149A1 (en) * 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
JP5453858B2 (en) 2009-03-12 2014-03-26 株式会社リコー Image composition device and image composition program
JP5389570B2 (en) 2009-08-25 2014-01-15 株式会社不二工機 Multi-way selector valve

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5654237A (en) * 1990-02-14 1997-08-05 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US6121081A (en) * 1994-11-15 2000-09-19 Micron Technology, Inc. Method to form hemi-spherical grain (HSG) silicon
US6013922A (en) * 1997-05-30 2000-01-11 Sharp Kabushiki Kaisha Semiconductor storage element having a channel region formed of an aggregate of spherical grains and a method of manufacturing the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9190515B2 (en) 2005-02-04 2015-11-17 Asm America, Inc. Structure comprises an As-deposited doped single crystalline Si-containing film

Also Published As

Publication number Publication date
WO2004017365A2 (en) 2004-02-26
US7186630B2 (en) 2007-03-06
US20070117359A1 (en) 2007-05-24
US20040033674A1 (en) 2004-02-19
US8921205B2 (en) 2014-12-30
JP2005536054A (en) 2005-11-24

Similar Documents

Publication Publication Date Title
WO2004017365A3 (en) Deposition of amorphous silicon-containing films
WO2002065517A3 (en) Deposition method over mixed substrates using trisilane
WO2005084231A3 (en) Germanium deposition
WO2004032196A3 (en) Method of fabricating semiconductor by nitrogen doping of silicon film
WO2004053947A3 (en) Titanium silicon nitride (tisin) barrier layer for copper diffusion
WO2004075248A3 (en) Surface-coating method, production of microelectronic interconnections using said method and integrated circuits
WO2005038865A3 (en) Amorphous carbon layer to improve photoresist adhesion
TW200501451A (en) Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
WO2005121397A3 (en) Controlled vapor deposition of multilayered coatings adhered by an oxide layer
WO2003031679A3 (en) Method for depositing metal layers employing sequential deposition techniques
TW200624589A (en) High-throughput HDP-CVD processes for advanced gapfill applications
WO2003015143A1 (en) Group iii nitride semiconductor film and its production method
WO2009085958A3 (en) Passivation layer formation by plasma clean process to reduce native oxide growth
WO2006007077A3 (en) Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
WO2006107532A3 (en) Single wafer thermal cvd processes for hemispherical grained silicon and nano-crystalline grain-sized polysilicon
TW200634976A (en) Method for forming a multiple layer passivation film and a device incorporating the same
CA2577304A1 (en) Atmospheric pressure chemical vapor deposition
EP1296365A3 (en) Method of film formation, insulating film, and substrate for semiconductor
EP0981171A3 (en) Process for fabricating device comprising lead zirconate titanate
KR950009904A (en) Method for producing polycrystalline silicon thin film with large particle size
TW200514145A (en) Method and apparatus for depositing materials with tunable optical properties and etching characteristics
EP1394844A4 (en) Method of fabricating semiconductor device
EP1170397A3 (en) Deposition of amorphous silicon films by high density plasma CVD at low temperatures
KR970018001A (en) Fabrication process of chemical vapor deposition aluminum layer in semiconductor device
DE60211597D1 (en) PECVD of optical quality silica films

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2004529111

Country of ref document: JP

122 Ep: pct application non-entry in european phase