WO2004019391A3 - Semiconductor heterostructures having reduced dislocation pile-ups and related methods - Google Patents

Semiconductor heterostructures having reduced dislocation pile-ups and related methods Download PDF

Info

Publication number
WO2004019391A3
WO2004019391A3 PCT/US2003/026467 US0326467W WO2004019391A3 WO 2004019391 A3 WO2004019391 A3 WO 2004019391A3 US 0326467 W US0326467 W US 0326467W WO 2004019391 A3 WO2004019391 A3 WO 2004019391A3
Authority
WO
WIPO (PCT)
Prior art keywords
layer
ups
semiconductor
related methods
dislocation pile
Prior art date
Application number
PCT/US2003/026467
Other languages
French (fr)
Other versions
WO2004019391A2 (en
Inventor
Christopher Leitz
Christopher Vineis
Richard Westhoff
Vicky Yang
Matthew Currie
Original Assignee
Amberwave Systems Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Amberwave Systems Corp filed Critical Amberwave Systems Corp
Priority to AU2003274922A priority Critical patent/AU2003274922A1/en
Priority to EP03759202.9A priority patent/EP1530800B1/en
Priority to JP2004529920A priority patent/JP5144002B2/en
Publication of WO2004019391A2 publication Critical patent/WO2004019391A2/en
Publication of WO2004019391A3 publication Critical patent/WO2004019391A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B23/00Single-crystal growth by condensing evaporated or sublimed materials
    • C30B23/02Epitaxial-layer growth
    • C30B23/025Epitaxial-layer growth characterised by the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B23/00Single-crystal growth by condensing evaporated or sublimed materials
    • C30B23/02Epitaxial-layer growth
    • C30B23/06Heating of the deposition chamber, the substrate or the materials to be evaporated
    • C30B23/066Heating of the material to be evaporated
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/183Epitaxial-layer growth characterised by the substrate being provided with a buffer layer, e.g. a lattice matching layer
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/52Alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials

Abstract

Dislocation pile-ups in compositionally graded semiconductor layers are reduced or eliminated, thereby leading to increased semiconductor device yield and manufacturability. This is accomplished by introducing a semiconductor layer having a plurality of threading dislocations distributed substantially uniformly across its surface as a starting layer and/or at least one intermediate layer during growth and relaxation of the compositionally graded layer. The semiconductor layer may include a seed layer disposed proximal to the surface of the semiconductor layer and having the threading dislocations uniformly distributed therein.
PCT/US2003/026467 2002-08-23 2003-08-22 Semiconductor heterostructures having reduced dislocation pile-ups and related methods WO2004019391A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
AU2003274922A AU2003274922A1 (en) 2002-08-23 2003-08-22 Semiconductor heterostructures having reduced dislocation pile-ups and related methods
EP03759202.9A EP1530800B1 (en) 2002-08-23 2003-08-22 Semiconductor heterostructures having reduced dislocation pile-ups and related methods
JP2004529920A JP5144002B2 (en) 2002-08-23 2003-08-22 Semiconductor heterostructures with reduced dislocation pileup and related methods

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US40548402P 2002-08-23 2002-08-23
US60/405,484 2002-08-23

Publications (2)

Publication Number Publication Date
WO2004019391A2 WO2004019391A2 (en) 2004-03-04
WO2004019391A3 true WO2004019391A3 (en) 2004-12-02

Family

ID=31946878

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/026467 WO2004019391A2 (en) 2002-08-23 2003-08-22 Semiconductor heterostructures having reduced dislocation pile-ups and related methods

Country Status (5)

Country Link
US (8) US7049627B2 (en)
EP (2) EP2267762A3 (en)
JP (3) JP5144002B2 (en)
AU (1) AU2003274922A1 (en)
WO (1) WO2004019391A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103377897A (en) * 2012-04-23 2013-10-30 中芯国际集成电路制造(上海)有限公司 Forming method of silicon-germanium source / drain structure

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7041170B2 (en) * 1999-09-20 2006-05-09 Amberwave Systems Corporation Method of producing high quality relaxed silicon germanium layers
WO2004012243A2 (en) * 2002-07-29 2004-02-05 Amberwave Systems Selective placement of dislocation arrays
US7049627B2 (en) * 2002-08-23 2006-05-23 Amberwave Systems Corporation Semiconductor heterostructures and related methods
AU2003301603A1 (en) * 2002-10-22 2004-05-13 Amberwave Systems Corporation Gate material for semiconductor device fabrication
KR100605504B1 (en) * 2003-07-30 2006-07-28 삼성전자주식회사 semiconductor device comprising epitaxial layer with low dislocation density and fabricating method of the semiconductor device
ATE412999T1 (en) * 2003-09-05 2008-11-15 Epispeed S A GAAS/GAAS LASERS MADE BY LEPECVD AND MOCVD ON SILICON
US7157379B2 (en) * 2003-09-23 2007-01-02 Intel Corporation Strained semiconductor structures
US6872641B1 (en) * 2003-09-23 2005-03-29 International Business Machines Corporation Strained silicon on relaxed sige film with uniform misfit dislocation density
TWI242237B (en) * 2003-10-16 2005-10-21 Ind Tech Res Inst A manufacturing method and structure for relation strained sige
US20050104092A1 (en) * 2003-11-19 2005-05-19 International Business Machiness Corportion Method of reducing dislocation-induced leakage in a strained-layer field-effect transistor
US20050196925A1 (en) * 2003-12-22 2005-09-08 Kim Sang H. Method of forming stress-relaxed SiGe buffer layer
US6995078B2 (en) * 2004-01-23 2006-02-07 Chartered Semiconductor Manufacturing Ltd. Method of forming a relaxed semiconductor buffer layer on a substrate with a large lattice mismatch
GB2411047B (en) * 2004-02-13 2008-01-02 Iqe Silicon Compounds Ltd Compound semiconductor device and method of producing the same
GB2418531A (en) * 2004-09-22 2006-03-29 Univ Warwick Formation of lattice-tuning semiconductor substrates
GB2420222A (en) * 2004-11-13 2006-05-17 Iqe Silicon Compounds Ltd Enhanced carrier mobility in strained semiconductor layers through smoothing surface treatment
US7682952B2 (en) * 2004-11-30 2010-03-23 Massachusetts Institute Of Technology Method for forming low defect density alloy graded layers and structure containing such layers
US7393733B2 (en) * 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
DE102005000826A1 (en) * 2005-01-05 2006-07-20 Siltronic Ag Semiconductor wafer with silicon-germanium layer and method for its production
US7470972B2 (en) * 2005-03-11 2008-12-30 Intel Corporation Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress
EP1705697A1 (en) * 2005-03-21 2006-09-27 S.O.I. Tec Silicon on Insulator Technologies S.A. Composition graded layer structure and method for forming the same
US20060228872A1 (en) * 2005-03-30 2006-10-12 Bich-Yen Nguyen Method of making a semiconductor device having an arched structure strained semiconductor layer
US7642205B2 (en) * 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
WO2006125040A2 (en) * 2005-05-17 2006-11-23 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US20070267722A1 (en) * 2006-05-17 2007-11-22 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7626246B2 (en) * 2005-07-26 2009-12-01 Amberwave Systems Corporation Solutions for integrated circuit integration of alternative active area materials
US7638842B2 (en) * 2005-09-07 2009-12-29 Amberwave Systems Corporation Lattice-mismatched semiconductor structures on insulators
EP1763069B1 (en) * 2005-09-07 2016-04-13 Soitec Method for forming a semiconductor heterostructure
US7521376B2 (en) * 2005-10-26 2009-04-21 International Business Machines Corporation Method of forming a semiconductor structure using a non-oxygen chalcogen passivation treatment
JP5243256B2 (en) * 2005-11-01 2013-07-24 マサチューセッツ インスティテュート オブ テクノロジー Monolithically integrated semiconductor materials and devices
WO2007067589A2 (en) * 2005-12-05 2007-06-14 Massachusetts Institute Of Technology Insulated gate devices and method of making same
US7700395B2 (en) * 2006-01-11 2010-04-20 Stc.Unm Hybrid integration based on wafer-bonding of devices to AlSb monolithically grown on Si
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US7785995B2 (en) * 2006-05-09 2010-08-31 Asm America, Inc. Semiconductor buffer structures
US8063397B2 (en) * 2006-06-28 2011-11-22 Massachusetts Institute Of Technology Semiconductor light-emitting structure and graded-composition substrate providing yellow-green light emission
US7648853B2 (en) * 2006-07-11 2010-01-19 Asm America, Inc. Dual channel heterostructure
US7608526B2 (en) * 2006-07-24 2009-10-27 Asm America, Inc. Strained layers within semiconductor buffer structures
WO2008030574A1 (en) 2006-09-07 2008-03-13 Amberwave Systems Corporation Defect reduction using aspect ratio trapping
WO2008036256A1 (en) * 2006-09-18 2008-03-27 Amberwave Systems Corporation Aspect ratio trapping for mixed signal applications
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
US7799592B2 (en) 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
US20080187018A1 (en) * 2006-10-19 2008-08-07 Amberwave Systems Corporation Distributed feedback lasers formed via aspect ratio trapping
EP1928020B1 (en) * 2006-11-30 2020-04-22 Soitec Method of manufacturing a semiconductor heterostructure
EP1933384B1 (en) 2006-12-15 2013-02-13 Soitec Semiconductor heterostructure
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
WO2008124154A2 (en) 2007-04-09 2008-10-16 Amberwave Systems Corporation Photovoltaics on silicon
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US20080274626A1 (en) * 2007-05-04 2008-11-06 Frederique Glowacki Method for depositing a high quality silicon dielectric film on a germanium substrate with high quality interface
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
DE112008002387B4 (en) 2007-09-07 2022-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure of a multijunction solar cell, method of forming a photonic device, photovoltaic multijunction cell and photovoltaic multijunction cell device,
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
JP5416212B2 (en) 2008-09-19 2014-02-12 台湾積體電路製造股▲ふん▼有限公司 Device formation by epitaxial layer growth
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US7867891B2 (en) * 2008-12-10 2011-01-11 Intel Corporation Dual metal interconnects for improved gap-fill, reliability, and reduced capacitance
US7902009B2 (en) * 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
US8823141B2 (en) 2009-03-11 2014-09-02 Sumitomo Chemical Company, Limited Semiconductor wafer, method of producing semiconductor wafer, electronic device, and method of producing electronic device
CN102379046B (en) 2009-04-02 2015-06-17 台湾积体电路制造股份有限公司 Devices formed from a non-polar plane of a crystalline material and method of making the same
US20150004366A1 (en) * 2009-08-03 2015-01-01 National Tsing Hua University Substrate with high fracture strength
US20110263098A1 (en) * 2010-04-23 2011-10-27 Applied Materials, Inc. Hybrid deposition chamber for in-situ formation of group iv semiconductors & compounds with group iii-nitrides
JP2012038973A (en) * 2010-08-09 2012-02-23 Siltronic Ag Silicon wafer and method of producing the same
US9076827B2 (en) 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
US8629426B2 (en) * 2010-12-03 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stressor having enhanced carrier mobility manufacturing same
CN102931058B (en) * 2011-08-08 2015-06-03 中芯国际集成电路制造(上海)有限公司 Method for forming semiconductor structure and method for forming P-channel metal oxide semiconductor (PMOS) transistor
CN102931083B (en) * 2011-08-10 2015-07-29 中芯国际集成电路制造(北京)有限公司 Semiconductor device and manufacture method thereof
CN102938377B (en) * 2011-08-15 2015-06-03 中芯国际集成电路制造(上海)有限公司 Semiconductor structure, forming method of semiconductor structure, P-channel metal oxide semiconductor (PMOS) transistor and forming method of P-channel metal oxide semiconductor transistor
CN102956445A (en) * 2011-08-24 2013-03-06 中芯国际集成电路制造(上海)有限公司 Method for growing germanium-silicon epitaxial layers
CN103000499B (en) * 2011-09-14 2015-12-16 中芯国际集成电路制造(上海)有限公司 A kind of germanium silicon boron outer layer growth method
CN103165448B (en) * 2011-12-08 2016-01-06 中芯国际集成电路制造(上海)有限公司 The formation method of PMOS transistor
US9127345B2 (en) 2012-03-06 2015-09-08 Asm America, Inc. Methods for depositing an epitaxial silicon germanium layer having a germanium to silicon ratio greater than 1:1 using silylgermane and a diluent
JP5435116B2 (en) 2012-03-15 2014-03-05 株式会社リコー Powder container, powder replenishing device for replenishing developer from the powder container, and image forming apparatus on which it is mounted
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US9768016B2 (en) * 2013-07-02 2017-09-19 Ultratech, Inc. Formation of heteroepitaxial layers with rapid thermal processing to remove lattice dislocations
US9153717B2 (en) * 2013-08-09 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Backside illuminated photo-sensitive device with gradated buffer layer
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
US9368342B2 (en) * 2014-04-14 2016-06-14 Globalfoundries Inc. Defect-free relaxed covering layer on semiconductor substrate with lattice mismatch
US9263586B2 (en) 2014-06-06 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum well fin-like field effect transistor (QWFinFET) having a two-section combo QW structure
CN105632927B (en) * 2014-10-30 2018-09-07 中芯国际集成电路制造(上海)有限公司 The forming method of PMOS transistor
KR102257423B1 (en) 2015-01-23 2021-05-31 삼성전자주식회사 Semiconductor substrate and semiconductor device including the same
US9437680B1 (en) * 2015-03-31 2016-09-06 International Business Machines Corporation Silicon-on-insulator substrates having selectively formed strained and relaxed device regions
EP3125273A1 (en) * 2015-07-31 2017-02-01 IMEC vzw Strained group iv channels
US9570300B1 (en) 2016-02-08 2017-02-14 International Business Machines Corporation Strain relaxed buffer layers with virtually defect free regions
US9698266B1 (en) * 2016-03-09 2017-07-04 International Business Machines Corporation Semiconductor device strain relaxation buffer layer
CN108573852A (en) * 2017-03-08 2018-09-25 上海新昇半导体科技有限公司 The preparation method of film with atomically flating surface
US20190131454A1 (en) * 2017-11-01 2019-05-02 Qualcomm Incorporated Semiconductor device with strained silicon layers on porous silicon
CN110676162B (en) * 2018-07-03 2022-09-02 合肥晶合集成电路股份有限公司 Method for forming metal silicide layer, semiconductor device and method for forming semiconductor device
US20220254633A1 (en) * 2019-05-16 2022-08-11 Nippon Telegraph And Telephone Corporation Semiconductor Layered Structure
US11515158B2 (en) 2020-03-11 2022-11-29 Globalfoundries U.S. Inc. Semiconductor structure with semiconductor-on-insulator region and method
EP3965141A1 (en) 2020-09-04 2022-03-09 Siltronic AG Method for depositing a silicon germanium layer on a substrate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0353423A2 (en) * 1988-08-03 1990-02-07 International Business Machines Corporation Unstrained defect-free epitaxial mismatched heterostructures and method of fabrication
EP1014431A2 (en) * 1998-12-22 2000-06-28 DaimlerChrysler AG Method of fabricating epitaxial silicon-germanium layers
WO2002013262A2 (en) * 2000-08-07 2002-02-14 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel mosfet devices
WO2003105189A2 (en) * 2002-06-07 2003-12-18 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures

Family Cites Families (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4010045A (en) 1973-12-13 1977-03-01 Ruehrwein Robert A Process for production of III-V compound crystals
DE3542482A1 (en) 1985-11-30 1987-06-04 Licentia Gmbh MODULATION-Doped FIELD EFFECT TRANSISTOR
US5298452A (en) 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US4987462A (en) 1987-01-06 1991-01-22 Texas Instruments Incorporated Power MISFET
JPH0637025B2 (en) 1987-09-14 1994-05-18 スピードファム株式会社 Wafer mirror surface processing equipment
US4900372A (en) 1987-11-13 1990-02-13 Kopin Corporation III-V on Si heterostructure using a thermal strain layer
US5130269A (en) 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
DE3816358A1 (en) 1988-05-13 1989-11-23 Eurosil Electronic Gmbh NON-VOLATILE STORAGE CELL AND METHOD FOR THE PRODUCTION THEREOF
US4963949A (en) * 1988-09-30 1990-10-16 The United States Of America As Represented Of The United States Department Of Energy Substrate structures for InP-based devices
US5250445A (en) 1988-12-20 1993-10-05 Texas Instruments Incorporated Discretionary gettering of semiconductor circuits
US5241197A (en) 1989-01-25 1993-08-31 Hitachi, Ltd. Transistor provided with strained germanium layer
US4997776A (en) 1989-03-06 1991-03-05 International Business Machines Corp. Complementary bipolar transistor structure and method for manufacture
GB8905511D0 (en) 1989-03-10 1989-04-19 British Telecomm Preparing substrates
US5210052A (en) 1989-05-18 1993-05-11 Fujitsu Limited Method for fabricating a semiconductor substrate
US5013681A (en) 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US5202284A (en) 1989-12-01 1993-04-13 Hewlett-Packard Company Selective and non-selective deposition of Si1-x Gex on a Si subsrate that is partially masked with SiO2
EP0445475B1 (en) 1990-02-20 1998-08-26 Kabushiki Kaisha Toshiba Heterojunction bipolar transistor
US5164359A (en) 1990-04-20 1992-11-17 Eaton Corporation Monolithic integrated circuit having compound semiconductor layer epitaxially grown on ceramic substrate
US5316958A (en) 1990-05-31 1994-05-31 International Business Machines Corporation Method of dopant enhancement in an epitaxial silicon layer by using germanium
US5158907A (en) 1990-08-02 1992-10-27 At&T Bell Laboratories Method for making semiconductor devices with low dislocation defects
US5155571A (en) 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
JPH04198095A (en) 1990-11-28 1992-07-17 Fujitsu Ltd Method for growing thin film of compound semiconductor
DE4101167A1 (en) 1991-01-17 1992-07-23 Daimler Benz Ag CMOS FET circuit layout - has common gate and drain electrodes in vertical or lateral configuration
US5434102A (en) 1991-02-25 1995-07-18 Symetrix Corporation Process for fabricating layered superlattice materials and making electronic devices including same
US5091767A (en) 1991-03-18 1992-02-25 At&T Bell Laboratories Article comprising a lattice-mismatched semiconductor heterostructure
US5442205A (en) 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
US5221413A (en) 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
CA2062134C (en) 1991-05-31 1997-03-25 Ibm Low Defect Densiry/Arbitrary Lattice Constant Heteroepitaxial Layers
JPH05121450A (en) * 1991-06-13 1993-05-18 Hitachi Ltd Semiconductor device and its manufacture
JPH07187892A (en) 1991-06-28 1995-07-25 Internatl Business Mach Corp <Ibm> Silicon and its formation
SG67879A1 (en) 1991-08-22 1999-10-19 At & T Corp Removal of substrate perimeter material
US5166084A (en) 1991-09-03 1992-11-24 Motorola, Inc. Process for fabricating a silicon on insulator field effect transistor
US5291439A (en) 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
FR2681472B1 (en) 1991-09-18 1993-10-29 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS OF SEMICONDUCTOR MATERIAL.
US5208182A (en) 1991-11-12 1993-05-04 Kopin Corporation Dislocation density reduction in gallium arsenide on silicon heterostructures
US5207864A (en) 1991-12-30 1993-05-04 Bell Communications Research Low-temperature fusion of dissimilar semiconductors
JP3191972B2 (en) 1992-01-31 2001-07-23 キヤノン株式会社 Method for manufacturing semiconductor substrate and semiconductor substrate
US5467305A (en) 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5426069A (en) 1992-04-09 1995-06-20 Dalsa Inc. Method for making silicon-germanium devices using germanium implantation
US5212110A (en) 1992-05-26 1993-05-18 Motorola, Inc. Method for forming isolation regions in a semiconductor device
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US5386132A (en) 1992-11-02 1995-01-31 Wong; Chun C. D. Multimedia storage system with highly compact memory device
US5418743A (en) 1992-12-07 1995-05-23 Nippon Steel Corporation Method of writing into non-volatile semiconductor memory
US5426316A (en) 1992-12-21 1995-06-20 International Business Machines Corporation Triple heterojunction bipolar transistor
US5523592A (en) 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
JP3093904B2 (en) 1993-02-16 2000-10-03 富士通株式会社 Method for growing compound semiconductor crystal
US5381434A (en) * 1993-03-30 1995-01-10 Bell Communications Research, Inc. High-temperature, uncooled diode laser
US5387796A (en) * 1993-05-26 1995-02-07 Epitaxx, Inc. Low leakage current photodetector arrays
US5308444A (en) 1993-05-28 1994-05-03 At&T Bell Laboratories Method of making semiconductor heterostructures of gallium arsenide on germanium
US5346848A (en) 1993-06-01 1994-09-13 Motorola, Inc. Method of bonding silicon and III-V semiconductor materials
US5413679A (en) 1993-06-30 1995-05-09 The United States Of America As Represented By The Secretary Of The Navy Method of producing a silicon membrane using a silicon alloy etch stop layer
US5310451A (en) 1993-08-19 1994-05-10 International Business Machines Corporation Method of forming an ultra-uniform silicon-on-insulator layer
US5792679A (en) 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
JPH0794420A (en) 1993-09-20 1995-04-07 Fujitsu Ltd Manufacture of compound semiconductor crystal substrate
US5461243A (en) 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
JP2980497B2 (en) 1993-11-15 1999-11-22 株式会社東芝 Method of manufacturing dielectric-isolated bipolar transistor
KR0123434B1 (en) 1994-02-07 1997-11-26 천성순 Ring pattern formation method to reduce misfit dislocation in silicon wafer
JP2669368B2 (en) 1994-03-16 1997-10-27 日本電気株式会社 Method for manufacturing compound semiconductor laminated structure on Si substrate
US5534713A (en) 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
JP3116731B2 (en) 1994-07-25 2000-12-11 株式会社日立製作所 Lattice-mismatched stacked crystal structure and semiconductor device using the same
US6218677B1 (en) * 1994-08-15 2001-04-17 Texas Instruments Incorporated III-V nitride resonant tunneling
JP3361922B2 (en) 1994-09-13 2003-01-07 株式会社東芝 Semiconductor device
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
WO1996015550A1 (en) 1994-11-10 1996-05-23 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions and processes thereof
US5539214A (en) 1995-02-06 1996-07-23 Regents Of The University Of California Quantum bridges fabricated by selective etching of superlattice structures
US5777347A (en) 1995-03-07 1998-07-07 Hewlett-Packard Company Vertical CMOS digital multi-valued restoring logic device
US5920088A (en) * 1995-06-16 1999-07-06 Interuniversitair Micro-Electronica Centrum (Imec Vzw) Vertical MISFET devices
US6010937A (en) 1995-09-05 2000-01-04 Spire Corporation Reduction of dislocations in a heteroepitaxial semiconductor structure
JP3403877B2 (en) 1995-10-25 2003-05-06 三菱電機株式会社 Semiconductor memory device and manufacturing method thereof
KR100473901B1 (en) * 1995-12-15 2005-08-29 코닌클리케 필립스 일렉트로닉스 엔.브이. Semiconductor Field Effect Device Including SiGe Layer
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5943560A (en) 1996-04-19 1999-08-24 National Science Council Method to fabricate the thin film transistor
US6039803A (en) 1996-06-28 2000-03-21 Massachusetts Institute Of Technology Utilization of miscut substrates to improve relaxed graded silicon-germanium and germanium layers on silicon
JP3217015B2 (en) 1996-07-18 2001-10-09 インターナショナル・ビジネス・マシーンズ・コーポレーション Method for forming field effect transistor
JPH1041400A (en) 1996-07-26 1998-02-13 Sony Corp Semiconductor device and manufacture thereof
US6191432B1 (en) * 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
TW335558B (en) 1996-09-03 1998-07-01 Ibm High temperature superconductivity in strained SiSiGe
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US5847419A (en) 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
DE59707274D1 (en) 1996-09-27 2002-06-20 Infineon Technologies Ag Integrated CMOS circuit arrangement and method for its production
US5859864A (en) 1996-10-28 1999-01-12 Picolight Incorporated Extended wavelength lasers having a restricted growth surface and graded lattice mismatch
US6140687A (en) 1996-11-28 2000-10-31 Matsushita Electric Industrial Co., Ltd. High frequency ring gate MOSFET
US5808344A (en) 1996-12-13 1998-09-15 International Business Machines Corporation Single-transistor logic and CMOS inverters
KR100212693B1 (en) 1996-12-14 1999-08-02 권혁준 Si/sige mos fet transistor and its fabrication process
US5714777A (en) 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
EP0867701A1 (en) * 1997-03-28 1998-09-30 Interuniversitair Microelektronica Centrum Vzw Method of fabrication of an infrared radiation detector and more particularly an infrared sensitive bolometer
US5891769A (en) 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US5786614A (en) 1997-04-08 1998-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Separated floating gate for EEPROM application
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US5906951A (en) 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
DE19720008A1 (en) 1997-05-13 1998-11-19 Siemens Ag Integrated CMOS circuit arrangement and method for its production
US5877070A (en) 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US6107653A (en) 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US5936274A (en) 1997-07-08 1999-08-10 Micron Technology, Inc. High density flash memory
US6160303A (en) 1997-08-29 2000-12-12 Texas Instruments Incorporated Monolithic inductor with guard rings
US6033995A (en) 1997-09-16 2000-03-07 Trw Inc. Inverted layer epitaxial liftoff process
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US6232138B1 (en) * 1997-12-01 2001-05-15 Massachusetts Institute Of Technology Relaxed InxGa(1-x)as buffers
US6154475A (en) 1997-12-04 2000-11-28 The United States Of America As Represented By The Secretary Of The Air Force Silicon-based strain-symmetrized GE-SI quantum lasers
JP3447939B2 (en) 1997-12-10 2003-09-16 株式会社東芝 Nonvolatile semiconductor memory and data reading method
US6218681B1 (en) * 1997-12-24 2001-04-17 Mitsubishi Chemical Corporation Gallium arsenide phosphide epitaxial wafer and light emitting diode
FR2773177B1 (en) 1997-12-29 2000-03-17 France Telecom PROCESS FOR OBTAINING A SINGLE-CRYSTAL GERMANIUM OR SILICON LAYER ON A SILICON OR SINGLE-CRYSTAL GERMANIUM SUBSTRATE, RESPECTIVELY, AND MULTILAYER PRODUCTS OBTAINED
FR2774511B1 (en) * 1998-01-30 2002-10-11 Commissariat Energie Atomique SUBSTRATE COMPLIANT IN PARTICULAR FOR A DEPOSIT BY HETERO-EPITAXY
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US6153495A (en) 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
CA2327421A1 (en) * 1998-04-10 1999-10-21 Jeffrey T. Borenstein Silicon-germanium etch stop layer system
JP4258034B2 (en) * 1998-05-27 2009-04-30 ソニー株式会社 Semiconductor device and manufacturing method of semiconductor device
US6372356B1 (en) * 1998-06-04 2002-04-16 Xerox Corporation Compliant substrates for growing lattice mismatched films
US6291326B1 (en) * 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
JP3403076B2 (en) * 1998-06-30 2003-05-06 株式会社東芝 Semiconductor device and manufacturing method thereof
JP2000031491A (en) 1998-07-14 2000-01-28 Hitachi Ltd Semiconductor device, its manufacture, semiconductor substrate and its manufacture
US6335546B1 (en) * 1998-07-31 2002-01-01 Sharp Kabushiki Kaisha Nitride semiconductor structure, method for producing a nitride semiconductor structure, and light emitting device
US6368733B1 (en) * 1998-08-06 2002-04-09 Showa Denko K.K. ELO semiconductor substrate
JP2000124325A (en) 1998-10-16 2000-04-28 Nec Corp Semiconductor device and manufacture thereof
US6329063B2 (en) * 1998-12-11 2001-12-11 Nova Crystals, Inc. Method for producing high quality heteroepitaxial growth using stress engineering and innovative substrates
JP2000186000A (en) * 1998-12-22 2000-07-04 Speedfam-Ipec Co Ltd Working of silicon wafer and apparatus therefor
US6130453A (en) 1999-01-04 2000-10-10 International Business Machines Corporation Flash memory structure with floating gate in vertical trench
US6162688A (en) 1999-01-14 2000-12-19 Advanced Micro Devices, Inc. Method of fabricating a transistor with a dielectric underlayer and device incorporating same
DE60042666D1 (en) 1999-01-14 2009-09-17 Panasonic Corp Semiconductor component and method for its production
JP4511739B2 (en) * 1999-01-15 2010-07-28 ザ リージェンツ オブ ザ ユニヴァーシティ オブ カリフォルニア Polycrystalline silicon germanium films for forming microelectromechanical systems
US6074919A (en) 1999-01-20 2000-06-13 Advanced Micro Devices, Inc. Method of forming an ultrathin gate dielectric
US6133799A (en) 1999-02-25 2000-10-17 International Business Machines Corporation Voltage controlled oscillator utilizing threshold voltage control of silicon on insulator MOSFETS
KR100441469B1 (en) * 1999-03-12 2004-07-23 인터내셔널 비지네스 머신즈 코포레이션 High speed ge channel heterostructures for field effect devices
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
JP4521542B2 (en) 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 Semiconductor device and semiconductor substrate
US6103559A (en) 1999-03-30 2000-08-15 Amd, Inc. (Advanced Micro Devices) Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication
US6251755B1 (en) * 1999-04-22 2001-06-26 International Business Machines Corporation High resolution dopant/impurity incorporation in semiconductors via a scanned atomic force probe
US6151248A (en) * 1999-06-30 2000-11-21 Sandisk Corporation Dual floating gate EEPROM cell array with steering gates shared by adjacent cells
US6323108B1 (en) * 1999-07-27 2001-11-27 The United States Of America As Represented By The Secretary Of The Navy Fabrication ultra-thin bonded semiconductor layers
US6242324B1 (en) * 1999-08-10 2001-06-05 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating singe crystal materials over CMOS devices
US6204529B1 (en) * 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6235567B1 (en) * 1999-08-31 2001-05-22 International Business Machines Corporation Silicon-germanium bicmos on soi
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
EP1214735A1 (en) 1999-09-20 2002-06-19 Amberwave Systems Corporation Method of producing relaxed silicon germanium layers
US7041170B2 (en) * 1999-09-20 2006-05-09 Amberwave Systems Corporation Method of producing high quality relaxed silicon germanium layers
US6249022B1 (en) * 1999-10-22 2001-06-19 United Microelectronics Corp. Trench flash memory with nitride spacers for electron trapping
US6271726B1 (en) * 2000-01-10 2001-08-07 Conexant Systems, Inc. Wideband, variable gain amplifier
US6503773B2 (en) * 2000-01-20 2003-01-07 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
US6602613B1 (en) * 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
DE10004578C1 (en) 2000-02-03 2001-07-26 Wacker Siltronic Halbleitermat Production of a semiconductor wafer comprises polishing the edges of the wafer with a cloth with the continuous introduction of an alkaline polishing agent using polishing plates, wetting with a film and cleaning and drying
US6261929B1 (en) * 2000-02-24 2001-07-17 North Carolina State University Methods of forming a plurality of semiconductor layers using spaced trench arrays
US6316301B1 (en) * 2000-03-08 2001-11-13 Sun Microsystems, Inc. Method for sizing PMOS pull-up devices
JP3603747B2 (en) 2000-05-11 2004-12-22 三菱住友シリコン株式会社 Method for forming SiGe film, method for manufacturing heterojunction transistor, and heterojunction bipolar transistor
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US7503975B2 (en) * 2000-06-27 2009-03-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and fabrication method therefor
US6429061B1 (en) * 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
JP4269541B2 (en) * 2000-08-01 2009-05-27 株式会社Sumco Semiconductor substrate, field effect transistor, method of forming SiGe layer, method of forming strained Si layer using the same, and method of manufacturing field effect transistor
DE60124766T2 (en) * 2000-08-04 2007-10-11 Amberwave Systems Corp. SILICON WAIST WITH MONOLITHIC OPTOELECTRONIC COMPONENTS
US6482749B1 (en) * 2000-08-10 2002-11-19 Seh America, Inc. Method for etching a wafer edge using a potassium-based chemical oxidizer in the presence of hydrofluoric acid
US6573126B2 (en) * 2000-08-16 2003-06-03 Massachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US6420937B1 (en) * 2000-08-29 2002-07-16 Matsushita Electric Industrial Co., Ltd. Voltage controlled oscillator with power amplifier
JP2002076334A (en) 2000-08-30 2002-03-15 Hitachi Ltd Semiconductor device and manufacturing method therefor
US6475072B1 (en) * 2000-09-29 2002-11-05 International Business Machines Corporation Method of wafer smoothing for bonding using chemo-mechanical polishing (CMP)
JP2002164520A (en) 2000-11-27 2002-06-07 Shin Etsu Handotai Co Ltd Method for manufacturing semiconductor wafer
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US20020100942A1 (en) 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
EP1399970A2 (en) 2000-12-04 2004-03-24 Amberwave Systems Corporation Cmos inverter circuits utilizing strained silicon surface channel mosfets
US6774010B2 (en) 2001-01-25 2004-08-10 International Business Machines Corporation Transferable device-containing layer for silicon-on-insulator applications
US6594293B1 (en) * 2001-02-08 2003-07-15 Amberwave Systems Corporation Relaxed InxGa1-xAs layers integrated with Si
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6900103B2 (en) 2001-03-02 2005-05-31 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
JP2002289533A (en) 2001-03-26 2002-10-04 Kentaro Sawano Method for polishing surface of semiconductor, method for fabricating semiconductor device and semiconductor device
US6603156B2 (en) * 2001-03-31 2003-08-05 International Business Machines Corporation Strained silicon on insulator structures
US6940089B2 (en) * 2001-04-04 2005-09-06 Massachusetts Institute Of Technology Semiconductor device structure
JP2002356399A (en) 2001-05-31 2002-12-13 Mitsubishi Materials Silicon Corp Semiconductor substrate, field effect transistor, method for forming silicon-germanium layer, method for forming strained silicon layer using the method and method for manufacturing field effect transistor
US6593625B2 (en) 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6900094B2 (en) * 2001-06-14 2005-05-31 Amberwave Systems Corporation Method of selective removal of SiGe alloys
US6717213B2 (en) * 2001-06-29 2004-04-06 Intel Corporation Creation of high mobility channels in thin-body SOI devices
JP4039013B2 (en) * 2001-07-06 2008-01-30 株式会社Sumco Semiconductor substrate, field effect transistor, method of forming SiGe layer, method of forming strained Si layer using the same, and method of manufacturing field effect transistor
JP4296727B2 (en) * 2001-07-06 2009-07-15 株式会社Sumco Semiconductor substrate, field effect transistor, method of forming SiGe layer, method of forming strained Si layer using the same, and method of manufacturing field effect transistor
JP3985519B2 (en) * 2001-12-27 2007-10-03 株式会社Sumco Semiconductor substrate, field effect transistor, and manufacturing method thereof
JP4345249B2 (en) * 2001-08-06 2009-10-14 株式会社Sumco Semiconductor substrate, field effect transistor, and manufacturing method thereof
US6730551B2 (en) * 2001-08-06 2004-05-04 Massachusetts Institute Of Technology Formation of planar strained layers
US6974735B2 (en) * 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
DE10143741A1 (en) * 2001-09-06 2003-03-27 Wacker Siltronic Halbleitermat Coated silicon wafer and process for its manufacture
WO2003028106A2 (en) * 2001-09-24 2003-04-03 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
JP4277467B2 (en) * 2001-10-29 2009-06-10 株式会社Sumco Semiconductor substrate, field effect transistor, and manufacturing method thereof
US6576532B1 (en) * 2001-11-30 2003-06-10 Motorola Inc. Semiconductor device and method therefor
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
US7049627B2 (en) * 2002-08-23 2006-05-23 Amberwave Systems Corporation Semiconductor heterostructures and related methods
JP4307974B2 (en) 2003-12-12 2009-08-05 日本碍子株式会社 Filter pressure loss measuring device
US7282425B2 (en) * 2005-01-31 2007-10-16 International Business Machines Corporation Structure and method of integrating compound and elemental semiconductors for high-performance CMOS

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0353423A2 (en) * 1988-08-03 1990-02-07 International Business Machines Corporation Unstrained defect-free epitaxial mismatched heterostructures and method of fabrication
EP1014431A2 (en) * 1998-12-22 2000-06-28 DaimlerChrysler AG Method of fabricating epitaxial silicon-germanium layers
WO2002013262A2 (en) * 2000-08-07 2002-02-14 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel mosfet devices
WO2003105189A2 (en) * 2002-06-07 2003-12-18 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
A.F. VYATKIN ET AL.: "Study of strain relaxation in epitaxial structure Ge0.2Si0.8/Si at thermo-implantation treatment by ion beam channeling", MATERIALS RESEARCH SOCIETY SYMPOSIUM PROCEEDINGS, vol. 585, 29 November 1999 (1999-11-29) - 2 December 1999 (1999-12-02), WARRENDALE, PA,USA, 2000, pages 183 - 189, XP009033141 *
LUO Y H ET AL: "Compliant effect of low temperature si buffer for SiGe growth", APPLIED PHYSICS LETTERS, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 78, no. 4, 22 January 2001 (2001-01-22), pages 454 - 456, XP002239194, ISSN: 0003-6951 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103377897A (en) * 2012-04-23 2013-10-30 中芯国际集成电路制造(上海)有限公司 Forming method of silicon-germanium source / drain structure
CN103377897B (en) * 2012-04-23 2016-03-02 中芯国际集成电路制造(上海)有限公司 A kind of formation method of silicon germanium source/drain structure

Also Published As

Publication number Publication date
US20110012172A1 (en) 2011-01-20
JP2011018946A (en) 2011-01-27
EP2267762A3 (en) 2012-08-22
US20120104461A1 (en) 2012-05-03
JP2014075585A (en) 2014-04-24
US9934964B2 (en) 2018-04-03
US7049627B2 (en) 2006-05-23
US20060009012A1 (en) 2006-01-12
US20040087117A1 (en) 2004-05-06
AU2003274922A1 (en) 2004-03-11
EP1530800B1 (en) 2016-12-14
JP2005536876A (en) 2005-12-02
US20140338589A1 (en) 2014-11-20
EP1530800A2 (en) 2005-05-18
US20160225609A1 (en) 2016-08-04
EP2267762A2 (en) 2010-12-29
US7375385B2 (en) 2008-05-20
US8129747B2 (en) 2012-03-06
US7368308B2 (en) 2008-05-06
JP5639248B2 (en) 2014-12-10
US20080079024A1 (en) 2008-04-03
US8823056B2 (en) 2014-09-02
US7829442B2 (en) 2010-11-09
JP5144002B2 (en) 2013-02-13
WO2004019391A2 (en) 2004-03-04
JP5491351B2 (en) 2014-05-14
US20040075105A1 (en) 2004-04-22
US9309607B2 (en) 2016-04-12

Similar Documents

Publication Publication Date Title
WO2004019391A3 (en) Semiconductor heterostructures having reduced dislocation pile-ups and related methods
TW200510252A (en) Semiconductor layer
SE0202992L (en) Single crystal of SiC, method of producing a single crystal of SiC, SiC disc with epitaxial layer, method of producing SiC disc with epitaxial layer and electronic device based on SiC
EP1296362A3 (en) Single crystal GaN substrate, method of growing same and method of producing same
TW200644299A (en) Group III-V nitride semiconductor laminated substrate, method of producing the same and semiconductor device
ATE524577T1 (en) METHOD FOR PRODUCING AN EPITACTICALLY GROWN LAYER
EP1598452A4 (en) Silicon wafer, process for producing the same and method of growing silicon single crystal
ATE442667T1 (en) TRANSFER OF A THIN LAYER FROM A WAFER WITH A BUFFER LAYER
JP2005536876A5 (en)
EP2418306A3 (en) Method for forming a single crystal
TW200701340A (en) Gan film generating method, semiconductor element, thin film generating method of group iii nitride, and semiconductor element having thin film of group iii nitride
US11678648B2 (en) Coral nursery and planting system based on a ring or washer mount
ATE490549T1 (en) PRODUCTION OF GRID MATCHING SEMICONDUCTOR SUBSTRATES
EP1560259A3 (en) Nitride semiconductor thin film and method for growing the same
EP1361298A4 (en) Semiconductor crystal growing method and semiconductor light-emitting device
TW200631079A (en) Growth process of a crystalline gallium nitride based compound and semiconductor device including gallium nitride based compound
TW200623239A (en) Method of growing a strained layer
WO2006028868A3 (en) Method and apparatus for growth of multi-component single crystals
Nordestgaard Trials on time of nitrogen application in the spring to various grasses grown for seed production
EP1502972A4 (en) Silicon single crystal wafer and epitaxial wafer, and method for producing silicon single crystal
TW200511566A (en) Image sensor production method and image sensor
ATE458269T1 (en) METHOD FOR PRODUCING ONE OR MORE SINGLE CRYSTALLINE LAYERS, EACH WITH A DIFFERENT GRID STRUCTURE IN A PLANE OF A LAYER SEQUENCE
WO2003068699A8 (en) Group iii nitride semiconductor crystal, production method thereof and group iii nitride semiconductor epitaxial wafer
WO2005067581A3 (en) Functional substrates for growth of culinary and medicinal mushrooms
EP1445355A3 (en) Crystal growth method of nitride semiconductor

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2004529920

Country of ref document: JP

REEP Request for entry into the european phase

Ref document number: 2003759202

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2003759202

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2003759202

Country of ref document: EP