WO2004038783A2 - Pecvd of organosilicate thin films - Google Patents

Pecvd of organosilicate thin films Download PDF

Info

Publication number
WO2004038783A2
WO2004038783A2 PCT/US2003/033474 US0333474W WO2004038783A2 WO 2004038783 A2 WO2004038783 A2 WO 2004038783A2 US 0333474 W US0333474 W US 0333474W WO 2004038783 A2 WO2004038783 A2 WO 2004038783A2
Authority
WO
WIPO (PCT)
Prior art keywords
film
plasma
oxidant
films
seem
Prior art date
Application number
PCT/US2003/033474
Other languages
French (fr)
Other versions
WO2004038783A3 (en
Inventor
Karen K. Gleason
Daniel D. Burkey
Original Assignee
Massachusetts Institute Of Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Massachusetts Institute Of Technology filed Critical Massachusetts Institute Of Technology
Priority to AU2003282988A priority Critical patent/AU2003282988A1/en
Publication of WO2004038783A2 publication Critical patent/WO2004038783A2/en
Publication of WO2004038783A3 publication Critical patent/WO2004038783A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Definitions

  • the technical field of the invention is chemical vapor deposition of materials and, in particular, the formation of thin, low dielectric constant, organosilicate films for use in electronic devices and other applications.
  • Dielectric materials which are often included in production schemes with mechanically rigorous integration steps, such as chemical-mechanical polishing (CMP), should have excellent thermal stability and mechanical properties without compromising their insulating barrier properties.
  • CMP chemical-mechanical polishing
  • Current semiconductor processes rely heavily on the use of silicon dioxide (Si0 2 ) as the interlevel dielectric material, which has a dielectric constant of approximately 4.0. Few materials are currently known that have both low dielectric constants and are compatible with semiconductor manufacturing requirements, such as thermal stability of at least 400°C.
  • Polytetrafluoroethylene (PTFE) for example, has a low dielectric constant, but is not thermally stable above 300-350°C, which restricts its use in integrated circuits. The industry has only recently begun explore alternative dielectrics.
  • Organosilicate glass (OSG) materials have been used in the production of low dielectric constant materials as an alternative to silicon dioxide.
  • OSG materials are based on the structure of silicon dioxide, with the exception that a certain fraction of the silicon-oxygen bonds have been replaced with silicon-organic bonds, often in the form of alkyl or aryl substituents, such as methyl or phenyl groups. This substitution is generally believed to disrupt the structure of the SiO 2 matrix and lead to a 'loosening' of the lattice, resulting in an increase in free volume within the structure. The decreased density and increased free space tend to lower the dielectric constant. Additionally, the organic groups generally have a lower polarizability than the structures they replace.
  • OSG materials can have k-values ranging between 2.6 and 3.5, which is a significant improvement over traditional silicon dioxide.
  • OSG materials have shown good thermal stability up to 400 °C, which is a crucial integration requirement in semiconductor processing.
  • OSG thin films can be at least one order of magnitude lower than those for SiO 2 , which historically has been the material of choice for dielectric layers.
  • Dense oxides such as SiO typically have hardness values around 10 GPa and elastic moduli of approximately 70 GPa.
  • OSG materials typically have hardness values and elastic moduli that are about an order of magnitude lower than SiO 2 .
  • This disparity in mechanical properties between conventional SiO 2 and OSG materials has been a concern from the standpoint of integration of OSG materials into a commercially viable device production methodology.
  • most current schemes for creating porous OSG insulating films require the use of spin-on materials.
  • Spin-on deposition involves delivery of an organosilicate material to a substrate in a liquid solution.
  • the substrate e.g., a wafer
  • the substrate is coated with the solution and rapidly spins to uniformly distribute the material on the surface.
  • a low temperature bake completes the solidification.
  • Very low dielectric films can be obtained with OSG by modifying the spin-on process to employ biphasic materials consisting of a bulk matrix phase (e.g., an organosilicon material), which provides structural rigidity to the film, and a porogen, or pore forming material.
  • a bulk matrix phase e.g., an organosilicon material
  • the porogen is generally thermally degradable and removed after spin casting via an annealing step.
  • the mechanical properties of such ultra-porous OSG films are often unsatisfactory.
  • organosilicate glass (OSG) films that have both a low dielectric constant and superior mechanical strength are disclosed.
  • Cyclic siloxane OSG precursors such as l,3,5-trivinyl-l,3,5-trimethylcyclotrisiloxane (V 3 D 3 ) are used in conjunction with a mild oxidant to partially oxidize the cyclic structures leading to the formation of silanol groups.
  • the silanol groups can be subsequently condensed to form a porous OSG film.
  • a method for the pulsed-plasma chemical vapor deposition of cyclic siloxane precursors and a mild oxidant followed by a subsequent condensing step to produce porous OSG films with improved mechanical properties.
  • a mild oxidant is used in the reaction mixture, leading to the formation of silanol (Si-OH) groups that can be condensed to form a rigid matrix in a post-processing step.
  • the mild oxidant can be an oxidant, or oxidizing species, that avoids the formation of a pure oxide species, such as Si0 2 . In other words, the mild oxidant does not result in the complete and total oxidation of the organosilicon monomer species to silicon dioxide, which is not intended to be produced.
  • mild oxidants examples include water, primary alcohols, peroxides, N O, or other suitable oxidizing species. In a preferred embodiment, water is used as the mild oxidant.
  • subsequent condensing, i.e., annealing, of the films results in condensation of proximal Si-OH groups, further generating an Si-O-Si network and strengthening the film.
  • cyclic alkyl substituted siloxane precursors can be used.
  • Non-limiting examples of cyclic alkyl substituted siloxane precursors include 1,3,5- trivinyl-l,3,5-trimethylcyclotrisiloxane (V 3 D 3 ), 1,3,5,7-tetramethylcyclotrisiloxane (H 4 D 4 ), 1,1,3, 3,5, 5-hexamethylcyclotrisiloxane, 1,3, 5-triethyl-l, 3,5- trimethylcyclotrisiloxane, and vinylalkylsiloxanes (Chemical structures are shown in Scheme 1.)
  • V 3 D 3 1,3,5-trimethylcyclotrisiloxane
  • H 4 D 4 1,1,3, 3,5, 5-hexamethylcyclotrisiloxane
  • 1,3, 5-triethyl-l 3,5- trimethylcyclotrisiloxane
  • vinylalkylsiloxanes Vinylalkylsiloxanes
  • V 3 D is used as the organosilicon (OSG) monomer species.
  • the properties, such as -OH content, hardness, and thermal stability, of the resultant film can be varied by adjusting the plasma duty cycle and/or power density.
  • the plasma in the PECVD reactor can be in pulsed mode or continuous mode.
  • the RF power density can be set between about 0.07 W/cm 2 and about 2.6 W/cm 2 .
  • the peak power can be set to between about 200 W and about 500 W.
  • the duty cycle can be selected such that the percentage of time that peak power is applied is between about 1% and about 50%.
  • FTIR analysis of experimental results showed increasing OH content with increasing plasma duty cycle. Nano-indentation results confirmed increasing hardness with duty cycle, with the 10/40 annealed sample having a hardness value of 0.527 GPa.
  • the invention provides a method for the fabrication of thin films with low dielectric constants.
  • the dielectric constants for the annealed films can range from about 4 to about 1.
  • the dielectric constant for the annealed film is preferably less than about 3, more preferably less than about 2.9, and most preferably less than about 2.6.
  • the dielectric constant of the films can be designed based upon its desired use.
  • the invention provides a method for the fabrication of porous dielectric material through the use of alkyl substituted siloxane precursors and degradable porogens.
  • the moderate power involved is amenable to inclusion of a porogen species, opening the possibility of using this methodology to generate an all-
  • the porous material can have a dielectric constant from about 4 to about 1, preferably from about 3 to about 1 , and most preferably from about 2 to about 1.
  • V 3 D 3 can be used as the precursor and a mild oxidant can be used to produce thin films with significant OH content. Coupled with the promising electrical and thermal properties, the V 3 D 3 /H 2 O system is especially appealing when extended to include co-deposition with a thermally sensitive porogen precursor for the creation of a CVD porous thin film.
  • the porosity of the OSG films can be increased through the incorporation of a porogen that is ultimately removed through an annealing step.
  • poly(alpha-methylstyrene) can be used as a potential sacrificial material or porogen in the formation of porous thin films.
  • a porous thin film can be created using a suitable porogen material with thermal lability, low decomposition temperature, and minimal residue left behind.
  • a method is disclosed for the synthesis of poly(alpha- methylstyrene) (PaMS) using plasma-enhanced chemical vapor deposition (PECVD).
  • PECVD plasma-enhanced chemical vapor deposition
  • the resultant PECVD PaMS is chemically and spectroscopically similar to commercially available PaMS polymerized by conventional means.
  • PaMS is of interest due to its low decomposition temperature and the fact that its primary decomposition pathway is a reversion to monomer.
  • the porogen and the OSG precursor can be deposited simultaneously or sequentially.
  • Figure 1 is a graph of fourier transform infrared spectroscopy (FTIR) Spectra of films deposited from V D 3 /H 2 O at three different duty cycles;
  • FTIR Fourier transform infrared spectroscopy
  • Figure 2A depicts the FTIR detail of the Si-(CH 3 ) X stretching region from 1240 cm “1 to 1300 cm “1 for V 3 D 3 /H 2 O films as deposited;
  • Figure 2B depicts the FTIR detail of the Si-(CH 3 ) X stretching region from 1240 cm “1 to 1300 cm “1 for annealed V 3 D 3 /H 2 O films;
  • Figure 3 A depicts FTIR spectra of the O x -Si-(CH 3 ) y stretching region for a 10-
  • Figure 3B depicts the shift from 'D' to 'T' functionality in the FTIR spectra of the O x -Si-(CH 3 ) y stretching region for a 10-390 FTIR V3D3/Argon film as deposited and post anneal;
  • Figure 4 is a plot of displacement into surface versus hardness for V 3 D 3 + H 2 O films with different duty cycles
  • Figure 5 is a plot of displacement into Surface versus elastic modulus for V 3 D 3 + H 2 O films at different duty cycles
  • Figure 6A is an FTIR spectrum of low molecular weight (LMW) PaMS standard
  • Figure 6B is an FTIR spectrum of 10/90 pulsed plasma-enhanced CVD (PPECVD) sample
  • Figure 6C is an FTIR spectrum of 40 W continuous wave plasma-enhanced CVD
  • Figure 6D is an FTIR spectrum of 10/10 PPECVD sample
  • Figure 6E is an FTIR spectrum of 200 W CW PECVD sample
  • Figure 7A is the 1650 cm “1 to 2000 cm “1 region of an FTIR spectrum showing the aryl ring overtone signature of LMW PaMS standard;
  • Figure 7B is the 1650 cm “1 to 2000 cm “1 region of an FTIR spectrum showing the aryl ring overtone signature of the 10/90 PPECVD sample;
  • Figure 8A is an FTIR spectra of LMW PaMS standard
  • Figure 8B is an FTIR spectra of 10/90 PPECVD sample
  • Figure 8C is an FTIR spectra of 40 W CW PECVD sample
  • Figure 8D is an FTIR spectra of 10/10 PPECVD sample
  • Figure 8E is an FTIR spectra of 200 W CW PECVD sample
  • Figure 9A is a 13 C solid-state CP-MAS NMR spectra of LMW standard PaMS
  • Figure 9B is a 13 C solid-state CP-MAS NMR spectra of high molecular weight (HMW) standard PaMS;
  • Figure 9C is a 13 C solid-state CP-MAS NMR spectra of pulsed-plasma (10/90) deposited PaMS;
  • Figure 10A depicts the detail of aliphatic carbon chemical shift region for LMW standard PaMS
  • Figure 10B depicts the detail of aliphatic carbon chemical shift region for HMW standard PaMS
  • Figure 10C depicts the detail of aliphatic carbon chemical shift region for pulsed- plasma (10/90) deposited PaMS
  • Figure 11A is a thermal stability trace for 10/90 PPECVD aMS sample
  • Figure 1 IB is a thermal stability trace for 10/10 PPECVD aMS sample.
  • Figure 12 is a graph of the pore size distribution for two PPECVD V 3 D 3 /H 2 0 films demonstrating the fractional porosity occupied by voids of a particular spherical pore diameter.
  • the invention provides methods for the production of a porous thin film with an all-CVD process, rather than the conventional spin-on processes.
  • This CVD processing enables seamless compatibility with existing toolsets, lower environmental impact, and less solvent/material waste than with conventional spin-on processes.
  • the invention provides precursors and CVD process conditions that overcome the seemingly incompatible deposition requirements of matrix and porogen species to be employed for producing a porous film.
  • the low dielectric constant films of the present invention are produced using a plasma-enhanced CVD (PECVD) system. Within the PECVD chamber, the cyclic siloxane precursors are delivered onto the substrate along with a mild oxidant.
  • PECVD plasma-enhanced CVD
  • the mild oxidant can be delivered either at the same time or following the deposition of the cyclic siloxane precursors.
  • substrate refers to a material having a melting temperature that is at least about 300°C, preferably above 350 °C, and more preferably above 400 °C, and most preferably above 450 °C.
  • Suitable substrates comprise, but are not limited to, silicon, silicon dioxide, silicon-germanium, glass, silicon nitride, ceramics, aluminum, copper, and gallium arsenide.
  • the mild oxidant partially oxidizes the cyclic siloxanes, thereby converting the cyclic structures into silanol groups.
  • the use of the mild oxidant allows control of the organic content and the steric effect of the organic groups in the OSG films.
  • the silanol groups are condensed to form an OSG film. This condensing step, i.e., annealing, allows the silanol groups to react or polymerize on the substrate, thereby forming the
  • This invention decouples the creation of a mechanically robust matrix from the deposition step.
  • the creation of the matrix from methyl substituted siloxane precursors is part of the post-processing step.
  • a mild oxidant, such as water, is used as an oxidizing species in the reaction mixture, leading to the formation of silanol (Si-OH) groups that can be condensed to form a rigid matrix in a post-processing step.
  • This method translates the deposition space to a regime where porogen deposition is favorable and enhances the mechanical properties of the matrix.
  • PECVD plasma-enhanced CVD
  • a precursor gas is fed into a reaction chamber at low pressure where it is exposed to a large electric field.
  • This excitation results in fragmentation of the precursor and generates plasma, consisting of electrons, ions, and reactive neutral species. These reactive species recombine in various forms to create a thin film of material on the target substrate.
  • CW continuous- wave
  • the electric field is applied for the entire duration of the reaction. Depending on the peak power applied, the typical result is significant fragmentation of the precursor molecule.
  • the chemical composition and structure of the resulting thin film bears less and less resemblance to the starting material and is often highly branched or crosslinked due to the high degree of fragmentation. This branching can be advantageous if the ultimate goal is a highly crosslinked structure.
  • One of the primary disadvantages of the CW PECVD technique is that the growing thin film is exposed to the plasma throughout the deposition process. This can lead to fragmentation and branching in the thin film itself, as well as the creation of defects and dangling bonds — non-terminated radical sites in the film. These dangling bonds and defects can react with atmospheric gases or other species upon exposure, resulting in undesired side reactions or chemical modifications, such as oxidation.
  • CW PECVD depositions Another often-undesirable feature of CW PECVD depositions is the formation of significant quantities of powdered material in competition with or opposed to thin film growth. Powder formation has been attributed to rapid gas-phase polymerization of the precursor molecules. These gas-phase materials are often charged species, and as a result, they remain suspended in the plasma sheath, growing to macroscopic size until gravitational forces win out and the material precipitates out of the gas phase as a fine powder. Moving to a pulsed-plasma deposition regime can mitigate some of these disadvantages.
  • pulsed-plasma enhanced CVD the electric field is instead turned on and off at a discrete frequency, which results in the plasma only being present during a set fraction of the reaction time.
  • the primary variable used to control the plasma timing is the duty cycle, which is defined as the ratio of the plasma on-time to the total pulse cycle time. Pulse timing is usually measured in milliseconds; for example, a 10-90 pulse timing would be 10 milliseconds on followed by 90 milliseconds off. This represents a duty cycle of 10%. It should be noted that it is possible to have the same duty cycle for a number of different pulse timings: a 10-90 pulse cycle timing and a 50-
  • pulse cycle timing both have a duty cycle of 10% even though the actual on and off periods are different.
  • the pulsed nature of the plasma can provide a number of advantages over a continuously applied plasma.
  • Pulsing the plasma also reduces the occurrence of gas-phase polymerization, since in the plasma off-time, the gas-phase reactants are not being stimulated, and additionally, the absence of the electric field results in no force to keep charged oligomeric products suspended in the gas phase. In short, material is never given the opportunity to grow to macroscopic size in the gas phase in the pulsed-plasma regime.
  • Pulsing the plasma results in only a small amount of RF energy being delivered to the precursor species at any one time. This means that the precursor molecule is not necessarily fragmented completely during the deposition step and also opens the possibility for selective activation.
  • the ability to exert some control over reaction pathways becomes very important when considering the use of the PPECVD technique for deposition of polymeric materials that need to retain desired functionality, or using the technique to build a desired chemical functionality into a thin film.
  • cyclic siloxane precursors are employed in the chemical vapor deposition (CVD) process to produce OSG's.
  • Siloxanes are usually derived from partly substituted silicon chlorides, such as dichlorodimethylsilane,
  • Methyl cyclosiloxanes can be used as a starting material, precursor, for manufacture of linear siloxane polymers by ring-opening polymerization (Kendrick, T. C. et al. 1989 Siloxane polymers & copolymers, in The Chemistry of Organic Silicon
  • Cyclosiloxanes can be functionalized by substituting different side groups, which can vary the properties of the resulting polymers.
  • cyclic alkyl substituted siloxane precursors can be used.
  • Non-limiting examples of cyclic alkyl substituted siloxane precursors include 1,3,5- trivinyl-1 ,3,5-trimethylcyclotrisiloxane (V D 3 ), 1,3,5,7-tetramethylcyclotrisiloxane (H 4 D 4 ), l,l,3,3,5,5-hexamethylcyclotrisiloxane,l,3,5-triethyl-l,3,5- trimethylcyclotrisiloxane, and vinylalkylsiloxanes (Chemical structures are shown in Scheme 1.)
  • l,3,5-trimethyl-l,3,5-trivinylcyclotrisiloxane (V 3 D 3 ) is used as the organosilicon (OSG) monomer species.
  • the monomer, 1,3,5- trimethyl-l,3,5-trivinylcyclotrisiloxane (Silar Laboratories, 98%) can be used without further purification.
  • the monomers are preferably volatilized and delivered to the reaction chamber through the powered showerhead assembly via a mass flow controller.
  • the OSG monomers are fed at a flow rate of between about 1 seem and about 100 seem, or preferably at a flow rate of between about 1 seem and about 20 seem.
  • a flow rate of about 2 seem was found to be a suitable for many applications. Certain combinations of flow rate and power can lead to powder formation versus thin film deposition, which is to be avoided. III. Oxidants
  • a mild oxidant is used to oxidize the cyclic siloxane precursors into silanol groups.
  • an oxidant such as water
  • a reagent enables the formation of Si-OH moieties in the as-deposited films. These Si-OH groups can then undergo a condensation reaction during a subsequent annealing step in a manner similar to the network-forming reactions observed in spin-on OSG materials.
  • the invention thereby provides a discovery that contrary to conventional wisdom, a mild oxidant, such as water, can also be employed in a plasma OSG system for the express purpose of inducing condensation chemistry in a subsequent annealing step.
  • a mild oxidant is introduced with the monomer to the CVD deposition region, e.g., through the showerhead, through a side port in the main reactor assembly, or through another introduction site.
  • a "mild oxidant” as used herein refers to an oxidant, or oxidizing species, that avoids the formation of a pure oxide species, such as SiO . In other words, the mild oxidant does not result in the complete and total oxidation of the organosilicon monomer species to silicon dioxide, which is not intended to be produced.
  • the mild oxidant has the ability to access deposition spaces not previously accessible with the methyl substituted siloxane precursors making this method an attractive one for enhancing the mechanical properties of OSG films deposited at lower powers in the absence of a strong oxidant.
  • Examples of mild oxidants that can be employed in accordance with the invention include water, primary alcohols, peroxides, N O, or other suitable oxidizing species.
  • the mild oxidant is deionized water.
  • the oxidant can be introduced with a flow rates of between about 5 seem and about 400 seem, or preferably between about 5 seem and about 30 seem. A flow rate of about 20 seem is usually suitable for many applications.
  • the monomer to oxidant molar ratio can range from 1:1 to 1 : 100 depending on the desired application of the resultant film.
  • a monomer is defined herein to be a single molecule of precursor gas, such as a cyclic siloxane molecule of V 3 D 3 .
  • Preferred monomer to oxidant ratios range from 1 :5 to 1 : 20. Examples of monomer to oxidant ratios of 1:5, 1:10, and 1 :20 can be found in the Examples section.
  • powder formation rather than thin film growth, can result when certain harsh oxidants, such as oxygen, are employed instead of a milder oxidant, such as water.
  • a harsh oxidant as defined herein, may form a pure oxide species from the monomer, resulting in a film that does not include organic content because of this total oxidation of the organosilicon species.
  • a mild oxidant such as water, which in accordance with the invention does not result in total oxidation of the organosilicon species, is preferred. This preference is not only from the standpoint of increasing the hardness of the porous films, but also for enabling access to a deposition space previously inaccessible for thin film growth with this precursor.
  • the invention provides processes for producing thin films of very low dielectric constant by way of -OH inclusion.
  • the degree of -OH inclusion can be assessed either directly by FTIR or indirectly via optical and electrical measurements (See Examples).
  • the degree of incorporation of these groups can be adjusted by varying the pulsed plasma duty cycle. The degree of incorporation of these groups increases with increasing pulsed plasma duty cycle, Figure 2.
  • the film can be heated to condense the silanol groups and thereby remove the -OH groups.
  • the film can be heated to a temperature less than 425°C for a duration between about 15 minutes to about 2 hours under inert atmosphere, under a nitrogen atmosphere or under vacuum conditions. For example, annealing of the film at about 400°C in a N 2 atmosphere for about two hours successfully removes the -OH groups, as confirmed by FTIR (See Example II).
  • FTIR analysis also confirms that condensation chemistry between proximal Si-OH groups is occurring during the annealing step, given that the V 3 D 3 /H 2 O films exhibit structural changes, such as enhanced Si-O-Si bonding and a shift from "D" to "T” type bonding environments, which are consistent with condensation chemistry and network forming reactions.
  • Mechanical testing of experimental films produced in accordance with the invention revealed that both modulus and hardness were increased with increasing pulsed plasma duty cycle, consistent with a higher degree of oxidation in the films (see Example III).
  • the peak centered at approximately 1025 cm “1 increases in intensity with the increase in pulsed plasma duty cycle and is associated with longer chain siloxanes or the formation of a networked structure.
  • the peak centered at approximately 1125 cm “1 appears as a shoulder on the main peak and also increases in intensity with increasing plasma duty cycle.
  • This band is often assigned as the 'cage' structure similar to a silsesquioxane.
  • An increase in these bands with increase in plasma duty cycle is consistent with the increase in other Si-0 specific bonding. Further increase in the intensity of these bands with annealing is evidence of Si-O-Si network and 'cage' formation during the annealing process.
  • the Si-(CH 3 ) X symmetric stretching band occurs in the 1240 cm “1 to 1300 cm “1 region of the FTIR spectrum (See Figure 2). This band can vary in position based upon the degree of oxidation of the Si atom, with increasing oxidation shifting the band to higher wavenumbers.
  • the three most basic possibilities for the configuration are designated as 'M', 'D', and 'T', reflecting either mono-, di-, or tri- substitution of the silicon atom by oxygen.
  • These configurations are included in Table 1, along with their typical band position in the FTIR spectrum. In a plasma-deposited film, a mixture of these different configurations is possible.
  • Figure 2A shows the as-deposited spectra for the three V 3 D 3 /H 2 O films.
  • FIG. 2B shows the spectra for the same three films after annealing. The peaks in Figure 2B have been shifted to higher wavenumbers with both increased duty cycle and annealing indicating a shift from 'D' to 'T' functionality and greater cross-linking. This significant shift from the 'D' rich structure to a more 'T'-like structure is especially distinct in the lowest duty cycle (10-390) sample.
  • moderate plasma power conditions are used.
  • Deposition under moderate power conditions rather than conventional high power conditions, allows for the concurrent deposition of matrix and porogen species for later removal of the porogen species and formation of a porous thin film.
  • V. Average Connectivity Number In another aspect, mechanical integrity can be enhanced by depositing a film with a higher average connectivity. As the average connectivity number moved towards the percolation threshold, the mechanical properties improve. Hence, depositing a film with an average connectivity number above the threshold enhances mechanical integrity. This phenomenon explains the similar mechanical performance of the 10/90 and 10/390 samples, since both had similar connectivity numbers and were below the percolation threshold (See Figures 4 and 5).
  • the 10-40 V 3 D 3 /H 2 O annealed film performed best, having a high hardness value (averaged over the sample space) of 0.527 GPa and an average connectivity number of 2.39.
  • the annealed 10-390 V 3 D 3 / Argon system had a connectivity number of 2.19 and an average hardness 0.239 GPa, which is just 45% of the 10-40 film's value.
  • hardness and modulus can be predicted within the framework of the known 'Continuous Random Network' (CRN) and percolation of rigidity theories.
  • the percolation of rigidity defines a compositional point in a network where the system transitions from an underconstrained (non-rigid) state to an overconstrained (rigid) one. Systems above the percolation threshold would thus be expected to have superior mechanical properties as compared to those below the threshold, owing to the increased structural constraints.
  • the key parameter in this analysis is the average connectivity number, ⁇ r>, which is the average number of bonds per network forming atom.
  • Network-forming atoms have two or more bonds to other network forming atoms, and atoms having only one bond, such as hydrogen, which do not contribute to the network are not counted in the analysis. It is known that the percolation of rigidity occurs at an average connectivity number of 2.4 for solids in which all atoms are able to form two or more bonds.
  • the Si-CH X region of the FTIR spectrum allows determination of the relative quantities of Si, O, and C bonding in the film, and as such, can be useful for a basic structural analysis.
  • the average connectivity number for the 'T' group is 2.4.
  • Silicon and oxygen are the network-forming species, while carbon, because it does not bond to structures outside the local 'T' structure, is considered non-network-forming.
  • the silicon atom has three network- forming bonds (each to oxygen), and each oxygen forms two network bonds (one to the local silicon, and another to the silicon in the adjacent network node). To avoid double-counting, each oxygen is counted as one-half an atom in the analysis.
  • the average connectivity number is thus the sum of the network-forming bonds (l Sl x 3 bo nds + 1.5 0 x 2 bo _ d s) divided by the total number of atoms (l Sl + 1.5 0 ).
  • the relative fractions x, y, and z can be determined via appropriate film characterization techniques, such as XPS or FTIR, as in the present case.
  • the average connectivity number for the entire sample is then the sum of the weighted contributions from each of the major network-forming species. Multiplying the connectivity number of each group type by its relative abundance as determined by
  • V 3 D 3 /H 2 O pulsed-plasma chemical vapor deposition of V 3 D 3 /H 2 O and subsequent annealing of the films is discovered in accordance with the invention to produce an improvement in the mechanical properties of porous films as compared to films deposited without a mild oxidant such as water.
  • This fact combined with the ability to access deposition spaces not previously accessible with the V 3 D 3 precursor, make this method an attractive one for enhancing the mechanical properties of OSG films deposited at lower powers in the absence of a strong oxidant.
  • the V 3 D 3 /H 2 0 system is especially appealing when extended to include co-deposition with a thermally sensitive porogen precursor for the creation of a CVD porous thin film.
  • Methods are also provided to form thin films deposited from pure alpha- methylstyrene via both continuous- and pulsed-plasma chemical vapor deposition.
  • FTIR and solid-state NMR speetroscopies See Example VI were used to demonstrate that the lower power depositions produced plasma polymers with structures virtually identical to that of a traditionally polymerized material.
  • Films deposited at higher powers under both continuous and pulsed conditions showed a marked loss of structural similarity with both the monomer and traditionally polymerized PaMS, and were more cross- linked than their lower power analogs, as evidenced by FTIR spectroscopy. Aging of the polymers at ambient conditions for one month revealed the incorporation of oxygen into the film structure.
  • the properties of the resultant film can be controlled by varying the plasma power and/or deposition mode (continuous or pulsed).
  • the annealing conditions can be kept the same and the plasma power can be varied.
  • samples were also annealed for two hours at 400 °C under nitrogen. Annealing the samples resulted in different degrees of film loss, with the samples deposited at lower powers showing the most promising decomposition results.
  • the low power pulsed-plasma film had 9% film residue remaining post-anneal, and the low power CW film was completely removed, leaving bare silicon. Both of the high power samples retained approximately 40% of their original thickness post-anneal, supporting the FTIR conclusion that films produced at higher powers are significantly more cross-linked.
  • Films deposited under CW plasma conditions also have hydroxyl and carbonyl inclusion, as well as a strong C-O-C band whose formation can be attributed to the higher concentration of radical sites in the CW samples as compared to the pulsed- plasma samples. All modes of oxygen incorporation are consistent with literature data on oxidation pathways.
  • the FTIR analysis shows that the input power and type of excitation (continuous or pulsed) have a significant effect on the structure of the final polymer film, with higher power samples showing a marked decrease in retention of monomer structure. Lower power samples show a much better correspondence with the structure of traditionally polymerized materials, with the 10/90 pulsed plasma sample showing the best match to the standard sample. Differences in aging upon exposure to atmosphere were also evident based upon deposition mode.
  • the PECVD processes of the present invention can further be employed to deposit a porogen species having characteristics like that of counterpart conventionally produced species, thereby enabling co-deposition of a matrix monomer and a thermally sensitive porogen precursor for the creation of a CVD porous thin film.
  • plasma-enhanced chemical vapor deposition from alpha-methylstyrene can be employed as a dry method for synthesizing directly patternable sacrificial materials for generating pores or air dielectric layers.
  • Films deposited at low power excitation are found to decompose between 65 °C and 75 °C, leave minimal residue following a 400 °C anneal for 2 hours, and have spectroscopic signatures of linear poly(alpha- methylstyrene) (PaMS) as determined by NMR and FTIR. Increased plasma excitation power leads to crosslinking and increased residue after annealing. All experimentally produced films were found to contain hydroxyl and carbonyl groups after exposure to air. Significant C-O-C inclusion was found to occur under continuous plasma excitation but not under pulsed-plasma conditions.
  • PaMS linear poly(alpha- methylstyrene)
  • PaMS poly(alpha-methylstyrene)
  • PaMS poly(alpha-methylstyrene)
  • PaMS is of interest due to its low decomposition temperature and the fact that its primary decomposition pathway is a reversion to monomer, as well as its potential use as a photoresist material.
  • PaMS and some of its chlorinated derivatives have been shown to be sensitive to e-beam lithography. Additionally, there has been shown a chlorinated PaMS derivative that is sensitive to optical irradiation at a wavelength of 254 nm. The primary mechanism of decomposition was main chain scission.
  • PaMS can be used as a porogen with the methods of this invention to produce films with increased porosity and a decreased dielectric.
  • the matrix In forming ultra-porous OSG films by PECVD, the matrix must be structurally rigid as well as minimally bonded to the porogen species in order to avoid structural collapse of a porous thin film matrix after the removal of the porogen.
  • the production of a rigid or hard matrix requires the use of high input powers and substantial amounts of oxygen as a cross-linking agent.
  • these conditions are highly unfavorable for the successful deposition of the porogen species, which often require specific chemical moieties to be retained in order to preserve their thermally labile quality.
  • High plasma powers and oxygen content will often destroy these moieties and alter chemical functionality.
  • lower power densities e.g., less than about 150W are typically desirable.
  • porogen refers to a pore forming material that is degradable.
  • the porogen can be thermally degradable such that upon heating to the material's decomposition temperature will decompose and diffuse.
  • the porogen decomposition temperature should be sufficiently high to permit standard film preparation yet below the transition temperature of the surrounding matrix.
  • Porogens should have a decomposition temperature of at least about 200 °C, preferably above about 300 °C, more preferably above 350 °C, and most preferably above 400 °C.
  • the porogen can be degraded upon exposure to radiation at an appropriate wavelength or upon treatment in a reactive plasma.
  • porogen monomer should preferentially react with itself to form a separate domain from the OSG matrix material, resulting in minimal covalent bonding between the matrix and the porogen phases. This facilitates the easy removal of the porogen during the post-processing step.
  • porogen monomer incorporation is in the Examples section.
  • Porogens are pore forming materials having a lower decomposition temperature than the surrounding matrix, such that upon heating or irradiation the porogens decompose resulting in the formation of pores. Removal of the porogen species can be achieved in a number of ways. Porogen decomposition can efficiently be accomplished, for example, through heating, annealing, irradiation by photons or electrons, volatilization, sublimation, or exposure to a plasma. For example, heating in a nitrogen atmosphere for 2 hours at 400 °C is typically sufficient for many material combinations. Alternatively, porogens that are sensitive to radiation can be exposed to the corresponding wavelength radiation to degrade the polymer. Treatment of film in a reactive plasma can also be employed to selectively remove the porogen species.
  • Any labile organic polymer can be used as a porogen.
  • the material used for the porogen depends upon the desired product.
  • Polymeric porogens such as polymethylmethacrylate, polyalphamethylstyrene, and polystyrene, are preferred.
  • Suitable porogens comprise decomposable polymers, including not only linear, branched and crosslinked polymers and copolymers, but also crosslinked polymeric nanoparticles with reactive surface functionality.
  • the porogen is a polymer comprised of monomer units selected from the group consisting of styrene, halogenated styrene, hydroxy-substituted styrene, lower alkyl-substituted styrene, acrylic acid, acrylamide, methacrylic acid, methyl acrylate, ethyl acrylate, butyl acrylate, polyacrylate, ethylene oxide, propylene oxide, poly(methyl methacrylate) (PMMA), polystyrene and poly(alpha-methyl styrene) and combinations thereof.
  • monomer units selected from the group consisting of styrene, halogenated styrene, hydroxy-substituted styrene, lower alkyl-substituted styrene, acrylic acid, acrylamide, methacrylic acid, methyl acrylate, ethyl acrylate, butyl acrylate, polyacryl
  • porogen examples include, but are not limited to, aliphatic polycarbonates such as poly(propylene carbonate) and poly(ethylene carbonate); polyesters; polysulfones; polylactides; polylactones.
  • the porogen may be a homopolymer, or it may be a copolymer comprised of any of the foregoing monomeric materials, e.g., poly(styrene- co-alpha-methyl styrene), poly(styrene-ethylene oxide), poly(ether-lactones), poly(ester- carbonates), and poly(lactone-lactides).
  • porogen species poly(methyl methacrylate) (MMA), poly(oxymethylene) (POM), or poly(alkylcarbonates) can be used as the porogen species.
  • the resultant porogens can be used to create an ultra-porous material.
  • the present invention can be used in conjunction with U.S. application No. 10/624,959 entitled “Porous Material Formation by Chemical Vapor Deposition onto Colloidal Crystal Templates” filed July 22, 2003.
  • This invention is further illustrated by the following examples, which should not be construed as limiting. The contents of all references, patents and published patent applications cited throughout this application, are incorporated herein by reference.
  • the plasma was capacitively- coupled, with a powered upper electrode/showerhead and a grounded lower electrode, which also acted as the substrate stage.
  • Plas a excitation can be either continuous or pulsed.
  • power densities between about 0.07 W/cm 2 and about 2.6 W/cm 2 are preferably employed, with a power density of about 0.26 W/cm 2 found to often be suitable.
  • input power was varied between about 200 W to about 500 W. Polymerization under CW conditions resulted in a significant amount of visible gas-phase polymerization in the plasma sheath, which precipitated out of the gas phase as a fine white powder.
  • similar power densities can be used with various duty cycles.
  • Duty cycle is meant as a ratio of plasma on-time/total cycle time, where both numbers are given in milliseconds. To facilitate comparison, the percentage of time that peak power is applied follows in parenthesis. Any duty cycle value between about 1% and about 50%, achieved via any ratio is in general acceptable. Duty cycles of about 10/10 (50%), about 10/40 (20%), about 10/90 (10%), and about 10/390 (2.5%) can be suitable for many applications. Duty cycles of were chosen so that they could be compared, in an equivalent power sense, to the experiments performed under CW conditions. Deposition times can range from about several minutes to over an hour, depending upon the power conditions used, as will be recognized. Deposition times used in the experiments ranged between 3.5 and 10 minutes, depending upon the power conditions used.
  • one aspect of this invention is to create a plasma polymer that is as similar to a traditionally polymerized polymer as possible, FTIR analysis was used to screen the plasma polymerized samples. Those samples that did not correlate well with the standard PaMS sample (Nicolet Polymer Spectra Database) were not carried on for further analysis.
  • FTIR analyses of films produced in accordance with the process conditions described above were carried out on a Thermo Nicolet Nexus 870 ESP spectrometer in transmission mode. Spectra were baseline corrected and typically taken at 4 cm "1 resolution averaged over 64 scans. All spectra were normalized to a thickness of 1500 A using Beer's Law. D. Variable Angle Spectroscopic Ellipsometry (VASE) Analysis
  • VASE Variable Angle Spectroscopic Ellipsometry
  • Thermal stability analysis was performed using the ITS (Interferometry for Thermal Stability) apparatus. Samples were heated to 400 °C for two hours under a nitrogen atmosphere and then quenched to room temperature and analyzed.
  • Hg spot size was 790 microns, and three C-V measurements were performed for each sample studied, k values were calculated based on the average saturation capacitance values obtained, the film thickness (as determined by ellipsometry), and the Hg spot size.
  • 13 C NMR experiments were performed with proton cross-polarization (CP) to enhance the signal and resolution.
  • CP proton cross-polarization
  • the ⁇ - 13 C CP time was 3 ms, and the 90° pulse width was 1.3 ⁇ s.
  • Time between successive scans was 30 seconds, and spectra were typically acquired for 8-12 hours.
  • 13 C spectra were externally referenced to tetramethylsilane (TMS).
  • Figure 1 shows the FTIR spectra of V 3 D 3 /H 2 O films deposited in accordance with the invention at three pulsed plasma duty cycles. Incorporation of the H 2 O into the film structure is readily apparent by the broad -OH stretching band between 3200 cm “1 and 3700 cm “1 .
  • the Si-O stretch from the Si-O-H group also gives rise to a strong band observed between 920 cm “1 and 830 cm “1 . Note both bands increase in intensity with increasing pulsed plasma duty cycle, indicating a greater degree of -OH incorporation at higher applied powers.
  • the rightmost peak centered at approximately 1025 cm “1 , increases in intensity with the increase in pulsed plasma duty cycle and is associated with longer chain siloxanes or the formation of a networked structure.
  • the leftmost peak centered at approximately 1125 cm “1 , appears as a shoulder on the main peak and also increases in intensity with increasing plasma duty cycle.
  • This band is often assigned as the 'cage' structure similar to a silsesquioxane.
  • An increase in these bands with increase in plasma duty cycle is consistent with the increase in other Si-O specific bonding. Further increase in the intensity of these bands with annealing is evidence of Si-O-Si network and 'cage' formation during the annealing process.
  • Figure 2 details the 1240 cm “1 to 1300 cm “1 region of the FTIR spectrum, where the Si-(CH 3 ) X symmetric stretching band occurs.
  • Figure 2B shows the spectra for the same three films after annealing. The peaks in Figure 2B have been shifted to higher wavenumbers with both increased duty cycle and annealing indicating a shift from 'D' to 'T' functionality and greater cross-linking. This significant shift from the 'D' rich structure to a more 'T'-like structure is especially distinct in the lowest duty cycle (10- 390) sample. Together with the disappearance of the -OH bands in the high wavenumber region, this shift can be attributed to condensation chemistry occurring between proximal Si-OH groups as outlined in Scheme I. This reaction leads to the creation of additional Si-O-Si linkages which are responsible for the increased quantity of 'T' groups and additional film crosslinking.
  • Figure 3 A shows the as-deposited and post anneal spectra of the 1240 cm “1 to 1300 cm “1 region for a film deposited from V 3 D 3 and H 2 0 at a duty cycle of 10-390.
  • Figure 3B shows the same region for a film deposited under the same conditions with argon substituted for water. Note that the sample deposited in the absence of water does not show a corresponding change in structure after the annealing step.
  • the predominant band for both the as-deposited and post-anneal case in Figure 3B is that associated with the 'D' group (-1260 cm "1 ). This would be expected given the cyclic structure of the precursor, which is basically comprised of 'D' type groups linked in a ring form.
  • the fact that there is little change between the as-deposited and post- anneal spectra indicates that there is little chemical change occurring involving this moiety during the annealing step.
  • Figure 4 shows the displacement vs. hardness curves for the as-deposited and post-anneal V 3 D 3 /H 2 0 samples.
  • Solid markers indicate an annealed sample
  • open markers indicate an as-deposited sample.
  • the annealed film is harder than its as-deposited counterpart, although the differences between as- deposited and post-anneal values for the 10-90 and 10-390 films are small.
  • Results are summarized in Table III. Also provided for comparison are the results for the V 3 D 3 / Argon film and fused silica. Interestingly, the V 3 D 3 / Argon sample had greater hardness values than either the 10-90 or 10-390 V 3 D 3 /H 2 O samples.
  • V3D3/ Argon 10-390 As Deposit 0200 3 732
  • Figure 5 shows the displacement versus elastic modulus for the as-deposited and post-anneal V 3 D 3 /H 2 0 samples.
  • Solid markers indicate an annealed sample
  • open markers indicate an as-deposited sample.
  • Results are again summarized in Table III. Similar to the hardness measurements (shown in Figure 4), the elastic modulus values track well with duty cycle, with increasing duty cycle yielding higher values for the modulus. In the case of modulus, however, the annealed samples have a lower elastic modulus than their as-deposited counterparts. This is to be expected, since the annealed samples are understood to be more rigid and less able to 'spring back' after deformation, due the increased crosslinking and rigidity imparted to the film during the annealing process.
  • PALS is a useful technique for probing the free volume on an angstrom size- scale, between polymer chains.
  • PALS is a non-destructive, radioactive technique in which the anti-particle of an electron is injected, from a radioactive source, into the OSG film. Upon ejection, a characteristic energy is given off for a measured amount of time.
  • the positron, or species of positrons plus electrons can decay. Regardless of which mechanism occurs, another characteristic energy is given out.
  • the resulting decay curve can be fitted to a range of components including the lifetime of ortho-posi tronium (oPs) and its intensity.
  • the lifetime, ⁇ is related to the size of the free volume, and the intensity is related to the number concentration of free volume sites.
  • An approximate "size" of free volume can be determined and a measure of free volume fraction can be determined.
  • PALS Positron annihilation lifetime spectroscopy
  • One film was deposited at 400 W peak power with 10/40 ms-on/ms-off ratio to a thickness of 735 nm and the second film was deposited at 200 W peak power with 10/40 ms-on/ms- off ratio to a thickness of 560 nm.
  • the precursors used were V 3 D at 1 seem, H 2 0 at 20 seem, and methylmethacrylate at 10 seem at a pressure of 300 mTorr. Following deposition, both samples were annealed at 400°C for 1 hour under nitrogen.
  • the PALS analysis was done several months after the deposition during which samples were stored at ambient conditions.
  • the custom-built high vacuum chamber of the Michigan beam-PALS apparatus (Dr. David W. Gidley's laboratory) was used for the analysis. Beam energies range from 4.1 keV to 1.1 keV.
  • the raw PALS data are presented below in Table IV and the calculated pore size distribution is shown in Figure 12.
  • the pores are either inherently "almost closed” or are largely sealed by post treatment.
  • the pores in the resultant film yield a bimodal distribution.
  • the pores for the first OSG film analyzed which was produced using 400 W peak power with 10/40 ms-on ms-off ratio, centered around about 0.5 nm and about 1.4 nm.
  • the pores for the second sample OSG film analyzed which was produced using 200 W peak power with 10/40 ms-on ms-off ratio, centered around 0.5 nm and 1.6 nm.
  • the second sample spectrum was normalized to unity and the first sample spectrum was normalized according to relative total Ps formation intensity, which is one method for relative normalization.
  • Thickness A
  • Thickness A
  • V3D3/Ar 10-390 8257 ⁇ 20 4 8152 ⁇ 193 98 73% 1 475 ⁇ 006 1 454 + 010
  • Dielectric measurements of the as-deposited films reflect the degree of OH and H 2 O incorporation present.
  • As-deposited k-values of 4.73, 3.96, and 3.49 were obtained for the 10-40, 10-90, and 10-390 samples, respectively.
  • the trend of higher k-values with increasing OH/H 2 0 content is expected due to the high k-value of water, and tracks well with the FTIR results showing greater OH inclusion at higher duty cycles.
  • Annealing the samples significantly reduced the dielectric constant of all of the films.
  • Post-anneal k-values of 2.90, 2.62, and 2.61 were found for the 10-40, 10-90, and 10-
  • Figure 6 shows the FTIR spectra of several PECVD films deposited under both pulsed and continuous conditions as compared to a standard sample.
  • Table VII lists the major peak assignments and their literature assignments. All spectra have been baseline corrected and normalized to a standard 1500 A thickness.
  • Aryl C-H wag 2000-1650 weak, out of phase wagging
  • 1465 cm “1 , 1495 cm “1 , and 1605 cm “1 which all correspond to C-C skeletal ring stretches in substituted aromatic structures.
  • the 1465 cm “ and 1495 cm “ bands typically appear as a doublet. These bands are present in all of the plasma-deposited samples.
  • the two high power samples ( Figures 6D and 6E) show a change in the relative intensity of the two doublet peaks, with the 1465 cm "1 peak being favored. These bands are associated with movement of the skeletal structure as a whole (quadrant and semicircle stretches), and this change in intensity could be the result of constraints placed on the structure due to cross-linking or partial destruction of the ring.
  • the high wavenumber region from approximately 2800 cm “1 to 3100 cm “1 contains bands for C-H stretching modes in CH 3 and CH 2 groups, as well as C-H stretches arising from the phenyl ring.
  • the spectra can be divided into two regions: aromatic carbons upfield from 100 ppm and aliphatic carbons downfield from 100 ppm.
  • aromatic region there are two main resonances, at approximately 128 ppm and 150 ppm.
  • the large resonance at 128 ppm is due to the unsubstituted carbon atoms in the ring structure, whereas the small peak at 150 ppm is attributed to the CI ring carbon, where the ring is attached to the polymer backbone.
  • the absence of any other resonances in this region confirms the FTIR analysis that the phenyl ring is intact and is not having its substitution pattern altered in the plasma.
  • the aliphatic carbon region was fairly broad for all three samples, but did show three distinct regions, as detailed in Figure 10.
  • the alpha carbon which should appear between 54 and 64 ppm, is a quaternary center and did not show any enhancement with proton cross-polarization, owing to its lack of proximity to neighboring protons.
  • the alpha carbons were consistently difficult to elucidate in the spectra taken and are only clearly seen in the low-molecular-weight standard sample, even at recycle delays of up to 30 seconds between scans. This can most likely be attributed to a combination of the long spin-lattice relaxation time of the quaternary center coupled with the slow cross-polarization dynamics for carbons without adjacent hydrogens.
  • FIG. 1 1 A shows the temperature-signal-time plot of the thermal stability test for the PPECVD 10/90 film.
  • the onset of decomposition taken to be the point where the signal curve begins to oscillate, occurs at approximately 75 °C.
  • Comparison of the pre- and post-anneal thicknesses of the sample via ellipsometry indicates a thickness retention of approximately 9%. This is in comparison to literature data that shows virtually no decomposition of PaMS below 300 °C for molecular weights between 3.1 x 10 3 and 2.0 x 10 5 and approximately the same heating rate.
  • the temperature-signal-time thermal stability plot for the PPECVD 10/10 sample is shown in Figure 1 IB. Note the appearance of two possible decomposition regimes, . one beginning at -125 °C and another at -275 °C. Compared to the 10/90 sample, the onset of any decomposition is significantly higher: approximately 125 °C. Additionally, there seem to be two regimes of decomposition occurring, as evidenced by the change in slope of the signal curve. The second phase of decomposition begins at approximately 275 °C, where the signal curve begins its oscillatory behavior. Again, results for the 200 W CW are similar, but only show one decomposition regime with an onset of about 170 °C.

Abstract

Methods are disclosed for fabricating organosilicate glass (OSG) films that have both a low dielectric constant and superior mechanical strength are disclosed. Cyclic siloxane OSG precursors, such as 1,3,5-trivinyl-1,3,5-trimethylcyclotrisiloxane (V3D3), are used in conjunction with a mild oxidant to partially oxidize the cyclic structures leading to the formation of silanol groups. The silanol groups can be subsequently condensed to form a porous OSG film.

Description

CHEMICAL VAPOR DEPOSITION OF ORGANOSILICATE THIN FILMS
PRIORITY The present invention claims priority to U.S. Provisional Application No.
60/419,904 filed October 21, 2002.
BACKGROUND OF THE INVENTION The technical field of the invention is chemical vapor deposition of materials and, in particular, the formation of thin, low dielectric constant, organosilicate films for use in electronic devices and other applications.
In the attempt to increase processor speeds and to make more powerful microelectronic devices, semiconductor manufacturers seek to reduce the size of microchips. However, as chip sizes decrease, their circuitry gets closer together and the operating frequencies increase, which dramatically amplifies the problems of electrical interference between circuit elements, known as cross-talk. Additionally, as transistors shrink and the total amount of interconnect circuitry continues to increase, delays in the wiring become more important to circuit performance. Intermetal dielectric materials, which provide insulation between circuits, also affect the capacitance of the circuit and contribute to interconnect delays. Thus, improved dielectric materials with lower K values are desired to reduce the noise, preserve the signal, conserve power, and reduce the interconnect delay (ICD) so that microelectronics can continue to become both faster and smaller.
As semiconductor device dimensions continue to shrink, the search for alternative dielectric materials becomes more crucial. Dielectric materials, which are often included in production schemes with mechanically rigorous integration steps, such as chemical-mechanical polishing (CMP), should have excellent thermal stability and mechanical properties without compromising their insulating barrier properties. Current semiconductor processes rely heavily on the use of silicon dioxide (Si02) as the interlevel dielectric material, which has a dielectric constant of approximately 4.0. Few materials are currently known that have both low dielectric constants and are compatible with semiconductor manufacturing requirements, such as thermal stability of at least 400°C. Polytetrafluoroethylene (PTFE), for example, has a low dielectric constant, but is not thermally stable above 300-350°C, which restricts its use in integrated circuits. The industry has only recently begun explore alternative dielectrics.
Organosilicate glass (OSG) materials have been used in the production of low dielectric constant materials as an alternative to silicon dioxide. OSG materials are based on the structure of silicon dioxide, with the exception that a certain fraction of the silicon-oxygen bonds have been replaced with silicon-organic bonds, often in the form of alkyl or aryl substituents, such as methyl or phenyl groups. This substitution is generally believed to disrupt the structure of the SiO2 matrix and lead to a 'loosening' of the lattice, resulting in an increase in free volume within the structure. The decreased density and increased free space tend to lower the dielectric constant. Additionally, the organic groups generally have a lower polarizability than the structures they replace.
Since the dielectric constant is directly tied to the polarizability of the material in question, this reduction in polarizability results in a reduction in dielectric constant for OSG materials. Depending on the exact chemical composition and deposition techniques, OSG materials can have k-values ranging between 2.6 and 3.5, which is a significant improvement over traditional silicon dioxide. In addition, OSG materials have shown good thermal stability up to 400 °C, which is a crucial integration requirement in semiconductor processing.
Unfortunately, many of the critical material properties of interest for OSG thin films can be at least one order of magnitude lower than those for SiO2, which historically has been the material of choice for dielectric layers. Dense oxides such as SiO typically have hardness values around 10 GPa and elastic moduli of approximately 70 GPa. In contrast, OSG materials typically have hardness values and elastic moduli that are about an order of magnitude lower than SiO2. This disparity in mechanical properties between conventional SiO2 and OSG materials has been a concern from the standpoint of integration of OSG materials into a commercially viable device production methodology. Moreover, most current schemes for creating porous OSG insulating films require the use of spin-on materials. Spin-on deposition involves delivery of an organosilicate material to a substrate in a liquid solution. The substrate (e.g., a wafer) is coated with the solution and rapidly spins to uniformly distribute the material on the surface. A low temperature bake completes the solidification.
Very low dielectric films can be obtained with OSG by modifying the spin-on process to employ biphasic materials consisting of a bulk matrix phase (e.g., an organosilicon material), which provides structural rigidity to the film, and a porogen, or pore forming material. The porogen is generally thermally degradable and removed after spin casting via an annealing step. However, the mechanical properties of such ultra-porous OSG films are often unsatisfactory.
The use of a spin-on process to fabricate thin OSG films has numerous disadvantages, including incompatibility with the other vacuum-based processes used in semiconductor fabrication, single wafer processing requirements, environmentally dangerous reagents, and production of large amounts of solvent/material waste. In addition, studies have shown that low dielectric materials that are formed using CVD are mechanically more robust and produce better results when used in chemical mechanical polishing (CMP) and flip chip bonding.
Hence, there exists a need for better methods of producing low dielectric materials with robust mechanical properties. Techniques that would permit deposition of porous OSG films by CVD methods, rather than wet deposition, would also satisfy a long felt need in the art.
SUMMARY OF THE INVENTION Methods are disclosed for fabricating organosilicate glass (OSG) films that have both a low dielectric constant and superior mechanical strength are disclosed. Cyclic siloxane OSG precursors, such as l,3,5-trivinyl-l,3,5-trimethylcyclotrisiloxane (V3D3), are used in conjunction with a mild oxidant to partially oxidize the cyclic structures leading to the formation of silanol groups. The silanol groups can be subsequently condensed to form a porous OSG film.
In one aspect of the invention, a method is disclosed for the pulsed-plasma chemical vapor deposition of cyclic siloxane precursors and a mild oxidant followed by a subsequent condensing step to produce porous OSG films with improved mechanical properties. A mild oxidant is used in the reaction mixture, leading to the formation of silanol (Si-OH) groups that can be condensed to form a rigid matrix in a post-processing step. The mild oxidant can be an oxidant, or oxidizing species, that avoids the formation of a pure oxide species, such as Si02. In other words, the mild oxidant does not result in the complete and total oxidation of the organosilicon monomer species to silicon dioxide, which is not intended to be produced. Examples of mild oxidants that can be employed in accordance with the invention include water, primary alcohols, peroxides, N O, or other suitable oxidizing species. In a preferred embodiment, water is used as the mild oxidant. In accordance with the invention, subsequent condensing, i.e., annealing, of the films results in condensation of proximal Si-OH groups, further generating an Si-O-Si network and strengthening the film.
In one embodiment, cyclic alkyl substituted siloxane precursors can be used.
Non-limiting examples of cyclic alkyl substituted siloxane precursors include 1,3,5- trivinyl-l,3,5-trimethylcyclotrisiloxane (V3D3), 1,3,5,7-tetramethylcyclotrisiloxane (H4D4), 1,1,3, 3,5, 5-hexamethylcyclotrisiloxane, 1,3, 5-triethyl-l, 3,5- trimethylcyclotrisiloxane, and vinylalkylsiloxanes (Chemical structures are shown in Scheme 1.) In a preferred embodiment, l,3,5-trimethyl-l,3,5-triviny_cyclotrisiloxane
(V3D ) is used as the organosilicon (OSG) monomer species. Other examples of monomers that can be employed in accordance with the invention include the class of compounds known as vinylalkylsilanes (SiRxVi .x, where R=alkyl and Vi=Vinyl), vinylalkylsiloxanes (SixOx^RyViz), or other silicon species with at least one silicon-vinyl bond. In another aspect of the invention, the properties, such as -OH content, hardness, and thermal stability, of the resultant film can be varied by adjusting the plasma duty cycle and/or power density. The plasma in the PECVD reactor can be in pulsed mode or continuous mode. The RF power density can be set between about 0.07 W/cm2 and about 2.6 W/cm2. The peak power can be set to between about 200 W and about 500 W. The duty cycle can be selected such that the percentage of time that peak power is applied is between about 1% and about 50%. FTIR analysis of experimental results showed increasing OH content with increasing plasma duty cycle. Nano-indentation results confirmed increasing hardness with duty cycle, with the 10/40 annealed sample having a hardness value of 0.527 GPa. These results can be explained within the context of the Continuous Random Network theory and percolation of rigidity arguments. Thermal stability was excellent, with a best-case thickness retention of 99.25% after a two hour anneal at 400 °C under N2.
In one aspect, the invention provides a method for the fabrication of thin films with low dielectric constants. The dielectric constants for the annealed films can range from about 4 to about 1. The dielectric constant for the annealed film is preferably less than about 3, more preferably less than about 2.9, and most preferably less than about 2.6. The dielectric constant of the films can be designed based upon its desired use.
In another aspect, the invention provides a method for the fabrication of porous dielectric material through the use of alkyl substituted siloxane precursors and degradable porogens. The moderate power involved is amenable to inclusion of a porogen species, opening the possibility of using this methodology to generate an all-
CVD porous thin film with adequate mechanical properties. The porous material can have a dielectric constant from about 4 to about 1, preferably from about 3 to about 1 , and most preferably from about 2 to about 1. In a preferred embodiment, V3D3 can be used as the precursor and a mild oxidant can be used to produce thin films with significant OH content. Coupled with the promising electrical and thermal properties, the V3D3/H2O system is especially appealing when extended to include co-deposition with a thermally sensitive porogen precursor for the creation of a CVD porous thin film. The porosity of the OSG films can be increased through the incorporation of a porogen that is ultimately removed through an annealing step. In one embodiment, poly(alpha-methylstyrene) (PaMS) can be used as a potential sacrificial material or porogen in the formation of porous thin films. A porous thin film can be created using a suitable porogen material with thermal lability, low decomposition temperature, and minimal residue left behind. A method is disclosed for the synthesis of poly(alpha- methylstyrene) (PaMS) using plasma-enhanced chemical vapor deposition (PECVD). The resultant PECVD PaMS is chemically and spectroscopically similar to commercially available PaMS polymerized by conventional means. PaMS is of interest due to its low decomposition temperature and the fact that its primary decomposition pathway is a reversion to monomer. The porogen and the OSG precursor can be deposited simultaneously or sequentially.
BRIEF DESCRIPTION OF THE FIGURES
Figure 1 is a graph of fourier transform infrared spectroscopy (FTIR) Spectra of films deposited from V D3/H2O at three different duty cycles;
Figure 2A depicts the FTIR detail of the Si-(CH3)X stretching region from 1240 cm"1 to 1300 cm"1 for V3D3/H2O films as deposited;
Figure 2B depicts the FTIR detail of the Si-(CH3)X stretching region from 1240 cm"1 to 1300 cm"1 for annealed V3D3/H2O films;
Figure 3 A depicts FTIR spectra of the Ox-Si-(CH3)y stretching region for a 10-
390 V3D3/H20 film as-deposited and post-anneal;
Figure 3B depicts the shift from 'D' to 'T' functionality in the FTIR spectra of the Ox-Si-(CH3)y stretching region for a 10-390 FTIR V3D3/Argon film as deposited and post anneal;
Figure 4 is a plot of displacement into surface versus hardness for V3D3 + H2O films with different duty cycles; Figure 5 is a plot of displacement into Surface versus elastic modulus for V3D3 + H2O films at different duty cycles;
Figure 6A is an FTIR spectrum of low molecular weight (LMW) PaMS standard,
Figure 6B is an FTIR spectrum of 10/90 pulsed plasma-enhanced CVD (PPECVD) sample;
Figure 6C is an FTIR spectrum of 40 W continuous wave plasma-enhanced CVD
(CW PECVD) sample;
Figure 6D is an FTIR spectrum of 10/10 PPECVD sample;
Figure 6E is an FTIR spectrum of 200 W CW PECVD sample;
Figure 7A is the 1650 cm"1 to 2000 cm"1 region of an FTIR spectrum showing the aryl ring overtone signature of LMW PaMS standard;
Figure 7B is the 1650 cm"1 to 2000 cm"1 region of an FTIR spectrum showing the aryl ring overtone signature of the 10/90 PPECVD sample;
Figure 8A is an FTIR spectra of LMW PaMS standard;
Figure 8B is an FTIR spectra of 10/90 PPECVD sample;
Figure 8C is an FTIR spectra of 40 W CW PECVD sample;
Figure 8D is an FTIR spectra of 10/10 PPECVD sample;
Figure 8E is an FTIR spectra of 200 W CW PECVD sample;
Figure 9A is a 13C solid-state CP-MAS NMR spectra of LMW standard PaMS; Figure 9B is a 13C solid-state CP-MAS NMR spectra of high molecular weight (HMW) standard PaMS;
Figure 9C is a 13C solid-state CP-MAS NMR spectra of pulsed-plasma (10/90) deposited PaMS;
Figure 10A depicts the detail of aliphatic carbon chemical shift region for LMW standard PaMS;
Figure 10B depicts the detail of aliphatic carbon chemical shift region for HMW standard PaMS;
Figure 10C depicts the detail of aliphatic carbon chemical shift region for pulsed- plasma (10/90) deposited PaMS;
Figure 11A is a thermal stability trace for 10/90 PPECVD aMS sample;
Figure 1 IB is a thermal stability trace for 10/10 PPECVD aMS sample; and
Figure 12 is a graph of the pore size distribution for two PPECVD V3D3/H20 films demonstrating the fractional porosity occupied by voids of a particular spherical pore diameter.
DETAILED DESCRIPTION OF THE INVENTION
The invention provides methods for the production of a porous thin film with an all-CVD process, rather than the conventional spin-on processes. This CVD processing enables seamless compatibility with existing toolsets, lower environmental impact, and less solvent/material waste than with conventional spin-on processes. More specifically, the invention provides precursors and CVD process conditions that overcome the seemingly incompatible deposition requirements of matrix and porogen species to be employed for producing a porous film. The low dielectric constant films of the present invention are produced using a plasma-enhanced CVD (PECVD) system. Within the PECVD chamber, the cyclic siloxane precursors are delivered onto the substrate along with a mild oxidant. The mild oxidant can be delivered either at the same time or following the deposition of the cyclic siloxane precursors. The term "substrate" as used herein refers to a material having a melting temperature that is at least about 300°C, preferably above 350 °C, and more preferably above 400 °C, and most preferably above 450 °C. Suitable substrates comprise, but are not limited to, silicon, silicon dioxide, silicon-germanium, glass, silicon nitride, ceramics, aluminum, copper, and gallium arsenide. The mild oxidant partially oxidizes the cyclic siloxanes, thereby converting the cyclic structures into silanol groups. The use of the mild oxidant allows control of the organic content and the steric effect of the organic groups in the OSG films. Following deposition, the silanol groups are condensed to form an OSG film. This condensing step, i.e., annealing, allows the silanol groups to react or polymerize on the substrate, thereby forming the
OSG film.
This invention decouples the creation of a mechanically robust matrix from the deposition step. The creation of the matrix from methyl substituted siloxane precursors is part of the post-processing step. A mild oxidant, such as water, is used as an oxidizing species in the reaction mixture, leading to the formation of silanol (Si-OH) groups that can be condensed to form a rigid matrix in a post-processing step. This method translates the deposition space to a regime where porogen deposition is favorable and enhances the mechanical properties of the matrix.
I. Pulsed PECVD
In a plasma-enhanced CVD (PECVD) system, a precursor gas is fed into a reaction chamber at low pressure where it is exposed to a large electric field. This excitation results in fragmentation of the precursor and generates plasma, consisting of electrons, ions, and reactive neutral species. These reactive species recombine in various forms to create a thin film of material on the target substrate. In a continuous- wave (CW) PECVD system, the electric field is applied for the entire duration of the reaction. Depending on the peak power applied, the typical result is significant fragmentation of the precursor molecule. As the peak power is increased, the chemical composition and structure of the resulting thin film bears less and less resemblance to the starting material and is often highly branched or crosslinked due to the high degree of fragmentation. This branching can be advantageous if the ultimate goal is a highly crosslinked structure. One of the primary disadvantages of the CW PECVD technique is that the growing thin film is exposed to the plasma throughout the deposition process. This can lead to fragmentation and branching in the thin film itself, as well as the creation of defects and dangling bonds — non-terminated radical sites in the film. These dangling bonds and defects can react with atmospheric gases or other species upon exposure, resulting in undesired side reactions or chemical modifications, such as oxidation.
Another often-undesirable feature of CW PECVD depositions is the formation of significant quantities of powdered material in competition with or opposed to thin film growth. Powder formation has been attributed to rapid gas-phase polymerization of the precursor molecules. These gas-phase materials are often charged species, and as a result, they remain suspended in the plasma sheath, growing to macroscopic size until gravitational forces win out and the material precipitates out of the gas phase as a fine powder. Moving to a pulsed-plasma deposition regime can mitigate some of these disadvantages.
In pulsed-plasma enhanced CVD (PPECVD) the electric field is instead turned on and off at a discrete frequency, which results in the plasma only being present during a set fraction of the reaction time. The primary variable used to control the plasma timing is the duty cycle, which is defined as the ratio of the plasma on-time to the total pulse cycle time. Pulse timing is usually measured in milliseconds; for example, a 10-90 pulse timing would be 10 milliseconds on followed by 90 milliseconds off. This represents a duty cycle of 10%. It should be noted that it is possible to have the same duty cycle for a number of different pulse timings: a 10-90 pulse cycle timing and a 50-
450 pulse cycle timing both have a duty cycle of 10% even though the actual on and off periods are different. The pulsed nature of the plasma can provide a number of advantages over a continuously applied plasma. First, since the plasma is only present for a short period of time, the growing film is exposed to significantly less UV radiation and ion bombardment from the plasma. The reduced exposure lessens the formation of defects and dangling bonds in the growing film, which in turn reduces the probability of undesired side reactions and chemical modifications upon exposure. Pulsing the plasma also reduces the occurrence of gas-phase polymerization, since in the plasma off-time, the gas-phase reactants are not being stimulated, and additionally, the absence of the electric field results in no force to keep charged oligomeric products suspended in the gas phase. In short, material is never given the opportunity to grow to macroscopic size in the gas phase in the pulsed-plasma regime.
One final advantage of the pulsed-plasma technique is the finer control over reactive species that is possible. Pulsing the plasma results in only a small amount of RF energy being delivered to the precursor species at any one time. This means that the precursor molecule is not necessarily fragmented completely during the deposition step and also opens the possibility for selective activation. The ability to exert some control over reaction pathways becomes very important when considering the use of the PPECVD technique for deposition of polymeric materials that need to retain desired functionality, or using the technique to build a desired chemical functionality into a thin film.
II. Organosilicate Glass (OSG) Monomers
In one aspect of the invention, cyclic siloxane precursors are employed in the chemical vapor deposition (CVD) process to produce OSG's. Siloxanes are usually derived from partly substituted silicon chlorides, such as dichlorodimethylsilane,
SiCl2(CH3)2, since the replacement of the chlorines with oxygen links leads directly to cyclic and linear polymers (Noll, W. 1968 Chemistry and Technology ofSilicones (Academic Press)). Methyl cyclosiloxanes can be used as a starting material, precursor, for manufacture of linear siloxane polymers by ring-opening polymerization (Kendrick, T. C. et al. 1989 Siloxane polymers & copolymers, in The Chemistry of Organic Silicon
Compounds Eds S Patai & Z Rappoport (Wiley Interscience) p. 1289). Cyclosiloxanes can be functionalized by substituting different side groups, which can vary the properties of the resulting polymers. In one embodiment, cyclic alkyl substituted siloxane precursors can be used. Non-limiting examples of cyclic alkyl substituted siloxane precursors include 1,3,5- trivinyl-1 ,3,5-trimethylcyclotrisiloxane (V D3), 1,3,5,7-tetramethylcyclotrisiloxane (H4D4), l,l,3,3,5,5-hexamethylcyclotrisiloxane,l,3,5-triethyl-l,3,5- trimethylcyclotrisiloxane, and vinylalkylsiloxanes (Chemical structures are shown in Scheme 1.) In a preferred embodiment, l,3,5-trimethyl-l,3,5-trivinylcyclotrisiloxane (V3D3) is used as the organosilicon (OSG) monomer species. The monomer, 1,3,5- trimethyl-l,3,5-trivinylcyclotrisiloxane (Silar Laboratories, 98%) can be used without further purification. Other examples of monomers that can be employed in accordance with the invention include the class of compounds known as vinylalkylsilanes (SiRxVi .x, where R=alkyl and Vi=Vinyl), vinylalkylsiloxanes (SixOx.ιRyViz), or other silicon species with at least one silicon-vinyl bond.
Figure imgf000014_0001
1 ,3,5-trivinyl-l ,3,5-trimethylcyclotrisiloxane 1,3,5,7-tetramethylcyclotrisiloxane V3D3 H4D4
Scheme 1
The monomers are preferably volatilized and delivered to the reaction chamber through the powered showerhead assembly via a mass flow controller. The OSG monomers are fed at a flow rate of between about 1 seem and about 100 seem, or preferably at a flow rate of between about 1 seem and about 20 seem. A flow rate of about 2 seem was found to be a suitable for many applications. Certain combinations of flow rate and power can lead to powder formation versus thin film deposition, which is to be avoided. III. Oxidants
In another aspect of the invention, a mild oxidant is used to oxidize the cyclic siloxane precursors into silanol groups. The inclusion of an oxidant, such as water, as a reagent enables the formation of Si-OH moieties in the as-deposited films. These Si-OH groups can then undergo a condensation reaction during a subsequent annealing step in a manner similar to the network-forming reactions observed in spin-on OSG materials. The invention thereby provides a discovery that contrary to conventional wisdom, a mild oxidant, such as water, can also be employed in a plasma OSG system for the express purpose of inducing condensation chemistry in a subsequent annealing step. This reaction leads to the creation of additional Si-O-Si linkages which are responsible for the increased quantity of "T" groups and additional film crosslinking. "M," "D," "T," and "Q" are used to describe siloxane building blocks as depicted in Scheme 2. Scheme 3 depicts the condensation chemistry in an organosilicon network between two proximal silanol moieties. According to the invention, following mild oxidation, "T" and "Q" building blocks are preferentially obtained. These building blocks can then be used to form films with low dielectric constants that form network structures and therefore become mechanically rigid by limiting the degrees of freedom.
Figure imgf000015_0001
M D T Q
Scheme 2
Δ ~Si-OH + HO-Si~ ~Si-0-Si~ + H20 1
Scheme 3 In accordance with the invention, a mild oxidant is introduced with the monomer to the CVD deposition region, e.g., through the showerhead, through a side port in the main reactor assembly, or through another introduction site. A "mild oxidant" as used herein refers to an oxidant, or oxidizing species, that avoids the formation of a pure oxide species, such as SiO . In other words, the mild oxidant does not result in the complete and total oxidation of the organosilicon monomer species to silicon dioxide, which is not intended to be produced. The mild oxidant has the ability to access deposition spaces not previously accessible with the methyl substituted siloxane precursors making this method an attractive one for enhancing the mechanical properties of OSG films deposited at lower powers in the absence of a strong oxidant. Examples of mild oxidants that can be employed in accordance with the invention include water, primary alcohols, peroxides, N O, or other suitable oxidizing species. In a preferred embodiment, the mild oxidant is deionized water. The oxidant can be introduced with a flow rates of between about 5 seem and about 400 seem, or preferably between about 5 seem and about 30 seem. A flow rate of about 20 seem is usually suitable for many applications. The monomer to oxidant molar ratio can range from 1:1 to 1 : 100 depending on the desired application of the resultant film. A monomer is defined herein to be a single molecule of precursor gas, such as a cyclic siloxane molecule of V3D3. Preferred monomer to oxidant ratios range from 1 :5 to 1 : 20. Examples of monomer to oxidant ratios of 1:5, 1:10, and 1 :20 can be found in the Examples section.
It is found in accordance with the invention that powder formation, rather than thin film growth, can result when certain harsh oxidants, such as oxygen, are employed instead of a milder oxidant, such as water. Specifically, a harsh oxidant, as defined herein, may form a pure oxide species from the monomer, resulting in a film that does not include organic content because of this total oxidation of the organosilicon species. Thus, the use of a mild oxidant such as water, which in accordance with the invention does not result in total oxidation of the organosilicon species, is preferred. This preference is not only from the standpoint of increasing the hardness of the porous films, but also for enabling access to a deposition space previously inaccessible for thin film growth with this precursor. The invention provides processes for producing thin films of very low dielectric constant by way of -OH inclusion. The degree of -OH inclusion can be assessed either directly by FTIR or indirectly via optical and electrical measurements (See Examples). In one embodiment, the degree of incorporation of these groups can be adjusted by varying the pulsed plasma duty cycle. The degree of incorporation of these groups increases with increasing pulsed plasma duty cycle, Figure 2.
IV. Condensation In one embodiment of the invention, the film can be heated to condense the silanol groups and thereby remove the -OH groups. The film can be heated to a temperature less than 425°C for a duration between about 15 minutes to about 2 hours under inert atmosphere, under a nitrogen atmosphere or under vacuum conditions. For example, annealing of the film at about 400°C in a N2 atmosphere for about two hours successfully removes the -OH groups, as confirmed by FTIR (See Example II). FTIR analysis also confirms that condensation chemistry between proximal Si-OH groups is occurring during the annealing step, given that the V3D3/H2O films exhibit structural changes, such as enhanced Si-O-Si bonding and a shift from "D" to "T" type bonding environments, which are consistent with condensation chemistry and network forming reactions. Mechanical testing of experimental films produced in accordance with the invention revealed that both modulus and hardness were increased with increasing pulsed plasma duty cycle, consistent with a higher degree of oxidation in the films (see Example III).
Incorporation of the H2O into the film structure is readily apparent by the broad
-OH stretching band between 3200 cm"1 and 3700 cm'1. The Si-O stretch from the Si-O- H group also gives rise to a strong band observed between 920 cm"1 and 830 cm"1. Both bands increase in intensity with increasing pulsed plasma duty cycle, indicating a greater degree of -OH incorporation at higher applied powers (See Figure 1). This increase in Si-0 bonding is contrasted with a decrease in the intensity of bands associated with Si-C bonding in the 870 cm"1 to 750 cm"1 region, indicating that the OH groups are indeed bonding to the silicon atoms at the expense of some organic content. The Si-O-Si backbone absorbance appears between 1000 cm"1 and 1200 cm"1. The peak centered at approximately 1025 cm"1 increases in intensity with the increase in pulsed plasma duty cycle and is associated with longer chain siloxanes or the formation of a networked structure. The peak centered at approximately 1125 cm"1 appears as a shoulder on the main peak and also increases in intensity with increasing plasma duty cycle. This band is often assigned as the 'cage' structure similar to a silsesquioxane. An increase in these bands with increase in plasma duty cycle is consistent with the increase in other Si-0 specific bonding. Further increase in the intensity of these bands with annealing is evidence of Si-O-Si network and 'cage' formation during the annealing process.
The Si-(CH3)X symmetric stretching band occurs in the 1240 cm"1 to 1300 cm"1 region of the FTIR spectrum (See Figure 2). This band can vary in position based upon the degree of oxidation of the Si atom, with increasing oxidation shifting the band to higher wavenumbers. The three most basic possibilities for the configuration are designated as 'M', 'D', and 'T', reflecting either mono-, di-, or tri- substitution of the silicon atom by oxygen. These configurations are included in Table 1, along with their typical band position in the FTIR spectrum. In a plasma-deposited film, a mixture of these different configurations is possible. Figure 2A shows the as-deposited spectra for the three V3D3/H2O films. With increasing power, this band is shifted from a 'D' rich structure to a 'T' rich one, indicating the inclusion of more oxygen into the film and potential crosslinking. Figure 2B shows the spectra for the same three films after annealing. The peaks in Figure 2B have been shifted to higher wavenumbers with both increased duty cycle and annealing indicating a shift from 'D' to 'T' functionality and greater cross-linking. This significant shift from the 'D' rich structure to a more 'T'-like structure is especially distinct in the lowest duty cycle (10-390) sample. Together with the disappearance of the -OH bands in the high wavenumber region, this shift can be attributed to condensation chemistry occurring between proximal Si-OH groups as outlined above in Scheme I. This reaction leads to the creation of additional Si-O-Si linkages which are responsible for the increased quantity of 'T' groups and additional film crosslinking. Table 1. FTIR Assignments
Assignment Waveπumber Comment
OH 3700-3200 br
CH, 3000-2800 CH3 CH2 antisym and sym str
CH, I470-14 0 CH3 antisym bend, CH_ scι
SiCHj 1410 w, antisym str
OSiRj -1250 M group
O2S1R2 -1260 D group
O3S1R -1270 T Group
S1-O-S1 -1200-1000 Siloxane str
Si-OH 920-830 Silanol O-H str
S1CH3 870-750 s, CHj rock
In another aspect of the invention, moderate plasma power conditions are used. Deposition under moderate power conditions, rather than conventional high power conditions, allows for the concurrent deposition of matrix and porogen species for later removal of the porogen species and formation of a porous thin film.
V. Average Connectivity Number In another aspect, mechanical integrity can be enhanced by depositing a film with a higher average connectivity. As the average connectivity number moved towards the percolation threshold, the mechanical properties improve. Hence, depositing a film with an average connectivity number above the threshold enhances mechanical integrity. This phenomenon explains the similar mechanical performance of the 10/90 and 10/390 samples, since both had similar connectivity numbers and were below the percolation threshold (See Figures 4 and 5). The 10-40 V3D3/H2O annealed film performed best, having a high hardness value (averaged over the sample space) of 0.527 GPa and an average connectivity number of 2.39. For comparison, the annealed 10-390 V3D3/ Argon system had a connectivity number of 2.19 and an average hardness 0.239 GPa, which is just 45% of the 10-40 film's value. In one aspect of the invention, hardness and modulus can be predicted within the framework of the known 'Continuous Random Network' (CRN) and percolation of rigidity theories. The percolation of rigidity defines a compositional point in a network where the system transitions from an underconstrained (non-rigid) state to an overconstrained (rigid) one. Systems above the percolation threshold would thus be expected to have superior mechanical properties as compared to those below the threshold, owing to the increased structural constraints. The key parameter in this analysis is the average connectivity number, <r>, which is the average number of bonds per network forming atom. Network-forming atoms have two or more bonds to other network forming atoms, and atoms having only one bond, such as hydrogen, which do not contribute to the network are not counted in the analysis. It is known that the percolation of rigidity occurs at an average connectivity number of 2.4 for solids in which all atoms are able to form two or more bonds.
To determine the average connectivity number of porous films produced in accordance with the invention, it is necessary to determine the structural composition of the film. The Si-CHX region of the FTIR spectrum allows determination of the relative quantities of Si, O, and C bonding in the film, and as such, can be useful for a basic structural analysis. Spectral curve fitting of the Si-CHX band between 1240 cm"1 and
1300 cm"1 can resolve the relative contributions from the different structures ('D' groups vs. 'T' groups, etc.). Table II summarizes this curve fitting for the annealed V3D3/H O system. Note that the percentage of 'T' groups relative to 'D' groups increases with increasing duty cycle, which is consistent with increased oxygen content with increasing power.
Table II. FTIR Composition Fit Percentages and Connectivity Numbers
Avg.
% % Sample Connectivity #
'T' 'D'
<r>
10-40 Annealed 96 5 3 5 2 39
10-90 Annealed 86 13 6 2 35
10-390 Annealed 81 5 18 5 233
Silica - - 267 As an example, the average connectivity number for the 'T' group is 2.4. Silicon and oxygen are the network-forming species, while carbon, because it does not bond to structures outside the local 'T' structure, is considered non-network-forming. The silicon atom has three network- forming bonds (each to oxygen), and each oxygen forms two network bonds (one to the local silicon, and another to the silicon in the adjacent network node). To avoid double-counting, each oxygen is counted as one-half an atom in the analysis. The average connectivity number is thus the sum of the network-forming bonds (lSl x 3bonds + 1.50 x 2bo_ds) divided by the total number of atoms (lSl + 1.50). This analysis gives (6/2.5) = 2.4, as indicated previously. Performing a similar analysis for the 'D' group gives a connectivity number of 2, as would be expected for a linear structure without branch points. For comparison, the connectivity number for SiO , which is a fully networked structure, is 2.67. In general, for an OSG material with the structural formula SixOy(CH3)z, where all carbon atoms are assumed to be in the form of methyl groups bound to silicon, the average connectivity number can be given by equation 1 :
[(4x -z)+ 2y]
{ = l . Λ (1)
(x + y)
The relative fractions x, y, and z can be determined via appropriate film characterization techniques, such as XPS or FTIR, as in the present case.
The average connectivity number for the entire sample is then the sum of the weighted contributions from each of the major network-forming species. Multiplying the connectivity number of each group type by its relative abundance as determined by
FTIR analysis gives the average connectivity number for the annealed V D /H2O samples. Results are again summarized in Table II. The 10/40 annealed sample was found to have an <r> value of approximately 2.39, which is extremely close to the percolation threshold value of 2.4. The 10/90 and 10/390 samples were found to have <r> values of 2.35 and 2.33, respectively. These values correlate well with the observed trends in hardness and modulus, confirming that as the connectivity number approaches the percolation of rigidity point, there is a marked improvement in mechanical properties. It is understood in accordance with the invention that for various CVD deposition parameters and selected chemistries, thin porous films in accordance with the invention and having <r> greater than 2.4 can be achieved, thereby provided further enhancement in mechanical properties over the experimental results described above.
Thermal properties of all of the experimentally prepared films were excellent, with >98% thickness retention for all samples, showing that no bulk decomposition or shrinkage of the matrix occurs, a characteristic that is preferable for a matrix/porogen- based process like that of the invention. Optical properties of experimentally prepared films, as measured via spectroscopic ellipsometry, indicated that the annealed V3D3/H2O samples were less dense than their as-deposited counterparts. As-deposited indices of refraction dropped from an average of approximately 1.47 to an average value of approximately 1.43 after annealing. This is indicative of an increase in free volume and reduction in density that may occurring due to the structural rearrangement and Si-O-Si network forming. This same trend was not observed in the V3D3/Argon sample, where the index of refraction only decreased to 1.454 from an as-deposited value of 1.475. This is due to the fact that the V3D3/Argon system is not undergoing the same structural rearrangements as the V3D3/H O samples. Post-anneal electrical properties compare favorably with those of known dense OSG materials, with the 10-40 V3D3/H2O sample
(best mechanical properties) having a post-anneal k-value of 2.90.
According to the invention, pulsed-plasma chemical vapor deposition of V3D3/H2O and subsequent annealing of the films is discovered in accordance with the invention to produce an improvement in the mechanical properties of porous films as compared to films deposited without a mild oxidant such as water. This fact, combined with the ability to access deposition spaces not previously accessible with the V3D3 precursor, make this method an attractive one for enhancing the mechanical properties of OSG films deposited at lower powers in the absence of a strong oxidant. Coupled with the promising electrical and thermal properties, the V3D3/H20 system is especially appealing when extended to include co-deposition with a thermally sensitive porogen precursor for the creation of a CVD porous thin film. VI. Poly alpha-methylstyrene (PaMS): Using Continuous- and Pulsed-Plasma CVD
Methods are also provided to form thin films deposited from pure alpha- methylstyrene via both continuous- and pulsed-plasma chemical vapor deposition. FTIR and solid-state NMR speetroscopies (See Example VI) were used to demonstrate that the lower power depositions produced plasma polymers with structures virtually identical to that of a traditionally polymerized material. Films deposited at higher powers under both continuous and pulsed conditions showed a marked loss of structural similarity with both the monomer and traditionally polymerized PaMS, and were more cross- linked than their lower power analogs, as evidenced by FTIR spectroscopy. Aging of the polymers at ambient conditions for one month revealed the incorporation of oxygen into the film structure. Samples prepared under pulsed-plasma conditions primarily showed inclusion of hydroxyl (OH) and carbonyl (C=O) groups. Films deposited under CW plasma conditions also showed hydroxyl and carbonyl inclusion, as well as a strong C-O-C band whose formation can be attributed to the higher concentration of radical sites in the CW samples as compared to the pulsed-plasma samples (see Example V). All modes of oxygen incorporation are consistent with literature data on oxidation pathways.
In another aspect of the invention, the properties of the resultant film can be controlled by varying the plasma power and/or deposition mode (continuous or pulsed). For example, the annealing conditions can be kept the same and the plasma power can be varied. As shown in Example VII, samples were also annealed for two hours at 400 °C under nitrogen. Annealing the samples resulted in different degrees of film loss, with the samples deposited at lower powers showing the most promising decomposition results. The low power pulsed-plasma film had 9% film residue remaining post-anneal, and the low power CW film was completely removed, leaving bare silicon. Both of the high power samples retained approximately 40% of their original thickness post-anneal, supporting the FTIR conclusion that films produced at higher powers are significantly more cross-linked. This also demonstrates that tunable properties are possible with the choice of plasma power and deposition mode (continuous or pulsed). Methods for fabricating thin films deposited from pure alpha-methylstyrene via both continuous- and pulsed-plasma chemical vapor deposition are disclosed. FTIR and solid-state NMR speetroscopies show that the lower power depositions, i.e. less than 150W or preferentially less than 50W, produced plasma polymers with structures virtually identical to that of a traditionally polymerized material (See Examples V, VI, and VII). Films deposited at higher powers, i.e., greater than 150 W or preferentially greater than 190 W, under both continuous and pulsed conditions showed a marked loss of structural similarity with both the monomer and traditionally polymerized PaMS, and were more cross-linked than their lower power analogs, as evidenced by FTIR spectroscopy. Aging of the polymers at ambient conditions for one month revealed the incorporation of oxygen into the film structure. Samples prepared under pulsed-plasma conditions primarily have inclusion of hydroxyl (OH) and carbonyl (C=O) groups. Films deposited under CW plasma conditions also have hydroxyl and carbonyl inclusion, as well as a strong C-O-C band whose formation can be attributed to the higher concentration of radical sites in the CW samples as compared to the pulsed- plasma samples. All modes of oxygen incorporation are consistent with literature data on oxidation pathways.
Comparing samples produced under CW versus pulsed conditions, the primary difference is the presence of a strong C-0 band at approximately 1100 cm"1 in the CW samples (Figures 8C and 8E). This band is present in the pulsed-plasma samples (Figures 8B and 8D), but at a significantly reduced intensity. Typically, this band could be assigned to the C-C-O stretching vibration in the C-C-O-H complex, but this does not explain the disproportionate intensity between the CW and pulsed-plasma samples, considering that the O-H intensity is not vastly different between the two sets of spectra. Given that the reaction of an alkyl radical, R«, with molecular oxygen, O2, results in the formation of a radical RO2 «, we can consider the following mechanism for radical termination in the film:
R- + O2 RO2 »
R« + R02 « R-O-O-R
RO2' + RO2 « R,R2C=O + R,R2CH-OH + O2 This accounts for the presence of the carbonyl and hydroxyl species in the film. However, if we also consider the fact that the continuous plasma films should have a higher radical density (R«) due to their deposition conditions, we can also consider the following:
R« + O2 R02 «
2 R02« 2 RO« + O2
RO* + R« R-O-R
This would account for the strong apparent presence of C-O bonding in the continuous plasma films without the additional increase in O-H bonding.
The FTIR analysis (See Example V) shows that the input power and type of excitation (continuous or pulsed) have a significant effect on the structure of the final polymer film, with higher power samples showing a marked decrease in retention of monomer structure. Lower power samples show a much better correspondence with the structure of traditionally polymerized materials, with the 10/90 pulsed plasma sample showing the best match to the standard sample. Differences in aging upon exposure to atmosphere were also evident based upon deposition mode.
The incorporation of oxygen into the film structure upon exposure to atmosphere did not appear to have a significant effect on the thermal decomposition of the films. The greatest effect was from plasma power, with the two higher power samples showing a significant film residue after the annealing step. Both of the low power samples
(pulsed and continuous) showed desirable decomposition characteristics, with the 10/90 PPECVD sample exhibiting approximately 9% film residue. The 40 W CW sample showed 0% film residue post anneal, and the surface was indistinguishable from bare silicon via ellipsometry. As noted earlier, the low decomposition temperature and minimal film residue post-anneal for the low power samples make them excellent candidates for sacrificial layers or porogens. Additionally, it appears that varying the deposition conditions allows a range of decomposition temperatures to be accessed, which could be a valuable feature in the potential integration into a multicomponent system. It is unclear at this time whether this difference between the low power samples is due to some small structural difference or simply to the discrepancy in original thickness between the samples. Results are summarized in Table 8.
VII. Porogens
The PECVD processes of the present invention can further be employed to deposit a porogen species having characteristics like that of counterpart conventionally produced species, thereby enabling co-deposition of a matrix monomer and a thermally sensitive porogen precursor for the creation of a CVD porous thin film. Specifically, plasma-enhanced chemical vapor deposition from alpha-methylstyrene can be employed as a dry method for synthesizing directly patternable sacrificial materials for generating pores or air dielectric layers. Films deposited at low power excitation (40 W) are found to decompose between 65 °C and 75 °C, leave minimal residue following a 400 °C anneal for 2 hours, and have spectroscopic signatures of linear poly(alpha- methylstyrene) (PaMS) as determined by NMR and FTIR. Increased plasma excitation power leads to crosslinking and increased residue after annealing. All experimentally produced films were found to contain hydroxyl and carbonyl groups after exposure to air. Significant C-O-C inclusion was found to occur under continuous plasma excitation but not under pulsed-plasma conditions.
As noted above, plasma-enhanced chemical vapor deposition (PECVD) can enable production of thin films of poly(alpha-methylstyrene) (PaMS) that are chemically and spectroscopically similar to commercially available PaMS polymerized by conventional means. PaMS is of interest due to its low decomposition temperature and the fact that its primary decomposition pathway is a reversion to monomer, as well as its potential use as a photoresist material. PaMS and some of its chlorinated derivatives have been shown to be sensitive to e-beam lithography. Additionally, there has been shown a chlorinated PaMS derivative that is sensitive to optical irradiation at a wavelength of 254 nm. The primary mechanism of decomposition was main chain scission. These characteristics make it a promising candidate for use as a potential air gap material, or porogen, a pore-forming species in a porous thin film. PaMS can be used as a porogen with the methods of this invention to produce films with increased porosity and a decreased dielectric.
In forming ultra-porous OSG films by PECVD, the matrix must be structurally rigid as well as minimally bonded to the porogen species in order to avoid structural collapse of a porous thin film matrix after the removal of the porogen. Typically, it has been understood that the production of a rigid or hard matrix requires the use of high input powers and substantial amounts of oxygen as a cross-linking agent. However, these conditions are highly unfavorable for the successful deposition of the porogen species, which often require specific chemical moieties to be retained in order to preserve their thermally labile quality. High plasma powers and oxygen content will often destroy these moieties and alter chemical functionality. Hence, lower power densities (e.g., less than about 150W) are typically desirable.
The term "porogen" as used herein refers to a pore forming material that is degradable. The porogen can be thermally degradable such that upon heating to the material's decomposition temperature will decompose and diffuse. The porogen decomposition temperature should be sufficiently high to permit standard film preparation yet below the transition temperature of the surrounding matrix. Porogens should have a decomposition temperature of at least about 200 °C, preferably above about 300 °C, more preferably above 350 °C, and most preferably above 400 °C. Alternatively, the porogen can be degraded upon exposure to radiation at an appropriate wavelength or upon treatment in a reactive plasma. The porogen monomer should preferentially react with itself to form a separate domain from the OSG matrix material, resulting in minimal covalent bonding between the matrix and the porogen phases. This facilitates the easy removal of the porogen during the post-processing step. Detailed description of porogen monomer incorporation is in the Examples section.
Porogens are pore forming materials having a lower decomposition temperature than the surrounding matrix, such that upon heating or irradiation the porogens decompose resulting in the formation of pores. Removal of the porogen species can be achieved in a number of ways. Porogen decomposition can efficiently be accomplished, for example, through heating, annealing, irradiation by photons or electrons, volatilization, sublimation, or exposure to a plasma. For example, heating in a nitrogen atmosphere for 2 hours at 400 °C is typically sufficient for many material combinations. Alternatively, porogens that are sensitive to radiation can be exposed to the corresponding wavelength radiation to degrade the polymer. Treatment of film in a reactive plasma can also be employed to selectively remove the porogen species.
Any labile organic polymer can be used as a porogen. The material used for the porogen depends upon the desired product. Polymeric porogens, such as polymethylmethacrylate, polyalphamethylstyrene, and polystyrene, are preferred. Suitable porogens comprise decomposable polymers, including not only linear, branched and crosslinked polymers and copolymers, but also crosslinked polymeric nanoparticles with reactive surface functionality. Preferably, the porogen is a polymer comprised of monomer units selected from the group consisting of styrene, halogenated styrene, hydroxy-substituted styrene, lower alkyl-substituted styrene, acrylic acid, acrylamide, methacrylic acid, methyl acrylate, ethyl acrylate, butyl acrylate, polyacrylate, ethylene oxide, propylene oxide, poly(methyl methacrylate) (PMMA), polystyrene and poly(alpha-methyl styrene) and combinations thereof. Additional polymers that may serve as the porogen herein include, but are not limited to, aliphatic polycarbonates such as poly(propylene carbonate) and poly(ethylene carbonate); polyesters; polysulfones; polylactides; polylactones. The porogen may be a homopolymer, or it may be a copolymer comprised of any of the foregoing monomeric materials, e.g., poly(styrene- co-alpha-methyl styrene), poly(styrene-ethylene oxide), poly(ether-lactones), poly(ester- carbonates), and poly(lactone-lactides). Most preferably, poly(alpha-methylstyrene)
(PaMS), poly(methyl methacrylate) (MMA), poly(oxymethylene) (POM), or poly(alkylcarbonates) can be used as the porogen species.
Once produced, the resultant porogens can be used to create an ultra-porous material. For example, the present invention can be used in conjunction with U.S. application No. 10/624,959 entitled "Porous Material Formation by Chemical Vapor Deposition onto Colloidal Crystal Templates" filed July 22, 2003. This invention is further illustrated by the following examples, which should not be construed as limiting. The contents of all references, patents and published patent applications cited throughout this application, are incorporated herein by reference.
EXAMPLES
I. Materials and Methods A. Materials 1 ,3,5-trimethyl-l,3,5-trivinylcyclotrisiloxane (V3D3) (Silar Laboratories, 98%) was used as the organosilicon (OSG) monomer species without further purification. Water (deionized, reagent grade, Ricca Chemical Company) was employed as the mild oxidant. Alpha-methylstyrene (Aldrich, 99%) was used as the monomer species without further purification. The monomer was volatilized in a metal crucible and delivered to the reaction chamber through the powered showerhead assembly via an MKS 1 153A
Low Vapor Pressure mass flow controller at a flow rate of approximately 2 seem. Argon, at a flow rate of approximately 3 seem, was also fed into the chamber via the showerhead.
B. Production of porous OSG Films
Production of a porous OSG film in accordance with the invention was carried out in a vacuum chamber similar to those described in U.S. Patent No. 6,156,435; U.S. Patent No. 6,153,269; and U.S. Patent No. 5,888,591. Substrates consisted of 100-mm- diameter silicon wafers. Chamber pressure was controlled by a butterfly valve connected to an MKS model 252-A exhaust valve controller and was maintained at approximately 250mTorr - 300 mTorr. Depositions are preferably performed between about 10 mTorr and about 1 Torr total pressure. Plasma excitation was achieved via a 13.56 MHz RF source and attached matching network. The plasma was capacitively- coupled, with a powered upper electrode/showerhead and a grounded lower electrode, which also acted as the substrate stage. Plas a excitation can be either continuous or pulsed. For continuous plasma deposition, power densities between about 0.07 W/cm2 and about 2.6 W/cm2 are preferably employed, with a power density of about 0.26 W/cm2 found to often be suitable. For continuous-wave (CW) experiments, input power was varied between about 200 W to about 500 W. Polymerization under CW conditions resulted in a significant amount of visible gas-phase polymerization in the plasma sheath, which precipitated out of the gas phase as a fine white powder. For pulsed-plasma deposition, similar power densities can be used with various duty cycles. Peak power was held constant at 400 W. Herein duty cycle is meant as a ratio of plasma on-time/total cycle time, where both numbers are given in milliseconds. To facilitate comparison, the percentage of time that peak power is applied follows in parenthesis. Any duty cycle value between about 1% and about 50%, achieved via any ratio is in general acceptable. Duty cycles of about 10/10 (50%), about 10/40 (20%), about 10/90 (10%), and about 10/390 (2.5%) can be suitable for many applications. Duty cycles of were chosen so that they could be compared, in an equivalent power sense, to the experiments performed under CW conditions. Deposition times can range from about several minutes to over an hour, depending upon the power conditions used, as will be recognized. Deposition times used in the experiments ranged between 3.5 and 10 minutes, depending upon the power conditions used.
C. Fourier Transform Infrared Spectroscopy (FTIR) Analysis
Since one aspect of this invention is to create a plasma polymer that is as similar to a traditionally polymerized polymer as possible, FTIR analysis was used to screen the plasma polymerized samples. Those samples that did not correlate well with the standard PaMS sample (Nicolet Polymer Spectra Database) were not carried on for further analysis.
FTIR analyses of films produced in accordance with the process conditions described above were carried out on a Thermo Nicolet Nexus 870 ESP spectrometer in transmission mode. Spectra were baseline corrected and typically taken at 4 cm"1 resolution averaged over 64 scans. All spectra were normalized to a thickness of 1500 A using Beer's Law. D. Variable Angle Spectroscopic Ellipsometry (VASE) Analysis
Variable Angle Spectroscopic Ellipsometry (VASE) was performed using a J.A. Woolam M-2000 spectroscopic ellipsometer, employing a xenon light source. Data were acquired at three angles (65°, 70°, and 75°) and 225 wavelengths, and the resulting data fit using the Cauchy-Urbach model.
E. Thermal Stability Analysis
Thermal stability analysis was performed using the ITS (Interferometry for Thermal Stability) apparatus. Samples were heated to 400 °C for two hours under a nitrogen atmosphere and then quenched to room temperature and analyzed.
F. Electrical A nalysis
Electrical measurements were performed using a Mercury probe instrument from MDC. The Hg spot size was 790 microns, and three C-V measurements were performed for each sample studied, k values were calculated based on the average saturation capacitance values obtained, the film thickness (as determined by ellipsometry), and the Hg spot size.
G. Mechanical Analysis
Mechanical properties were measured by the MTS Nano Instruments Innovation Center in Oak Ridge, TN. Measurements of hardness and elastic modulus were performed using a Nano Indenter® DCM and MTS' patented Continuous Stiffness Measurement (CSM) technique. With this technique, each indent gives hardness and elastic modulus as a continuous function of the indenter's displacement into the samples.
Ten indentations were performed on each sample. Loading was controlled such that the loading rate divided by the load was held constant at 0.05/sec. Experiments were terminated at a depth of approximately 500 nm. The tip used for these experiment was a Berkovich diamond tip. As a control, a sample of fused silica (amorphous SiO2) was also tested. Samples were approximately 1 μm in thickness to minimize any effect of the substrate on the measurements. Samples were mounted for analysis by the following procedure: Crystalbond®, a low-melting-temperature wax, was used to adhere a standard microscope slide to an aluminum stub. The stub and slide were allowed to come to room temperature. Five- minute epoxy was used to adhere the test sample to the microscope slide, taking care to ensure that the test area sat over the aluminum stub.
H. NMR Analysis
13C solid-state NMR analysis was performed using a customized spectrometer, consisting of a 6.338 T Oxford superconducting magnet and a 3.2-mm Chemagnetics magic angle sample spinning (MAS) probe. Approximately 12 mg of sample, collected from a number of identical runs, was scraped off of the silicon wafers and packed into a zirconia rotor of 11 mm3 internal volume. Both low molecular weight (LMW, M„=790) and high molecular weight (HMW, Mn=4.0xl03) PaMS standards from Aldrich chemical were also ground into powders and packed into additional rotors for spectral comparison. Sample spinning at the magic angle of 54.7° was performed to mitigate spectral broadening. Sample spinning speed for 13C experiments was 15 kHz.
13C NMR experiments were performed with proton cross-polarization (CP) to enhance the signal and resolution. The Η-13C CP time was 3 ms, and the 90° pulse width was 1.3 μs. Time between successive scans was 30 seconds, and spectra were typically acquired for 8-12 hours. 13C spectra were externally referenced to tetramethylsilane (TMS).
/. Differential Scanning Calorimetry
Differential scanning calorimetry was carried out on a Perkin Elmer Pyris 1 Model DSC using the plasma polymer sample left over from NMR analysis, as well as the LMW and HMW PaMS standards (with known Tg's) from Aldrich. Samples'w ere prepared in 10 mL aluminum pans and heated between 25 °C and 100 °C at a heating rate of 10 °C/min. The DSC was calibrated using Indium, with a known Tg of 156.8 °C. II. FTIR Analysis of V vΗiO Films Deposited at Various Pulsed Plasma Duty Cycles
Figure 1 shows the FTIR spectra of V3D3/H2O films deposited in accordance with the invention at three pulsed plasma duty cycles. Incorporation of the H2O into the film structure is readily apparent by the broad -OH stretching band between 3200 cm"1 and 3700 cm"1. The Si-O stretch from the Si-O-H group also gives rise to a strong band observed between 920 cm"1 and 830 cm"1. Note both bands increase in intensity with increasing pulsed plasma duty cycle, indicating a greater degree of -OH incorporation at higher applied powers. This increase in Si-0 bonding is contrasted with a decrease in the intensity of bands associated with Si-C bonding in the 870 cm"1 to 750 cm"1 region, indicating that the OH groups are indeed bonding to the silicon atoms at the expense of some organic content. The Si-O-Si backbone absorbance appears between 1000 cm"1 and
1200 cm"
The rightmost peak, centered at approximately 1025 cm"1, increases in intensity with the increase in pulsed plasma duty cycle and is associated with longer chain siloxanes or the formation of a networked structure. The leftmost peak, centered at approximately 1125 cm"1, appears as a shoulder on the main peak and also increases in intensity with increasing plasma duty cycle. This band is often assigned as the 'cage' structure similar to a silsesquioxane. An increase in these bands with increase in plasma duty cycle is consistent with the increase in other Si-O specific bonding. Further increase in the intensity of these bands with annealing is evidence of Si-O-Si network and 'cage' formation during the annealing process.
Figure 2 details the 1240 cm"1 to 1300 cm"1 region of the FTIR spectrum, where the Si-(CH3)X symmetric stretching band occurs. Figure 2B shows the spectra for the same three films after annealing. The peaks in Figure 2B have been shifted to higher wavenumbers with both increased duty cycle and annealing indicating a shift from 'D' to 'T' functionality and greater cross-linking. This significant shift from the 'D' rich structure to a more 'T'-like structure is especially distinct in the lowest duty cycle (10- 390) sample. Together with the disappearance of the -OH bands in the high wavenumber region, this shift can be attributed to condensation chemistry occurring between proximal Si-OH groups as outlined in Scheme I. This reaction leads to the creation of additional Si-O-Si linkages which are responsible for the increased quantity of 'T' groups and additional film crosslinking.
Figure 3 A shows the as-deposited and post anneal spectra of the 1240 cm"1 to 1300 cm"1 region for a film deposited from V3D3 and H20 at a duty cycle of 10-390. For comparison, Figure 3B shows the same region for a film deposited under the same conditions with argon substituted for water. Note that the sample deposited in the absence of water does not show a corresponding change in structure after the annealing step. The predominant band for both the as-deposited and post-anneal case in Figure 3B is that associated with the 'D' group (-1260 cm"1). This would be expected given the cyclic structure of the precursor, which is basically comprised of 'D' type groups linked in a ring form. The fact that there is little change between the as-deposited and post- anneal spectra indicates that there is little chemical change occurring involving this moiety during the annealing step.
A final note is the lack of RCH=CH2 (vinyl) specific bands in the FTIR spectra. If present, the vinyl CH2 symmetric and anti-symmetric bands should be readily apparent above 3000 cm"1. Additionally, the C=C stretching vibration should be visible at approximately 1650 cm"1. The absence of these bands indicates a preferential cleavage of the Si-CH=CH2 bond over the Si-CH3 bond in the plasma. This is reasonable, given the greater disparity in electron density between the silicon atom and the vinyl group as compared to the methyl group. This condition is exploited in accordance with the invention to provide a ready site for formation of the Si-OH bonds.
All FTIR assignments are summarized in Table I.
///. Mechanical Properties and Porosity of the Porous OSG Films
Figure 4 shows the displacement vs. hardness curves for the as-deposited and post-anneal V3D3/H20 samples. Solid markers indicate an annealed sample, open markers indicate an as-deposited sample. Note the increase in hardness with increasing duty cycle, which correlates well with the FTIR data showing an increase in crosslinking moieties in the film with increasing power. Additionally, for each sample, the annealed film is harder than its as-deposited counterpart, although the differences between as- deposited and post-anneal values for the 10-90 and 10-390 films are small. This supports the FTIR data that also shows an increase in crosslinking groups with annealing. Results are summarized in Table III. Also provided for comparison are the results for the V3D3/ Argon film and fused silica. Interestingly, the V3D3/ Argon sample had greater hardness values than either the 10-90 or 10-390 V3D3/H2O samples.
Table III. Hardness and Modulus Results (Average Value Over Defined Range)
Sample Hardness (H) GPa Modulus (E) GPa
10-40 As Deposit 0470 5 173
10-40 Annealed 0527 4 144
10-90 As Deposit 0204 3 938
10-90 Annealed 0202 2 338
10-390 As Deposit 0 177 3 643
10-390 Annealed 0 166 2 372
V3D3/ Argon 10-390 As Deposit 0200 3 732
V3D3/Argon 10-390 Annealed 0239 3271
Fused Silica 9917 72 453
Figure 5 shows the displacement versus elastic modulus for the as-deposited and post-anneal V3D3/H20 samples. Solid markers indicate an annealed sample, open markers indicate an as-deposited sample. Results are again summarized in Table III. Similar to the hardness measurements (shown in Figure 4), the elastic modulus values track well with duty cycle, with increasing duty cycle yielding higher values for the modulus. In the case of modulus, however, the annealed samples have a lower elastic modulus than their as-deposited counterparts. This is to be expected, since the annealed samples are understood to be more rigid and less able to 'spring back' after deformation, due the increased crosslinking and rigidity imparted to the film during the annealing process. PALS is a useful technique for probing the free volume on an angstrom size- scale, between polymer chains. PALS is a non-destructive, radioactive technique in which the anti-particle of an electron is injected, from a radioactive source, into the OSG film. Upon ejection, a characteristic energy is given off for a measured amount of time.
Within the OSG film, different mechanisms occur by which the positron, or species of positrons plus electrons, can decay. Regardless of which mechanism occurs, another characteristic energy is given out. The resulting decay curve can be fitted to a range of components including the lifetime of ortho-posi tronium (oPs) and its intensity. The lifetime, τ, is related to the size of the free volume, and the intensity is related to the number concentration of free volume sites. An approximate "size" of free volume can be determined and a measure of free volume fraction can be determined.
Positron annihilation lifetime spectroscopy (PALS) was used to determine the porosity of two OSG films produced according to the methods of this invention. One film was deposited at 400 W peak power with 10/40 ms-on/ms-off ratio to a thickness of 735 nm and the second film was deposited at 200 W peak power with 10/40 ms-on/ms- off ratio to a thickness of 560 nm. For both samples, the precursors used were V3D at 1 seem, H20 at 20 seem, and methylmethacrylate at 10 seem at a pressure of 300 mTorr. Following deposition, both samples were annealed at 400°C for 1 hour under nitrogen.
The PALS analysis was done several months after the deposition during which samples were stored at ambient conditions. The custom-built high vacuum chamber of the Michigan beam-PALS apparatus (Dr. David W. Gidley's laboratory) was used for the analysis. Beam energies range from 4.1 keV to 1.1 keV.
The raw PALS data are presented below in Table IV and the calculated pore size distribution is shown in Figure 12. For both films the pores are either inherently "almost closed" or are largely sealed by post treatment. As shown in Figure 12, the pores in the resultant film yield a bimodal distribution. The pores for the first OSG film analyzed, which was produced using 400 W peak power with 10/40 ms-on ms-off ratio, centered around about 0.5 nm and about 1.4 nm. The pores for the second sample OSG film analyzed, which was produced using 200 W peak power with 10/40 ms-on ms-off ratio, centered around 0.5 nm and 1.6 nm. The second sample spectrum was normalized to unity and the first sample spectrum was normalized according to relative total Ps formation intensity, which is one method for relative normalization.
Table IV. PALS results on the CVD OSG thin films.
Figure imgf000037_0001
IV. Thermal, Optical and Electrical Properties
The thermal and optical properties of the samples experimentally prepared as described above are detailed in Table V. All of the films were found to exhibit excellent thermal stability, with > 95% thickness retention in all cases. Comparison of the CHX stretching region of the FTIR spectrum (3000 cm"1 - 2800 cm"1) for the as-deposited vs. post-anneal cases shows a minimal difference in intensity, suggesting that the organic content of the film is stable at the annealing temperature. Indices of refraction for the V3D3/H2O films post-anneal are in the 1.42-1.43 range, down from the 1.46-1.47 range seen in the as-deposited samples. This is indicative of both the loss of H20/OH from the film, as well as a reduction in film density caused by the crosslinking and adoption of a networked Si-O-Si structure post-anneal. The V3D /Argon film was found to not exhibit as significant a change in index, dropping to a post-anneal value of 1.454 from an as- deposited value of 1.475. This is consistent with a lesser degree of structural rearrangement and density reduction afforded by the inability to undergo condensation chemistry. Table V. Thermal and Optical Properties
As Deposit Post Anneal Thickness
Sample As Deposit n6U Post Anneal n633
Thickness (A) Thickness (A) Retention
10-40 111 12 ± 174 1 1029 ± 99 9925% 1 469 ± 005 1 23 ± 009
10-90 1 1246 ± 141 10882 ± 145 96 76% 1 472 ± 004 1 426 + 003
10-390 1 1245 146 10770 ± 77 95 76% 1 479 ± 009 1 432 + 001
V3D3/Ar 10-390 8257 ± 204 8152 ± 193 98 73% 1 475 ± 006 1 454 + 010
Dielectric measurements of the as-deposited films reflect the degree of OH and H2O incorporation present. As-deposited k-values of 4.73, 3.96, and 3.49 were obtained for the 10-40, 10-90, and 10-390 samples, respectively. The trend of higher k-values with increasing OH/H20 content is expected due to the high k-value of water, and tracks well with the FTIR results showing greater OH inclusion at higher duty cycles. Annealing the samples significantly reduced the dielectric constant of all of the films. Post-anneal k-values of 2.90, 2.62, and 2.61 were found for the 10-40, 10-90, and 10-
390 films, respectively. Results are summarized in Table VI. For comparison, the 10- 390 V3D3/ Argon film had an as-deposited k-value of 3.06 and a post-anneal value of 2.55. For the V3D /H20 system the dielectric constant also correlated well with mechanical properties, with the hardest film (10-40) having the highest k-value (2.90). This can be attributed to the increasing Si-0 content that is observed with increasing duty cycle and OH incorporation and the formation of an increasingly oxide-like structure.
Table VI. Electrical Properties
Sample As Deposit k Post Anneal k
10-40 4 73 2 90
10-90 3 96 2 62
10-390 3 49 2 61
V3D3/Ar 10-390 3 06 2 55 V. Fourier Transform Infrared Spectroscopy (FTIR) Bands shift Dependant on Degree of Plasma Power Applied
Figure 6 shows the FTIR spectra of several PECVD films deposited under both pulsed and continuous conditions as compared to a standard sample. Table VII lists the major peak assignments and their literature assignments. All spectra have been baseline corrected and normalized to a standard 1500 A thickness.
Table VII FTIR Assignments from the Literature
Assignment wavenumbers (cm"1) notes
O-H stretching 3400-3200 broad
C-H stretching in subs aryl rings 3100-3000 often displays as triplet
CHT vibration 2972-2952 asym str
CH3 vibration 2882-2862 sym str
CH_ vibration 2936-2916 asym str
CH2 vibration 2863-2843 sym str
Aryl C-H wag 2000-1650 weak, out of phase wagging
CO -1700 carbonyl
Quadrant stretch -1600, 1580 mono, di subst
Skeletal C-C stretching 1600-1585 often displays as doublet
Skeletal C-C stretching 1500-1400 semicircle stretch
CHj vibration 1470-1440 asym bend
CH2 vibration 1475-1445 scissor
C-H bending 1300-1000 aryl, in-plane bend
C-H bending 1200-1 145 aryl, in-plane bend
C-O bending -1 110-1060 C-0 bending
C-H bending 760, -700 aryl, out-of-plane bend
The two phenyl ring bending modes at 700 cm"1 and 760 cm" provide evidence of retention of the phenyl ring structure in some of the plasma deposited films. These bands shift in relative intensity depending upon the degree of plasma power applied. At high power (Figures 6D and 6E), these two bands have been significantly reduced, indicating a breakup of the phenyl ring. At the lower power condition (Figures 6B and 6C), these bands are much more prominent and are comparable in intensity to the same bands in the standard sample (Figure 6A). Comparison between the samples deposited at similar power conditions (Figures 6B-6C and 6D-6E) shows that the phenyl bands are more intense in the pulsed-plasma samples, indicating that the CW conditions result in a greater loss of ring structure.
Also indicative of retention of the phenyl moiety are the three bands at
1465 cm"1, 1495 cm"1, and 1605 cm"1, which all correspond to C-C skeletal ring stretches in substituted aromatic structures. The 1465 cm" and 1495 cm" bands typically appear as a doublet. These bands are present in all of the plasma-deposited samples. The two high power samples (Figures 6D and 6E) show a change in the relative intensity of the two doublet peaks, with the 1465 cm"1 peak being favored. These bands are associated with movement of the skeletal structure as a whole (quadrant and semicircle stretches), and this change in intensity could be the result of constraints placed on the structure due to cross-linking or partial destruction of the ring. In the lower power samples (Figures 6B and 6C) these two peaks are of approximately equal intensity, as they are in the standard sample. The 1600 cm" band varies in intensity similarly to the phenyl bands discussed previously, in that it is more prominent in the pulsed-plasma samples.
One of the most subtle, but telling, indicators of the aryl ring structure and its substitution pattern is in the small bands that arise between 1600 cm"1 and 2000 cm'1. These are the ring overtone bands, and their number and pattern can be a clear fingerprint of the ring substitution pattern. As seen in Figures 7A, the standard sample shows five small bands present in that spectral region, which corresponds to a monosubstituted aromatic ring structure, as would be expected in traditionally polymerized PaMS. Of the plasma-polymerized samples, only the 10/90 sample (Figure 7B) also shows evidence of these ring overtones in the FTIR spectra. The absorption pattern is indicative of the substitution pattern on the aryl ring. Three of the five bands are present, and the other two are most likely obscured by peaks associated with a small amount of C=0 present in the plasma polymerized sample. This indicates that with the correct choice of pulsed-plasma conditions it is possible to preserve even a high degree of fine structure not typically associated with a plasma polymer. The high wavenumber region from approximately 2800 cm"1 to 3100 cm"1 contains bands for C-H stretching modes in CH3 and CH2 groups, as well as C-H stretches arising from the phenyl ring. The CH3 and CH2 symmetric and asymmetric stretches all lie below 3000 cm"1. The samples deposited at high power (Figures 6D and
6E) show significantly larger bands for CH2- and CH3- type moieties, indicating a greater concentration of those groups. Because the methylene group can be considered a possible cross-linking group, this suggests that the films deposited at higher power are relatively more cross-linked than their counterparts deposited at lower powers. These cross-links could arise from bond formation between an aMS radical and a CH» radical created at a random point on the polymer chain while exposed to the higher power plasma conditions. Additionally, the absorption bands in this region, especially in the 200 W sample, are broader and less sharply defined in the high power samples. Increasing line width of FTIR peaks is typically indicative of a loss of homogeneity in bonding environments. The resulting heterogeneity of bonding environments in plasma films can result from network formation through multiple types of cross-linking.
Bands attributed to C-H stretches on the phenyl ring lie above 3000 cm"1, and frequently present in monosubstituted rings in a triplet configuration. This combination of bands is readily seen in the standard sample and the low power films (Figures 6A, 6B, and 6C). The two samples prepared at higher power show a marked decrease in the intensity and resolution of these bands; in the 200 W CW sample they have lost all resolvability and present as a slight shoulder on the left hand side of the other CHX bands. This is consistent with the loss of aryl ring structure seen in other regions of the FTIR spectrum.
All of the samples were re-analyzed via FTIR after approximately 1 month of exposure to ambient conditions to see the effect of oxygen/water vapor exposure on the films. The results are shown in Figure 8. Again, all spectra have been baseline corrected and normalized to a thickness of 1500 A. All of the films show some degree of carbonyl (C=0, -1700 cm"1) and hydroxyl (O-H, -3500 cm"1; C-O, 1205-1125 cm"1) inclusion in their structure. Given that these bands were not present in the spectra taken immediately after deposition, we can conclude that the incorporation occurred upon exposure to the atmosphere post-deposition. Comparison of the high power samples (Figures 8D-8E vs. 8B-8C) shows that the degree of carbonyl inclusion (-1700 cm"1) is higher at higher powers. This is consistent with literature data showing increasing oxygen inclusion post-deposition as a function of increasing deposition power. The amount of O-H inclusion also appears to be slightly greater in samples produced at higher powers.
VI. Solid-State Nuclear Magnetic Resonance (NMR) Spectroscopy of the PPECVD 10/90 Sample and Two Standard Samples
Because solid state NMR is time-consuming and requires larger samples relative to thin film volumes, only one deposited sample was analyzed by this method. Given the chemical similarity of the PPECVD 10/90 sample to the standard samples as evidenced by FTIR, solid-state NMR was performed on this sample as well as on two standard samples from Aldrich Chemical, representing a low-molecular-weight and a high- molecular-weight polymer. The spectra are compared in Figure 9, and literature assignments of the chemical shifts are given in Table VIII.
Table VIII NMR Assignments from the Literature assignment ppm
CH, 24-34
CH2 (Co) 40-45
C(C4) (CD) 54-64
CM (aryl) 125-128
Figure imgf000042_0001
The spectra can be divided into two regions: aromatic carbons upfield from 100 ppm and aliphatic carbons downfield from 100 ppm. In the aromatic region there are two main resonances, at approximately 128 ppm and 150 ppm. The large resonance at 128 ppm is due to the unsubstituted carbon atoms in the ring structure, whereas the small peak at 150 ppm is attributed to the CI ring carbon, where the ring is attached to the polymer backbone. The absence of any other resonances in this region confirms the FTIR analysis that the phenyl ring is intact and is not having its substitution pattern altered in the plasma. The aliphatic carbon region was fairly broad for all three samples, but did show three distinct regions, as detailed in Figure 10. These resonances correspond to the alpha, beta, and methyl carbon environments. The peak at 25 ppm is attributed to the methyl (CH3) groups, and was enhanced with the use of proton cross-polarization, as was the beta (CH2) carbon, which resonates between 40 and 45 ppm. The alpha carbon, which should appear between 54 and 64 ppm, is a quaternary center and did not show any enhancement with proton cross-polarization, owing to its lack of proximity to neighboring protons. The alpha carbons were consistently difficult to elucidate in the spectra taken and are only clearly seen in the low-molecular-weight standard sample, even at recycle delays of up to 30 seconds between scans. This can most likely be attributed to a combination of the long spin-lattice relaxation time of the quaternary center coupled with the slow cross-polarization dynamics for carbons without adjacent hydrogens.
VII. Thermal Stability Testing of the Films
Thermal stability testing of the films was performed in a metal crucible under nitrogen atmosphere with a maximum temperature of 400 °C. Figure 1 1 A shows the temperature-signal-time plot of the thermal stability test for the PPECVD 10/90 film. The onset of decomposition, taken to be the point where the signal curve begins to oscillate, occurs at approximately 75 °C. Comparison of the pre- and post-anneal thicknesses of the sample via ellipsometry indicates a thickness retention of approximately 9%. This is in comparison to literature data that shows virtually no decomposition of PaMS below 300 °C for molecular weights between 3.1 x 103 and 2.0 x 105 and approximately the same heating rate. Differential scanning calorimetry of the
10/90 sample, performed between 25 °C and 100 °C, shows a small transition near 38 °C. This is in comparison to the observed transitions at -46° C for the low molecular weight standard and -89 °C for the high molecular weight standard. Thermal stability results for the 40 W CW sample are similar, with the onset of decomposition occurring at about 65 °C. Results are summarized in Table IX. Taken together, the thermal stability and DSC results suggest that the PaMS being produced at low plasma power is comprised mainly of non-cross-linked lower molecular weight oligomers. This supposition is also borne out by the fact that the plasma polymer can be solubilized in chloroform, just as the standard samples can.
Table IX. Thermal Stability Results for Plasma-Deposited Films Sample As-Deposit Post-Anneal Decomp. % Ret.
Thickness Thickness Onset (°C)
10/90 PPECVD 7815±164 A 730±74 A -75 9.3%
40 W CW 3276±42l A θ A -65 0.0%
(Bare Si) 10-10 PPECVD 10059+73 A 4720+96 A -125, 275 46.9%
200 W CW 29901329 A 1181156 A -170 39.51%
The temperature-signal-time thermal stability plot for the PPECVD 10/10 sample is shown in Figure 1 IB. Note the appearance of two possible decomposition regimes, . one beginning at -125 °C and another at -275 °C. Compared to the 10/90 sample, the onset of any decomposition is significantly higher: approximately 125 °C. Additionally, there seem to be two regimes of decomposition occurring, as evidenced by the change in slope of the signal curve. The second phase of decomposition begins at approximately 275 °C, where the signal curve begins its oscillatory behavior. Again, results for the 200 W CW are similar, but only show one decomposition regime with an onset of about 170 °C. The behavior of the plasma films deposited under high power conditions can be explained by either the presence of longer chains of polymer, or a polymer that has a higher degree of crosslinking, both of which are possible given the higher plasma power involved. This is also supported by the increased intensity in the CH2 bands in the FTIR spectra of these samples (Figures 6D and 6E). The two regimes of decomposition seen in the 10/10 PPECVD sample could be due to the desorption of small molecular weight species before the onset of bulk decomposition.
Those skilled in the art will appreciate, or be able to ascertain using no more than routine experimentation, further features and advantages of the invention based on the above-described embodiments. Accordingly, the invention is not to be limited by what has been particularly shown and described, except as indicated by the appended claims. All publications and references are herein expressly incorporated by reference in their entirety.

Claims

CLAIMS:
1. A method of fabricating a porous thin film comprising the steps of: flowing a precursor gas comprising cyclic siloxane monomers in proximity to a substrate within a PECVD reactor; adding a mild oxidant into the PECVD reactor, thereby partially oxidizing the cyclic siloxane monomers forming silanol groups; depositing the silanol groups on the substrate; and condensing the silanol groups, such that a porous organosilicate glass
(OSG) film is formed.
2. The method of claim 1, wherein the step of flowing the precursor gas and the step of adding the mild oxidant are performed simultaneously.
3. The method of claim 1, wherein method further includes the step of subjecting the precursor gas to a plasma in the PECVD reactor.
4. The method of claim 3, wherein the plasma is pulsed and has an RF power density, a duty cycle, and a peak power.
5. The method of claim 4, wherein the RF power density is between about 0.07 W/cm2 and about 2.6 W/cm2.
6. The method of claim 4, wherein the peak power is set to between about 200 W and about 500 W.
7. The method of claim 4, wherein the peak power is set to about 400 W.
8. The method of claim 4, wherein the step of setting the duty cycle further includes selecting a percentage of time that peak power is applied that is between about 1% and about 50%.
9. The method of claim 1, wherein method further includes the step of subjecting the precursor gas to a continuous mode plasma in the PECVD reactor.
10. The method of claim 9, wherein the method further includes setting a RF power density to between about 0.07 W/cm2 and about 2.6 W/cm2 and setting an input power of the PECVD reactor to between about 40 W to about 500 W.
1 1. The method of claim 1, wherein the method further includes the step of selecting a monomer to oxidant ratio from between about 1 : 1 to about 1 : 100.
12. The method of claim 1, wherein the method further includes the step of selecting a monomer to oxidant ratio from between about 1:5 to about 1:20.
13. The method of claim 1, wherein the step of adding a mild oxidant into the
PECVD reactor further comprises selecting a monomer to oxidant ratio such that the film has a desired dielectric constant.
14. The method of claim 13, wherein the monomer to oxidant ratio is selected such that the dielectric constant of the film is less than about 4.
15. The method of claim 13, wherein the monomer to oxidant ratio is selected such that the dielectric constant of the film is less than about 3.
16. The method of claim 13, wherein the monomer to oxidant ratio is selected such that the dielectric constant of the film is less than about 2.9.
17. The method of claim 13, wherein the monomer to oxidant ratio is selected such that the dielectric constant of the film is less than about 2.6.
18. The method of claim 1, wherein the cyclic siloxane monomers further comprise alkyl substituted siloxane molecules.
19. The method of claim 1, wherein the cyclic siloxane monomers can be selected from the group consisting of l,3,5-trivinyl-l,3,5-trimethylcyclotrisiloxane (V3D3), 1,3,5,7-tetramethylcyclotrisiloxane (H D ), 1,1,3,3,5,5- hexamethylcyclotrisiloxane,l,3,5-triethyl-l,3,5-trimethylcyclotrisiloxane, and vinylalkylsiloxanes.
20. The method of claim 1, wherein the cyclic siloxane monomers are 1,3,5-trivinyl- 1,3,5-trimethylcyclotrisiloxane (V3D3) molecules.
21. The method of claim 1, wherein the step of flowing the precursor gas further comprises setting the flow rate for the cyclic siloxane molecules at between about 1 seem and 100 seem.
22. The method of claim 1, wherein the step of flowing the precursor gas further comprises setting the flow rate for the cyclic siloxane molecules at between about 1 seem and 20 seem.
23. The method of claim 1, wherein the step of adding a mild oxidant further comprises selecting a mild oxidant from the group consisting of water, primary alcohols, peroxides, and N2O.
24. The method of claim 1, wherein the step of adding a mild oxidant further comprises adding water.
25. The method of claim 1, wherein the step of adding a mild oxidant further includes setting a flow rate of between about 5 seem and about 400 seem.
26. The method of claim 1, wherein the step of adding a mild oxidant further includes setting a flow rate of between about 5 seem and about 30 seem.
27. The method of claim 1, wherein the step of condensing the silanol groups further comprises subjecting the substrate to a condition selected from the group consisting of heating, irradiating, or treating in a reactive plasma.
28. The method of claim 1, wherein the step of condensing the silanol groups further comprises heating the substrate to a temperature not higher than about 425°C under inert conditions.
29. The method of claim 28, wherein the step of heating the substrate has a duration of between about 15 minutes to about two hours.
30. The method of claim 1, wherein the step of condensing the silanol groups further comprises heating the substrate to a temperature between about 400°C to about 425°C.
31. The method of claim 1 , wherein the step of condensing further comprises condensing under a condition selected from the group consisting of an inert atmosphere, a nitrogen atmosphere, and vacuum conditions.
32. The method of claim 1, wherein the film has at least 90% thickness retention.
33. The method of claim 1, wherein the film has an average connectivity number above a percolation threshold.
34. The method of claim 1, wherein the film has an average connectivity number above about 2.
35. The method of claim 1, wherein the film has an average connectivity number above about 2.2.
36. The method of claim 1, wherein the film has an average connectivity number above about 2.3.
37. An electronic structure having multiple conductor layers comprising at least one low dielectric OSG insulator with a network of nanopores in electrical communication with at least one of the conductor layers, the insulator formed by CVD deposition from > an OSG precursor and a mild oxidant.
PCT/US2003/033474 2002-10-21 2003-10-21 Pecvd of organosilicate thin films WO2004038783A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2003282988A AU2003282988A1 (en) 2002-10-21 2003-10-21 Pecvd of organosilicate thin films

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US41990402P 2002-10-21 2002-10-21
US60/419,904 2002-10-21

Publications (2)

Publication Number Publication Date
WO2004038783A2 true WO2004038783A2 (en) 2004-05-06
WO2004038783A3 WO2004038783A3 (en) 2004-05-27

Family

ID=32176484

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/033474 WO2004038783A2 (en) 2002-10-21 2003-10-21 Pecvd of organosilicate thin films

Country Status (3)

Country Link
US (1) US20040137243A1 (en)
AU (1) AU2003282988A1 (en)
WO (1) WO2004038783A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1832351A2 (en) * 2003-04-01 2007-09-12 Air Products and Chemicals, Inc. Low dielectric materials and methods for making same
WO2008049392A2 (en) * 2006-10-27 2008-05-02 Mtu Aero Engines Gmbh Vapour-deposited coating and thermally stressable component having such a coating, and also a process and apparatus for producing such a coating
EP2339049A1 (en) * 2009-12-23 2011-06-29 Air Products and Chemicals, Inc. Precursors for providing materials with low dielectric constant and superior integration attributes

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7108771B2 (en) * 2001-12-13 2006-09-19 Advanced Technology Materials, Inc. Method for removal of impurities in cyclic siloxanes useful as precursors for low dielectric constant thin films
US7456488B2 (en) * 2002-11-21 2008-11-25 Advanced Technology Materials, Inc. Porogen material
US7056584B2 (en) * 2002-10-11 2006-06-06 General Electric Company Bond layer for coatings on plastic substrates
JP3967253B2 (en) * 2002-11-08 2007-08-29 東京エレクトロン株式会社 Porous insulating film forming method and porous insulating film forming apparatus
US6911403B2 (en) * 2003-08-20 2005-06-28 Applied Materials, Inc. Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics
WO2005053009A1 (en) * 2003-11-28 2005-06-09 Nec Corporation Porous insulating film, method for producing same, and semiconductor device using porous insulating film
JP2006024670A (en) * 2004-07-07 2006-01-26 Sony Corp Manufacturing method for semiconductor device
US7214628B2 (en) * 2005-02-02 2007-05-08 Applied Materials, Inc. Plasma gate oxidation process using pulsed RF source power
US7141514B2 (en) * 2005-02-02 2006-11-28 Applied Materials, Inc. Selective plasma re-oxidation process using pulsed RF source power
US20060211240A1 (en) * 2005-03-18 2006-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of enhancing adhesion between dielectric layers
US7459183B2 (en) * 2005-07-27 2008-12-02 International Business Machines Corporation Method of forming low-K interlevel dielectric layers and structures
US7431969B2 (en) * 2005-08-05 2008-10-07 Massachusetts Institute Of Technology Chemical vapor deposition of hydrogel films
US20080011426A1 (en) * 2006-01-30 2008-01-17 Applied Materials, Inc. Plasma reactor with inductively coupled source power applicator and a high temperature heated workpiece support
FR2906402A1 (en) * 2006-09-21 2008-03-28 Air Liquide Forming a layer containing silicon and carbon on a substrate, comprises introducing a substrate in a reactor, introducing a carbon precursor, introducing a silicon precursor and reacting the precursors
AU2007309512B2 (en) * 2006-10-20 2012-09-13 Natureworks Llc Impact modified polylactide resins
US8053375B1 (en) 2006-11-03 2011-11-08 Advanced Technology Materials, Inc. Super-dry reagent compositions for formation of ultra low k films
JP5137216B2 (en) * 2008-03-04 2013-02-06 独立行政法人産業技術総合研究所 Method for producing inorganic nanoparticles in air and apparatus therefor
US20090324928A1 (en) * 2008-06-26 2009-12-31 Vijayakumar Ramachandrarao Forming ultra low dielectric constant porous dielectric films and structures formed thereby
US9212420B2 (en) * 2009-03-24 2015-12-15 Tokyo Electron Limited Chemical vapor deposition method
CN103635607B (en) * 2011-04-27 2015-12-02 新日铁住金株式会社 Surface-treated metal material and water-based metal-surface-treating agent
JP6246534B2 (en) * 2013-09-11 2017-12-13 株式会社ディスコ Wafer processing method
US10790139B2 (en) 2014-01-24 2020-09-29 Applied Materials, Inc. Deposition of silicon and oxygen-containing films without an oxidizer
WO2015190644A1 (en) * 2014-06-10 2015-12-17 한국과학기술원 Cell culture substrate, manufacturing method therefor, and use thereof
WO2016123485A1 (en) * 2015-01-30 2016-08-04 Massachusetts Institute Of Technology Methods for the vapor phase deposition of polymer thin films

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5521126A (en) * 1993-06-25 1996-05-28 Nec Corporation Method of fabricating semiconductor devices
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US20010004479A1 (en) * 1998-02-11 2001-06-21 David Cheung Plasma processes for depositing low dielectric constant films
US6258735B1 (en) * 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
EP1148539A2 (en) * 2000-04-19 2001-10-24 Applied Materials, Inc. Method of depositing low K films using an oxidizing plasma
EP1195451A1 (en) * 2000-10-05 2002-04-10 Applied Materials, Inc. Method of decreasing the dielectric constant in a SiOC layer
WO2003005429A1 (en) * 2001-06-29 2003-01-16 Postech Foundation Method for preparing low dielectric films
EP1321976A2 (en) * 2001-12-06 2003-06-25 Canon Sales Co., Inc. Method of depositing a barrier insulating layer with low dielectric constant on a copper film

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4599243A (en) * 1982-12-23 1986-07-08 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
AU3870899A (en) * 1998-05-01 1999-11-23 Seshu B. Desu Oxide/organic polymer multilayer thin films deposited by chemical vapor deposition
US6090724A (en) * 1998-12-15 2000-07-18 Lsi Logic Corporation Method for composing a thermally conductive thin film having a low dielectric property
US6214746B1 (en) * 1999-05-07 2001-04-10 Honeywell International Inc. Nanoporous material fabricated using a dissolvable reagent
US6420441B1 (en) * 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
US6313045B1 (en) * 1999-12-13 2001-11-06 Dow Corning Corporation Nanoporous silicone resins having low dielectric constants and method for preparation
US6342454B1 (en) * 1999-11-16 2002-01-29 International Business Machines Corporation Electronic devices with dielectric compositions and method for their manufacture
US6107357A (en) * 1999-11-16 2000-08-22 International Business Machines Corporatrion Dielectric compositions and method for their manufacture
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6319858B1 (en) * 2000-07-11 2001-11-20 Nano-Architect Research Corporation Methods for reducing a dielectric constant of a dielectric film and for forming a low dielectric constant porous film
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6455443B1 (en) * 2001-02-21 2002-09-24 International Business Machines Corporation Method of fabricating low-dielectric constant interlevel dielectric films for BEOL interconnects with enhanced adhesion and low-defect density
US20030006477A1 (en) * 2001-05-23 2003-01-09 Shipley Company, L.L.C. Porous materials

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5521126A (en) * 1993-06-25 1996-05-28 Nec Corporation Method of fabricating semiconductor devices
US20010004479A1 (en) * 1998-02-11 2001-06-21 David Cheung Plasma processes for depositing low dielectric constant films
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
EP1148539A2 (en) * 2000-04-19 2001-10-24 Applied Materials, Inc. Method of depositing low K films using an oxidizing plasma
US6258735B1 (en) * 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
EP1195451A1 (en) * 2000-10-05 2002-04-10 Applied Materials, Inc. Method of decreasing the dielectric constant in a SiOC layer
WO2003005429A1 (en) * 2001-06-29 2003-01-16 Postech Foundation Method for preparing low dielectric films
EP1321976A2 (en) * 2001-12-06 2003-06-25 Canon Sales Co., Inc. Method of depositing a barrier insulating layer with low dielectric constant on a copper film

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1832351A2 (en) * 2003-04-01 2007-09-12 Air Products and Chemicals, Inc. Low dielectric materials and methods for making same
EP1832351A3 (en) * 2003-04-01 2007-12-05 Air Products and Chemicals, Inc. Low dielectric materials and methods for making same
WO2008049392A2 (en) * 2006-10-27 2008-05-02 Mtu Aero Engines Gmbh Vapour-deposited coating and thermally stressable component having such a coating, and also a process and apparatus for producing such a coating
US20090258214A1 (en) * 2006-10-27 2009-10-15 Erwin Bayer Vapor-deposited coating and thermally stressable component having such a coating, and also a process and apparatus for producing such a coating
WO2008049392A3 (en) * 2006-10-27 2009-10-15 Mtu Aero Engines Gmbh Vapour-deposited coating and thermally stressable component having such a coating, and also a process and apparatus for producing such a coating
EP2339049A1 (en) * 2009-12-23 2011-06-29 Air Products and Chemicals, Inc. Precursors for providing materials with low dielectric constant and superior integration attributes
CN102162091A (en) * 2009-12-23 2011-08-24 气体产品与化学公司 Low k precursors providing superior integration attributes
US8753986B2 (en) 2009-12-23 2014-06-17 Air Products And Chemicals, Inc. Low k precursors providing superior integration attributes
US9018107B2 (en) 2009-12-23 2015-04-28 Air Products And Chemicals, Inc. Low K precursors providing superior integration attributes

Also Published As

Publication number Publication date
WO2004038783A3 (en) 2004-05-27
AU2003282988A8 (en) 2004-05-13
US20040137243A1 (en) 2004-07-15
AU2003282988A1 (en) 2004-05-13

Similar Documents

Publication Publication Date Title
US20040137243A1 (en) Chemical vapor deposition of organosilicate thin films
JP4874614B2 (en) Porous low dielectric constant compositions and methods for making and using the same
TWI306125B (en) Non-thermal process for forming porous low dielectric constant films
Trujillo et al. Ultralow dielectric constant tetravinyltetramethylcyclotetrasiloxane films deposited by initiated chemical vapor deposition (iCVD)
Volksen et al. Low dielectric constant materials
US7112615B2 (en) Porous material formation by chemical vapor deposition onto colloidal crystal templates
JP4833268B2 (en) Method for forming low dielectric constant and ultra-low dielectric constant SiCOH dielectric film
TWI240959B (en) Mechanical enhancement of dense and porous organosilicate materials by UV exposure
Baklanov et al. Impact of VUV photons on SiO2 and organosilicate low-k dielectrics: General behavior, practical applications, and atomic models
US6846515B2 (en) Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US7241704B1 (en) Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
JP2003512383A (en) Deposition of films using organosesquisiloxane precursors
Blanchard et al. Response of plasma-polymerized hexamethyldisiloxane films to aqueous environments
JP2006504855A (en) Gas layer forming material
KR20110014540A (en) Electronic device manufacture
EP1245628A1 (en) Composition for preparing substances having nano-pores
JP2003512390A (en) Deposition of fluorosilsesquioxane film
JP2006500769A (en) Interlayer adhesion promoter for low-k materials
Jousseaume et al. SiOCH thin films deposited by chemical vapor deposition: From low-κ to chemical and biochemical sensors
Casserly et al. Chemical vapor deposition of organosilicon thin films from methylmethoxysilanes
US20120282415A1 (en) Methods For Using Porogens For Low K Porous Organosilica Glass Films
Jousseaume et al. Ultra‐Low‐k by CVD: Deposition and Curing
Uznanski et al. Atomic hydrogen induced chemical vapor deposition of silicon oxycarbide thin films derived from diethoxymethylsilane precursor
JP5165914B2 (en) Porous silica film and method for producing the same
Sun et al. Enhanced thermal stability of low-k ethyl-bridged organosilicas using laser spike annealing

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP