WO2004044955A3 - Laser scanning apparatus and methods for thermal processing - Google Patents

Laser scanning apparatus and methods for thermal processing Download PDF

Info

Publication number
WO2004044955A3
WO2004044955A3 PCT/US2003/035236 US0335236W WO2004044955A3 WO 2004044955 A3 WO2004044955 A3 WO 2004044955A3 US 0335236 W US0335236 W US 0335236W WO 2004044955 A3 WO2004044955 A3 WO 2004044955A3
Authority
WO
WIPO (PCT)
Prior art keywords
methods
laser scanning
thermal processing
scanning apparatus
substrate
Prior art date
Application number
PCT/US2003/035236
Other languages
French (fr)
Other versions
WO2004044955A2 (en
Inventor
Somit Talwar
Michael O Thompson
David A Markle
Original Assignee
Ultratech Stepper Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ultratech Stepper Inc filed Critical Ultratech Stepper Inc
Priority to EP03768681A priority Critical patent/EP1562719A4/en
Priority to JP2004551743A priority patent/JP2006505953A/en
Publication of WO2004044955A2 publication Critical patent/WO2004044955A2/en
Publication of WO2004044955A3 publication Critical patent/WO2004044955A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S3/00Lasers, i.e. devices using stimulated emission of electromagnetic radiation in the infrared, visible or ultraviolet wave range
    • H01S3/10Controlling the intensity, frequency, phase, polarisation or direction of the emitted radiation, e.g. switching, gating, modulating or demodulating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/03Observing, e.g. monitoring, the workpiece
    • B23K26/032Observing, e.g. monitoring, the workpiece using optical means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/04Automatically aligning, aiming or focusing the laser beam, e.g. using the back-scattered light
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/073Shaping the laser spot
    • B23K26/0738Shaping the laser spot into a linear shape
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/082Scanning systems, i.e. devices involving movement of the laser beam relative to the laser head
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2101/00Articles made by soldering, welding or cutting
    • B23K2101/36Electric or electronic devices
    • B23K2101/40Semiconductor devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02683Continuous wave laser beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02691Scanning of a beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Abstract

Apparatus and methods for thermally processing a substrate (60) with scanned laser radiation (14B) are disclosed. The apparatus includes a continuous radiation source (12) and an optical system (20) that forms an image (100) on a substrate (60). The image (100) is scanned relative to the substrate surface (62) so that each point in the process region receives a pulse of radiation sufficient to thermally process the region.
PCT/US2003/035236 2002-11-06 2003-11-03 Laser scanning apparatus and methods for thermal processing WO2004044955A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP03768681A EP1562719A4 (en) 2002-11-06 2003-11-03 Laser scanning apparatus and methods for thermal processing
JP2004551743A JP2006505953A (en) 2002-11-06 2003-11-03 Laser scanning apparatus and heat treatment method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/287,864 2002-11-06
US10/287,864 US6747245B2 (en) 2002-11-06 2002-11-06 Laser scanning apparatus and methods for thermal processing

Publications (2)

Publication Number Publication Date
WO2004044955A2 WO2004044955A2 (en) 2004-05-27
WO2004044955A3 true WO2004044955A3 (en) 2004-07-08

Family

ID=32175774

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/035236 WO2004044955A2 (en) 2002-11-06 2003-11-03 Laser scanning apparatus and methods for thermal processing

Country Status (6)

Country Link
US (2) US6747245B2 (en)
EP (1) EP1562719A4 (en)
JP (1) JP2006505953A (en)
KR (1) KR100776949B1 (en)
TW (1) TWI259118B (en)
WO (1) WO2004044955A2 (en)

Families Citing this family (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7015422B2 (en) 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US6970644B2 (en) 2000-12-21 2005-11-29 Mattson Technology, Inc. Heating configuration for use in thermal processing chambers
TW552645B (en) 2001-08-03 2003-09-11 Semiconductor Energy Lab Laser irradiating device, laser irradiating method and manufacturing method of semiconductor device
US6987240B2 (en) * 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7154066B2 (en) 2002-11-06 2006-12-26 Ultratech, Inc. Laser scanning apparatus and methods for thermal processing
US20050189329A1 (en) * 2003-09-02 2005-09-01 Somit Talwar Laser thermal processing with laser diode radiation
US7763828B2 (en) * 2003-09-02 2010-07-27 Ultratech, Inc. Laser thermal processing with laser diode radiation
US7098155B2 (en) * 2003-09-29 2006-08-29 Ultratech, Inc. Laser thermal annealing of lightly doped silicon substrates
US7148159B2 (en) * 2003-09-29 2006-12-12 Ultratech, Inc. Laser thermal annealing of lightly doped silicon substrates
US7910499B2 (en) * 2004-11-12 2011-03-22 Applied Materials, Inc. Autofocus for high power laser diode based annealing system
US7422988B2 (en) * 2004-11-12 2008-09-09 Applied Materials, Inc. Rapid detection of imminent failure in laser thermal processing of a substrate
US7129440B2 (en) * 2004-11-12 2006-10-31 Applied Materials, Inc. Single axis light pipe for homogenizing slow axis of illumination systems based on laser diodes
US7438468B2 (en) * 2004-11-12 2008-10-21 Applied Materials, Inc. Multiple band pass filtering for pyrometry in laser based annealing systems
US7731798B2 (en) * 2004-12-01 2010-06-08 Ultratech, Inc. Heated chuck for laser thermal processing
US7326877B2 (en) * 2004-12-01 2008-02-05 Ultratech, Inc. Laser thermal processing chuck with a thermal compensating heater module
US7371596B2 (en) * 2004-12-30 2008-05-13 Semicube, Inc. Parallel-beam scanning for surface patterning of materials
US7253376B2 (en) * 2005-01-21 2007-08-07 Ultratech, Inc. Methods and apparatus for truncating an image formed with coherent radiation
US7313501B2 (en) * 2005-02-02 2007-12-25 Texas Instruments Incorporated Method and system for determining the location of a potential defect in a device based on a temperature profile
US7292616B2 (en) * 2005-02-09 2007-11-06 Ultratech, Inc. CO2 laser stabilization systems and methods
US7279721B2 (en) * 2005-04-13 2007-10-09 Applied Materials, Inc. Dual wavelength thermal flux laser anneal
US7176405B2 (en) * 2005-04-22 2007-02-13 Ultratech, Inc. Heat shield for thermal processing
US7767927B2 (en) 2005-05-16 2010-08-03 Ultratech, Inc. Methods and apparatus for remote temperature measurement of a specular surface
US7135392B1 (en) 2005-07-20 2006-11-14 Applied Materials, Inc. Thermal flux laser annealing for ion implantation of semiconductor P-N junctions
US7482254B2 (en) * 2005-09-26 2009-01-27 Ultratech, Inc. Apparatus and methods for thermally processing undoped and lightly doped substrates without pre-heating
US20080173620A1 (en) * 2005-09-26 2008-07-24 Ultratech, Inc. Apparatuses and methods for irradiating a substrate to avoid substrate edge damage
US7238915B2 (en) * 2005-09-26 2007-07-03 Ultratech, Inc. Methods and apparatus for irradiating a substrate to avoid substrate edge damage
US7633307B2 (en) * 2005-12-16 2009-12-15 Freescale Semiconductor, Inc. Method for determining temperature profile in semiconductor manufacturing test
FI119593B (en) * 2006-01-19 2009-01-15 Savcor Alfa Oy Laser welding procedure
US20070224768A1 (en) * 2006-02-24 2007-09-27 Uvtech Systems, Inc. Method and apparatus for delivery of pulsed laser radiation
US20070221640A1 (en) 2006-03-08 2007-09-27 Dean Jennings Apparatus for thermal processing structures formed on a substrate
TW200741883A (en) * 2006-04-21 2007-11-01 Zeiss Carl Laser Optics Gmbh Apparatus for laser annealing of large substrates and method for laser annealing for large substrates
JP5239155B2 (en) * 2006-06-20 2013-07-17 信越半導体株式会社 Method for manufacturing silicon wafer
US7514305B1 (en) * 2006-06-28 2009-04-07 Ultratech, Inc. Apparatus and methods for improving the intensity profile of a beam image used to process a substrate
US7548364B2 (en) 2006-07-31 2009-06-16 Applied Materials, Inc. Ultra-fast beam dithering with surface acoustic wave modulator
US20080025354A1 (en) * 2006-07-31 2008-01-31 Dean Jennings Ultra-Fast Beam Dithering with Surface Acoustic Wave Modulator
US20080045041A1 (en) * 2006-08-17 2008-02-21 Toshiba America Electronic Components, Inc. Liquid Immersion Laser Spike Anneal
US7674999B2 (en) 2006-08-23 2010-03-09 Applied Materials, Inc. Fast axis beam profile shaping by collimation lenslets for high power laser diode based annealing system
CA2560238A1 (en) * 2006-09-20 2008-03-20 Institut National D'optique Laser-based ablation method and optical system
JP5177994B2 (en) * 2006-11-02 2013-04-10 住友重機械工業株式会社 Temperature measuring apparatus and temperature calculating method
US7659187B2 (en) * 2006-11-03 2010-02-09 Applied Materials, Inc. Method of forming PN junctions including a post-ion implant dynamic surface anneal process with minimum interface trap density at the gate insulator-silicon interface
US20080151951A1 (en) * 2006-12-22 2008-06-26 Elliott David J Laser optical system
US20090323739A1 (en) * 2006-12-22 2009-12-31 Uv Tech Systems Laser optical system
US7759773B2 (en) * 2007-02-26 2010-07-20 International Business Machines Corporation Semiconductor wafer structure with balanced reflectance and absorption characteristics for rapid thermal anneal uniformity
US7745909B2 (en) * 2007-02-26 2010-06-29 International Business Machines Corporation Localized temperature control during rapid thermal anneal
US7692275B2 (en) 2007-02-26 2010-04-06 International Business Machines Corporation Structure and method for device-specific fill for improved anneal uniformity
US7679166B2 (en) * 2007-02-26 2010-03-16 International Business Machines Corporation Localized temperature control during rapid thermal anneal
US20090096066A1 (en) * 2007-10-10 2009-04-16 Anderson Brent A Structure and Method for Device-Specific Fill for Improved Anneal Uniformity
US7732353B2 (en) * 2007-04-18 2010-06-08 Ultratech, Inc. Methods of forming a denuded zone in a semiconductor wafer using rapid laser annealing
DE102007024701A1 (en) 2007-05-25 2008-11-27 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Material removal method and apparatus for carrying out the method
US7804042B2 (en) 2007-06-18 2010-09-28 Applied Materials, Inc. Pryometer for laser annealing system compatible with amorphous carbon optical absorber layer
US7744274B1 (en) 2007-06-20 2010-06-29 Ultratech, Inc. Methods and apparatus for temperature measurement and control on a remote substrate surface
US8148663B2 (en) * 2007-07-31 2012-04-03 Applied Materials, Inc. Apparatus and method of improving beam shaping and beam homogenization
US7847213B1 (en) 2007-09-11 2010-12-07 Ultratech, Inc. Method and apparatus for modifying an intensity profile of a coherent photonic beam
JP2009123421A (en) * 2007-11-13 2009-06-04 Canon Inc Method of manufacturing air tight container
JP5011072B2 (en) * 2007-11-21 2012-08-29 株式会社ディスコ Laser processing equipment
US7713757B2 (en) * 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
US8071908B1 (en) 2008-03-26 2011-12-06 Ultratech, Inc. Edge with minimal diffraction effects
US8319149B2 (en) * 2008-04-16 2012-11-27 Applied Materials, Inc. Radiant anneal throughput optimization and thermal history minimization by interlacing
US20090278287A1 (en) * 2008-05-12 2009-11-12 Yun Wang Substrate processing with reduced warpage and/or controlled strain
JP5366023B2 (en) * 2008-06-26 2013-12-11 株式会社Ihi Laser annealing method and apparatus
US20100068898A1 (en) 2008-09-17 2010-03-18 Stephen Moffatt Managing thermal budget in annealing of substrates
US8314369B2 (en) * 2008-09-17 2012-11-20 Applied Materials, Inc. Managing thermal budget in annealing of substrates
EP2210696A1 (en) * 2009-01-26 2010-07-28 Excico France Method and apparatus for irradiating a semiconductor material surface by laser energy
US7947968B1 (en) * 2009-01-29 2011-05-24 Ultratech, Inc. Processing substrates using direct and recycled radiation
US20100304527A1 (en) * 2009-03-03 2010-12-02 Peter Borden Methods of thermal processing a solar cell
US7786025B1 (en) 2009-03-17 2010-08-31 International Business Machines Corporation Activating dopants using multiple consecutive millisecond-range anneals
JP5300564B2 (en) * 2009-04-07 2013-09-25 株式会社日本製鋼所 Laser annealing equipment
EP2253413A1 (en) * 2009-05-15 2010-11-24 National University of Ireland Galway Method for laser ablation
US8460959B2 (en) 2009-11-06 2013-06-11 Ultratech, Inc. Fast thermal annealing of GaN LEDs
US8592309B2 (en) * 2009-11-06 2013-11-26 Ultratech, Inc. Laser spike annealing for GaN LEDs
US8658451B2 (en) 2009-11-06 2014-02-25 Ultratech, Inc. Activating GaN LEDs by laser spike annealing and flash annealing
US8014427B1 (en) 2010-05-11 2011-09-06 Ultratech, Inc. Line imaging systems and methods for laser annealing
US8399808B2 (en) 2010-10-22 2013-03-19 Ultratech, Inc. Systems and methods for forming a time-averaged line image
US8026519B1 (en) 2010-10-22 2011-09-27 Ultratech, Inc. Systems and methods for forming a time-averaged line image
US20120225568A1 (en) * 2011-03-03 2012-09-06 Tokyo Electron Limited Annealing method and annealing apparatus
US9302348B2 (en) 2011-06-07 2016-04-05 Ultratech Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US8309474B1 (en) 2011-06-07 2012-11-13 Ultratech, Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US8569187B2 (en) 2011-06-24 2013-10-29 Applied Materials, Inc. Thermal processing apparatus
US8575043B2 (en) * 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
JP5537615B2 (en) 2011-08-10 2014-07-02 ウルトラテック インク System and method for forming a time-averaged line image
JP5679940B2 (en) * 2011-09-29 2015-03-04 住友重機械工業株式会社 Laser annealing apparatus and laser annealing method
JP5800654B2 (en) * 2011-09-29 2015-10-28 住友重機械工業株式会社 Laser annealing apparatus and laser annealing method
JP2013120936A (en) 2011-12-07 2013-06-17 Ultratech Inc Ganled laser anneal with reduced pattern effect
US8546805B2 (en) 2012-01-27 2013-10-01 Ultratech, Inc. Two-beam laser annealing with improved temperature performance
US8501638B1 (en) 2012-04-27 2013-08-06 Ultratech, Inc. Laser annealing scanning methods with reduced annealing non-uniformities
US9272365B2 (en) * 2012-09-12 2016-03-01 Siemens Energy, Inc. Superalloy laser cladding with surface topology energy transfer compensation
SG195515A1 (en) 2012-06-11 2013-12-30 Ultratech Inc Laser annealing systems and methods with ultra-short dwell times
US9558973B2 (en) 2012-06-11 2017-01-31 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
US9490128B2 (en) * 2012-08-27 2016-11-08 Ultratech, Inc. Non-melt thin-wafer laser thermal annealing methods
US9289854B2 (en) 2012-09-12 2016-03-22 Siemens Energy, Inc. Automated superalloy laser cladding with 3D imaging weld path control
US9272369B2 (en) 2012-09-12 2016-03-01 Siemens Energy, Inc. Method for automated superalloy laser cladding with 3D imaging weld path control
KR102090708B1 (en) * 2013-01-22 2020-04-16 삼성디스플레이 주식회사 Laser annealing apparatus
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20150111341A1 (en) * 2013-10-23 2015-04-23 Qualcomm Incorporated LASER ANNEALING METHODS FOR INTEGRATED CIRCUITS (ICs)
US9358635B2 (en) 2013-12-19 2016-06-07 Siemens Energy, Inc. Rastered laser melting of a curved surface path with uniform power density distribution
US9343307B2 (en) 2013-12-24 2016-05-17 Ultratech, Inc. Laser spike annealing using fiber lasers
US20150187616A1 (en) * 2013-12-31 2015-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms of adjustable laser beam for laser spike annealing
JP2015202594A (en) * 2014-04-11 2015-11-16 セイコーエプソン株式会社 Molding device and molding method
US9559023B2 (en) 2014-06-23 2017-01-31 Ultratech, Inc. Systems and methods for reducing beam instability in laser annealing
US9613828B2 (en) 2014-06-24 2017-04-04 Ultratech, Inc. Method of laser annealing a semiconductor wafer with localized control of ambient oxygen
CN106663629B (en) * 2014-07-21 2020-01-10 应用材料公司 Scanning pulse annealing device and method
JP6193305B2 (en) 2014-07-29 2017-09-06 ウルトラテック インク High performance line forming optical system and method
US10083843B2 (en) 2014-12-17 2018-09-25 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
TWI550982B (en) * 2015-03-06 2016-09-21 智泰科技股份有限公司 Real-time wavelength correction system for visible light
CN106935491B (en) * 2015-12-30 2021-10-12 上海微电子装备(集团)股份有限公司 Laser annealing device and annealing method thereof
KR101789185B1 (en) * 2016-02-05 2017-10-23 주식회사 이오테크닉스 Laser processing method using an angle of inclination of laser beam
JP6887234B2 (en) 2016-09-21 2021-06-16 株式会社日本製鋼所 Laser irradiation device, laser irradiation method, and manufacturing method of semiconductor device
KR20210005078A (en) * 2018-04-27 2021-01-13 도쿄엘렉트론가부시키가이샤 Substrate processing system and substrate processing method
US10955459B2 (en) * 2018-06-27 2021-03-23 Taiwan Semiconductor Manufacturing Company Ltd. Method of analyzing semiconductor structure
KR102546719B1 (en) 2018-09-04 2023-06-21 삼성전자주식회사 Monitoring device and monitoring method
KR102258055B1 (en) * 2019-08-27 2021-05-27 공주대학교 산학협력단 Temperature monitoring system of laser annealing equipment
US11909091B2 (en) 2020-05-19 2024-02-20 Kymeta Corporation Expansion compensation structure for an antenna

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4908493A (en) * 1988-05-31 1990-03-13 Midwest Research Institute Method and apparatus for optimizing the efficiency and quality of laser material processing
US5057664A (en) * 1989-10-20 1991-10-15 Electro Scientific Industries, Inc. Method and apparatus for laser processing a target material to provide a uniformly smooth, continuous trim profile

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4370175A (en) * 1979-12-03 1983-01-25 Bernard B. Katz Method of annealing implanted semiconductors by lasers
JPS56135972A (en) * 1980-03-28 1981-10-23 Chiyou Lsi Gijutsu Kenkyu Kumiai Manufacture of semiconductor device
US4356375A (en) * 1980-07-10 1982-10-26 Avery International Corporation Process for producing lines of weakness in the protective backing of an adhesive laminate
US4761786A (en) * 1986-12-23 1988-08-02 Spectra-Physics, Inc. Miniaturized Q-switched diode pumped solid state laser
US4734912A (en) * 1986-06-06 1988-03-29 Lightwave Electronics Corp. Laser diode end pumped Nd:YAG single mode laser
JPS6428809U (en) * 1987-08-14 1989-02-21
JPH03280531A (en) * 1990-03-29 1991-12-11 Photonics:Kk Laser annealing and laser annealing device
US5264238A (en) * 1990-06-12 1993-11-23 House Food Industrial Co., Ltd. Method for manufacturing snack foods
US5529951A (en) * 1993-11-02 1996-06-25 Sony Corporation Method of forming polycrystalline silicon layer on substrate by large area excimer laser irradiation
JPH0897141A (en) * 1994-09-22 1996-04-12 A G Technol Kk Method of forming polycrystalline semiconductor layer, polycrystalline semiconductor tft, and beam annealing device
US5756369A (en) * 1996-07-11 1998-05-26 Lsi Logic Corporation Rapid thermal processing using a narrowband infrared source and feedback
JP4663047B2 (en) * 1998-07-13 2011-03-30 株式会社半導体エネルギー研究所 Laser irradiation apparatus and method for manufacturing semiconductor device
JP4954359B2 (en) * 1999-02-12 2012-06-13 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US6208673B1 (en) * 1999-02-23 2001-03-27 Aculight Corporation Multifunction solid state laser system
JP3579316B2 (en) * 1999-10-19 2004-10-20 三洋電機株式会社 Method for manufacturing semiconductor device
US6366308B1 (en) * 2000-02-16 2002-04-02 Ultratech Stepper, Inc. Laser thermal processing apparatus and method
US6531681B1 (en) * 2000-03-27 2003-03-11 Ultratech Stepper, Inc. Apparatus having line source of radiant energy for exposing a substrate
US6337467B1 (en) * 2000-05-09 2002-01-08 Wafermasters, Inc. Lamp based scanning rapid thermal processing
JP2002141301A (en) * 2000-11-02 2002-05-17 Mitsubishi Electric Corp Optical system for laser annealing and laser annealing apparatus using the same
US6675057B2 (en) * 2001-04-25 2004-01-06 Intel Corporation Integrated circuit annealing methods and apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4908493A (en) * 1988-05-31 1990-03-13 Midwest Research Institute Method and apparatus for optimizing the efficiency and quality of laser material processing
US5057664A (en) * 1989-10-20 1991-10-15 Electro Scientific Industries, Inc. Method and apparatus for laser processing a target material to provide a uniformly smooth, continuous trim profile

Also Published As

Publication number Publication date
TW200418603A (en) 2004-10-01
EP1562719A2 (en) 2005-08-17
US7157660B2 (en) 2007-01-02
US6747245B2 (en) 2004-06-08
WO2004044955A2 (en) 2004-05-27
KR20050072813A (en) 2005-07-12
EP1562719A4 (en) 2008-09-10
TWI259118B (en) 2006-08-01
JP2006505953A (en) 2006-02-16
US20040173585A1 (en) 2004-09-09
KR100776949B1 (en) 2007-11-21
US20040084427A1 (en) 2004-05-06

Similar Documents

Publication Publication Date Title
WO2004044955A3 (en) Laser scanning apparatus and methods for thermal processing
AU6270299A (en) Fingerprint detection apparatus
WO2004064370A3 (en) Image projection system and method
AU2078297A (en) Dynamic focusing apparatus for optical imaging systems
DE69926908D1 (en) SYSTEM FOR CONTRASTING AND DECORATIVE IMAGE CAPTION
DK0571891T3 (en) Camera System Optics
EP0202931A3 (en) Full color, continuous tone laser diode photographic imaging apparatus and method
EP2216126A3 (en) Laser marking system for gemstones and method of authenticating marking
EP1063049A3 (en) Optical system and apparatus for laser heat treatment and method for producing semiconductor devices by using the same
WO2005022249A3 (en) Laser thermal processing with laser diode radiation
TW200622474A (en) Optical system having extended angular scan range
WO2003098349A3 (en) Illumination system for microlithography
EP1108979A3 (en) Substrate film thickness measurement method, substrate film thickness measurement apparatus and substrate processing apparatus
EP1001315A3 (en) Method and device for exposing both sides of a sheet
WO2003023482A8 (en) Method and device for optically examining an object
KR900008245A (en) Slab Surface Contour Monitor
AU2560499A (en) Laser delivery system and method with diffractive optic beam integration
EP1345062A3 (en) Scanning optical system and image forming apparatus using the same
EP1024012A3 (en) Optical scanning apparatus and image forming apparatus and electrophotographic printer using such scanning apparatus
EP1232829A3 (en) Device for inscribing or marking of objects using laser beam
EP1096291A3 (en) Optical scanning apparatus and image-forming apparatus using it
EP0828177A3 (en) Acousto-optic element, light deflector, light beam scanning apparatus and image recording apparatus
EP1950622A3 (en) Apparatus for and method of forming image using oscillation mirror
EP0599304A3 (en) Optical scanning apparatus for scanning laser beam on imaging surface and recording image data on imaging surface in units of dots.
EP1525506A4 (en) Scanning device and method of scanning an optical beam over a surface

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020057008176

Country of ref document: KR

Ref document number: 2004551743

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2003768681

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020057008176

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2003768681

Country of ref document: EP