WO2004049408A1 - 基板処理システム、塗布現像装置及び基板処理装置 - Google Patents

基板処理システム、塗布現像装置及び基板処理装置 Download PDF

Info

Publication number
WO2004049408A1
WO2004049408A1 PCT/JP2003/014673 JP0314673W WO2004049408A1 WO 2004049408 A1 WO2004049408 A1 WO 2004049408A1 JP 0314673 W JP0314673 W JP 0314673W WO 2004049408 A1 WO2004049408 A1 WO 2004049408A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
processing
transfer
unit
exposure
Prior art date
Application number
PCT/JP2003/014673
Other languages
English (en)
French (fr)
Inventor
Makio Higashi
Akira Miyata
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to AU2003280854A priority Critical patent/AU2003280854A1/en
Priority to US10/536,976 priority patent/US7379785B2/en
Publication of WO2004049408A1 publication Critical patent/WO2004049408A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/3021Imagewise removal using liquid means from a wafer supported on a rotating chuck
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers

Definitions

  • Substrate processing system coating and developing apparatus and substrate processing apparatus
  • the substrate is transported from one transport means to another via the processing ribbon.
  • the present invention relates to a coating and developing apparatus sequentially transported to a plurality of subsequent processing units by means, and for example, in a coating and developing apparatus for forming a resist film and performing development processing after exposure,
  • the present invention relates to a technique for delivering a substrate after exposure from a face portion to an area where development processing is performed.
  • resist processing is performed on the substrates using a technique called photolithography.
  • a technique called photolithography for example, a resist solution is applied to a semiconductor wafer (hereinafter referred to as a wafer) to form a liquid film on the surface of the wafer, and the resist film is exposed using a photomask and then developed. This is done by a series of steps to obtain the
  • FIG. 14 is a schematic plan view showing this system
  • FIG. 15 is a schematic side view showing a part of this system
  • FIG. 16 is an explanatory view showing the transfer path of wafer W in this apparatus.
  • the coating and developing apparatus 1 comprises a carrier placement unit 1A on which a large number of carriers C are placed, a processing program 1B provided in sequence on the back side thereof, and an interface unit 1C. Evening It is connected to the coating and developing apparatus 1 through the ace part 1C.
  • a delivery arm 11 is provided in the carrier support 1A for transporting the wafer W in the carrier C to the processing block 1B.
  • a main transfer arm 12 having a main transfer arm 12 having a freely movable back and forth and vertically movable and horizontally rotatable, for example, three arms, viewed from the carrier mounting portion 1A
  • a heating unit, and a cooling unit which is a high-precision temperature adjustment unit, are stacked in multiple stages on the front side, the left side, and the back side of the frame 1 2 3 1 (1 3 a, 1 3 b, 1 3 c) is placed, and the solution treatment unit 14 including the coating unit (COT) and the developing unit (DEV) is also placed on the right side.
  • a hydrophobization processing unit (ADH) and an exposure processing A baker for heat treatment is incorporated.
  • a high-precision temperature control unit (CPL), an edge exposure apparatus (WEE) and a buffer cassette (SBU) are provided in the interface 1 C, and these modules may be used among them or each of these modules.
  • a transfer arm 15 for transferring the wafer W between the processing block 1 B and the storage 13 c of the processing block 1 B is provided so as to be freely movable back and forth and vertically rotatable in a horizontal direction.
  • the delivery arm 15 can also access, for example, the carry-in stage 16 and the carry-out stage 1 provided in the exposure apparatus 1 C.
  • the wafer W can be delivered.
  • the inside of the carrier C placed on the carrier placement unit 1A The wafer W is carried to the processing design 1B through the delivery arm 11 and coated with the resist solution by the coating unit (COT), and then the wafer portion 1 C, the exposure apparatus 1 D in this order. Is transported and exposed. After exposure, the wafer W is conveyed in the reverse path to the developing unit (DEV) in the processing block 1B and is developed here. Thereafter, the wafer W is returned to the carrier placement unit 1A via the delivery arm 11. Before and after application and development, for example, pretreatment and post-treatment such as heating and cooling are performed on the shelf 13 (13 a, 13 b, 13 c).
  • pretreatment and post-treatment such as heating and cooling are performed on the shelf 13 (13 a, 13 b, 13 c).
  • the wafer W is pre-programmed to be transported along a predetermined path when subjected to the above processing, and an example of the path is shown with reference to FIG.
  • PAB is a pre-loading unit
  • PEB is a post-loading unit
  • P 0 ST is a post-loading unit (post-development unit).
  • the wafer W is transferred from the carrier C into the processing program 1B by the delivery arm 11 and then transferred by the main transfer arm 12 in the order of TRS 1, ADH, COT, PAB, TRS 2 and so on. Then, it is transported by the delivery arm 15 in the order of TRS 2, CPL 3, WEE, S BU and loading stage 16.
  • the temperature of the wafer W is actually adjusted after ADH, it is omitted because of space limitations. Then, after the exposure processing by the exposure apparatus 1 D, the wafer W is transferred by the transfer arm 15 in the order of the unloading stage 17 and the TRS 3 by the transfer arm 15 and by the main transfer arm 12 the TRS 3, PEB, CPL, DEV, POST, After being transported in the order of C PL, it is returned into the carrier C by the delivery arm 11.
  • a transfer schedule is previously stored in the memory, which defines in advance at which timing each module is to be transferred. Therefore, when the transfer arm 11 and the main transfer arm 12 are called a transfer system, this transfer system According to the transfer schedule, as shown by the dotted line in FIG. 16, the transfer operation is performed in the order of TRS 1, ADH, COT, PAB, TRS 2, TRS 3, PEB, CPL, DEV, POS T, and CPL.
  • the transfer schedule as shown by the dotted line in FIG. 16
  • the transfer operation is performed in the order of TRS 1, ADH, COT, PAB, TRS 2, TRS 3, PEB, CPL, DEV, POS T, and CPL.
  • the coating and developing apparatus take out of the carrier from the carrier (force setting) and convey it to the processing station sequentially. 0093 to 0099).
  • the coating and developing apparatus take out of the carrier from the carrier (force setting) and convey it to the processing station sequentially. 0093 to 0099).
  • the main transfer arm 12 is performing scheduled transfer, after receiving one wafer after exposure from the TRS 3 and transferring it to the PEB, the wafer can not be returned, so the wafer is exposed. Nevertheless, while waiting for the unloading stage of exposure apparatus 1D, that wafer must wait for the next cycle of the transfer schedule to transfer to PEB.
  • the time from the exposure to heating of the wafer becomes longer than that of the other wafers.
  • parameters such as exposure time, exposure amount, heating temperature and heating time in (PEB) are set in advance in order to obtain the target line width of the target.
  • the expected time is set. For this reason, when the pattern is miniaturized and a chemically amplified resist is used, it is considered that the length of time before heating after heating influences the development result. Therefore, if the elapsed time before heating varies from wafer to wafer after exposure, the uniformity of the line width may be lowered and the yield may be lowered when the line width of the pattern is miniaturized from now on.
  • the present invention has been made based on the above circumstances, and the object of the present invention is to treat a substrate with a processing apparatus and then carry out the substrate from one transport means to the other via the processing union.
  • an apparatus sequentially transported to a plurality of subsequent processing units by the transport means, in order to make the time until the next processing equal between the substrates after being processed by the processing apparatus, and to prevent retention of the substrates. is there.
  • Another object of the present invention is that, after the exposure of the substrate, when passing it through the interface unit to the heating unit, the time until the exposure and the heating can be made uniform among the respective substrates.
  • An object of the present invention is to provide a coating and developing apparatus which can prevent the substrate after exposure from staying in the first face portion and can sufficiently exhibit the throughput performance of the exposure apparatus.
  • the substrate processed by the processing apparatus is subsequently processed via a transfer processing unit which doubles as a substrate transfer unit between two transfer means.
  • n two or more integers transfer processing modules for performing predetermined processing on the substrate processed by the processing apparatus, and the substrate
  • one transport cycle is performed by sequentially transporting the substrates placed in each module one by one to transfer the modules to the next module. It is controlled to shift to the next transfer cycle after the transfer cycle is completed, and the substrate is taken out from the transfer processing menu and sequentially transferred to a plurality of subsequent processing runs.
  • the second delivery means for delivering the substrate processed by the processing apparatus to the delivery processing tray, and the second delivery means for delivering the substrate.
  • the transfer processing unit is Since (m + 1) pieces are vacant, the substrates can be carried into the transfer processing tray by the second transport means without stagnation. Therefore, after processing by the processing apparatus, the time until the next processing can be made uniform between the substrates, and retention of the substrates can be prevented.
  • a means is provided to adjust the time from the unloading of the substrate from the processing apparatus to the start of the processing of the substrate by the delivery processing unit so that the time set for the substrate becomes the preset time.
  • the time to be set in advance may be adjusted to the case where the substrate transfer time is the longest, but since the retention of the substrate can be avoided, the maximum transfer time can be increased, and smooth transfer can be performed.
  • the time until the next processing can be accurately adjusted between the substrates after being processed by the processing apparatus.
  • the invention of claim 3 is an application of the invention of claim 1 to a coating and developing apparatus that applies a resist solution and the substrate is exposed by an exposure apparatus and then performs development processing.
  • a resist film is formed between the exposure area and the area in which the processing process group to be processed and the processing process group to be developed are installed and the exposure apparatus.
  • the first delivery unit for delivering the substrate to the first face unit and the substrate after the exposure are subjected to heat treatment, and n (an integer of 2 or more) heatings serving as the second delivery unit.
  • a carrier mounting portion on which a carrier containing a unit and a plurality of substrates is mounted, and each processing member for receiving a substrate from the carrier mounted on the carrier mounting portion, and forming a resist film
  • the substrate is transported in the order of the delivery section, and the substrate exposed by the exposure apparatus is received from the heating unit and placed on each processing unit for carrying out development processing, and the carrier placement section.
  • transport is carried out in the order of carriers and the place where the substrate is placed is called a module
  • it is possible to carry out one transport by sequentially transferring the substrates placed in each module to the next module.
  • the first transport means controlled to execute the cycle and to shift to the next transport cycle after the completion of the one transport cycle, and provided on the interface section from the first delivery section.
  • a second transport means for receiving the substrate and delivering it to the exposure device and transporting the substrate exposed by the exposure device to the heating unit by one sheet; and when the substrate is carried into the heating unit, A control unit that controls the first transfer means to unload the substrate from the heating unit after the cycle including the transfer cycle being performed at that time (n_m (1 or more and an integer smaller than n)) cycles And are characterized.
  • the heating unit comprises a heating plate for heating the substrate, a cooling plate for cooling the substrate heated by the heating plate, and a means for delivering the substrate between the heating plate and the cooling plate. The one provided can be used.
  • a substrate processing apparatus is a substrate processing apparatus capable of delivering and receiving a substrate to and from an exposure apparatus, and performing a predetermined process on a plurality of substrates, wherein the first process is performed on the substrate.
  • control unit can independently control the first transport mechanism and the second transport mechanism, and, for example, control the second transport mechanism and the exposure apparatus to be synchronized. it can.
  • the third processing is performed in the third processing stage, and the exposed substrate is subjected to the third processing even when the unloading of the third processed substrate by the first transport mechanism is not in time. It can be transported to Nitto.
  • exposed substrates can be sequentially conveyed to the third processing unit even when the conveyance delay of the first conveyance mechanism is large.
  • the first process includes, for example, a process of coating a resist on a substrate
  • the second process includes a development process
  • the third treatment includes, for example, heat treatment of the exposed substrate.
  • control unit is configured to set a number between the number of substrates carried into the third processing unit, the first processing unit, the exposure apparatus, and the third processing unit.
  • the sum of the number of substrates being transported by any of the above and the number of substrates being processed by any of the first processing unit and the exposure apparatus is the number of third processing units.
  • the transport by the first transport mechanism is controlled so as to unload the substrate carried into the third processing unit.
  • there is no space for waiting the exposed substrate in the third processing unit and it is possible to prevent the transportation of the exposed substrate from being delayed.
  • the second transport mechanism comprises: A main transport mechanism capable of transporting a substrate to a knit, and an auxiliary transport mechanism capable of receiving a substrate exposed by the exposure device, wherein the control unit transports the substrate by the main transport mechanism and the auxiliary It independently controls the transfer of the substrate by the transfer mechanism.
  • the transfer can be shared between the main transfer mechanism and the auxiliary transfer mechanism. That is, for example, when the main transport mechanism transports the first substrate before exposure, the auxiliary transport mechanism can quickly unload the second substrate from the exposure apparatus.
  • the standby time of the substrate is constant from the end of exposure by the exposure apparatus to the start of the third processing by the third processing station.
  • the substrate processing apparatus further comprises means for controlling the start time of the third processing in the third processing panel after the exposure is completed.
  • the third processing can be performed at the same timing after exposure to each substrate, and the line width of the wiring pattern after development can be made uniform.
  • the waiting time is a maximum value of time from the end of exposure by the exposure apparatus to the time when the exposed substrate is received by the second transport mechanism, and the exposure time.
  • the third processing is performed after the exposure is completed by the exposure apparatus from the sum of the time from the time when the transferred substrate is received by the second transport mechanism to the time when the substrate is transported to the third processing station. It is the time obtained by reducing the actual transport time until it is transported to the unit.
  • the maximum value of the time from the end of exposure by the exposure apparatus to the time when the exposed substrate is received by the second transport mechanism can be determined in advance by, for example, the processing time of each processing unit.
  • the time from the time when the exposed substrate is received by the second transport mechanism to the time when it is transported to the third processing station may be, for example, the transport speed of the second transport mechanism, the transport distance, etc. It can be determined.
  • the waiting time can be obtained by measuring the actual conveyance time.
  • at least one of the main transfer mechanism and the auxiliary transfer mechanism is movable integrally with a first transfer member for transferring a substrate and the first transfer member. And a second transport member capable of transporting the substrate.
  • the second substrate before exposure is placed on the first transport member and transported. Can. Therefore, the substrate can be put on hold and the other substrate can be transported smoothly. Also, for example, when the exposed substrate is carried out continuously from the exposure apparatus, the exposed substrate is placed on the first transport member and the second transport member to make the substrate stand by. It can transport different substrates smoothly.
  • the third processing unit is provided between the exposure apparatus and the third processing unit, and the third processing is started by the third processing unit after the exposure by the exposure apparatus is completed. It also has a standby unit to keep the time until it is fixed. In this way, the exposed substrate is temporarily put on standby, and the time from the end of exposure by the exposure apparatus to the start of the third processing by the third processing unit is constant for each substrate.
  • FIG. 1 is a plan view showing an embodiment of a coating and developing apparatus according to the present invention.
  • FIG. 2 is a perspective view showing the coating and developing apparatus.
  • FIG. 3 is a side view showing the structure of the shelf unit in the substrate processing apparatus.
  • FIG. 4 is a plan view showing an example of the heating unit (P E B) forming one stage of the above-mentioned storage unit.
  • FIG. 5 is a longitudinal sectional view showing the heating unit (PEB).
  • FIG. 6 is a schematic perspective view showing an interface portion in the coating and developing apparatus.
  • FIG. 7 is a plan view showing the transfer path of the wafer in the coating and developing apparatus.
  • FIG. 8 is a block diagram showing an example of a control unit of the coating and developing apparatus.
  • FIG. 9 is an explanatory view showing an example of a transfer schedule created by the control unit.
  • FIG. 10 is an explanatory drawing showing an example of a transfer schedule created by the control unit.
  • FIG. 11 is an explanatory view showing an example of a transfer schedule in the comparative example.
  • FIG. 12 is an explanatory view showing an example of a transfer schedule in the present embodiment for comparison with the comparative example.
  • FIG. 13 is a block diagram showing another example of the control unit.
  • FIG. 14 is a plan view showing a conventional coating and developing apparatus.
  • FIG. 15 is an explanatory view showing a part of a conventional coating and developing apparatus.
  • FIG. 16 is a plan view showing the transfer path of the wafer in the conventional coating and developing apparatus.
  • FIG. 17 is a flowchart showing control for making the maximum value T max of the transport start delay time constant according to another embodiment.
  • Figure 18 shows the relationship between the waiting time, the maximum value of the transfer start delay time, the shortest time, and the actual transfer time.
  • FIG. 19 is a schematic perspective view showing the interface part in the coating and developing apparatus of another embodiment.
  • FIG. 20 (a plan view showing a transfer path of a wafer in a coating and developing apparatus according to another embodiment. Best Mode for Carrying Out the Invention)
  • the resist pattern forming apparatus also represents an embodiment of the coating and developing apparatus according to the present invention, and includes the coating and developing apparatus and an exposure apparatus.
  • FIG. 1 is a plan view showing a resist pattern forming apparatus of the present embodiment
  • FIG. 2 is a perspective view of the same.
  • B 1 is a carrier placing portion for carrying the carrier C in which the wafer W, which is the object to be treated, is tightly stored 13, for example, and a carrier table 21 on which a plurality of carriers C can be placed.
  • An opening / closing portion 22 provided on the front wall as viewed from the mounting table 21; and a transfer arm forming part of a first transfer means for taking out the wafer W from the carrier C via the opening / closing portion 22; 2 and 3 are provided.
  • On the back side of the carrier setting portion B 1 is connected a processing program B 2 which is surrounded by a case 24. In this processing program B 2 a member of the heating system cooling system is heated sequentially from the front side.
  • the main transport mechanism 2 5 (25 A, 25 B), which is a part of the rotatable first transport means, is alternately arranged. That is, the shelves U 1, U 2, U 3 and the main transport mechanism 25 (25 A, 25 B) are arranged in a line in front and back as viewed from the carrier mounting portion B 1 side,
  • the wafer W has an opening (not shown) for wafer transfer so that the wafer W can move freely in the processing block B 2 from the shelf U 1 at one end to the shelf U 3 at the other end. It is getting worse.
  • the drive of the main transport mechanism 2 5 (25 A, 25 B) is controlled by the controller based on the command from the control unit described later.
  • a first transfer means is configured by the transfer arm 23 and the main transfer mechanism 25 (25A, 25B).
  • the main transport mechanism 2 5 (2 5 A, 2 5 B) is either the carrier placement unit B 1 or And one side of the rack units U 1, U 2 and U 3 on the front and rear direction, one side of the liquid processing unit U 4 and U 5 on the right side, and the rear side of the left side.
  • a plurality of arms for example, three arms, which are placed in a space surrounded by the partition wall 26 and which can move up and down, and which can freely move vertically and horizontally, are provided. These multiple arms are configured to be able to move forward and backward independently.
  • a plurality of stages of hydrophobization treatment are provided on the left side of the main transport mechanism 25 A (a position facing the liquid processing unit U 4 across the main transport mechanism 25 A).
  • reference numerals 27 and 28 denote temperature / humidity control units equipped with a temperature control device for the processing solution used in each unit, a duct for temperature / humidity control, and the like.
  • the liquid processing table U 4 and U 5 may be coated, for example, on a coating unit (C ⁇ T) on a storage unit 29 which provides a space for supplying a chemical solution such as a coating solution (resist solution) And developing units (DEV) are stacked in five stages, for example.
  • a coating unit C ⁇ T
  • a storage unit 29 which provides a space for supplying a chemical solution such as a coating solution (resist solution)
  • DEV developing units
  • various types of rackets for performing pretreatment and post-treatment of the treatment performed in the liquid treatment units U4, U5 are stacked in a plurality of stages, for example, 10 stages. It is supposed to be configured.
  • illustration of the hydrophobization processing unit (ADH) is omitted in Figure 2 for convenience of drawing.
  • a temperature control unit for adjusting the wafer W treated with the hydrophobic treatment unit (ADH) to a predetermined temperature before the application of the resist solution is performed.
  • Heating unit (PEB) which is called heat treatment, heating, etc., called heating unit (PEB) and heating unit (PEB)
  • a cooling unit (CPL 3) which is a temperature adjustment unit for adjusting the wafer W to a predetermined temperature before development processing, and a post-baking unit, which heats the wafer W after development processing.
  • the unit includes a cooling unit (CPL 4) for cooling the wafer W heated by this heating unit (POST).
  • Fig. 3 shows an example of these layouts, and for example, 5 stages of heating furniture (PEB) are provided. Note that the layout in Figure 3 is for convenience, and in an actual system, the number of units installed will be determined in consideration of the processing time of each unit. Further, as shown in FIG. 3, for example, the shelves U 1 and U 3 are provided with delivery units (TRS 1) and (TRS 2) each having a delivery table for delivering the wafer W.
  • TRS 1 and (TRS 2) each having a delivery table for delivering the wafer W.
  • the heating units (PAB) and (POST) both have heating plates and are configured to be accessible from both of the main transport mechanisms 25A and 25B.
  • the heating unit (PEB), which heats the wafer W after exposure, includes a heating plate and a cooling plate for removing the rough heat of the wafer W after heating.
  • FIG. 4 is a view showing the detailed structure of (PEB).
  • a stage 42 is provided in the inside of the case 41, and the front side (right side in the figure) of the stage 42 communicates with the fan 43.
  • a ventilating chamber 44 is provided.
  • the ventilating chamber 44 vertically penetrates the inside of the shelf unit U 3 and is connected to a temperature control air supply unit (not shown).
  • an opening 40 (40a, 40b) for carrying the wafer W in and out is formed on the front side in a portion sandwiching the stage 42, and on the back side
  • the refrigerant flow path 46 and the vent hole 147 are formed vertically through. Openings 40 (40a, 40b) can be opened and closed by the evening sun 47, and the main transport mechanism 25B passes through the opening 40a and the main transport 31A passes through the opening 40b. Case 41 It has become accessible within.
  • the vent port 1 4 7 is configured to communicate with the inside of the housing 4 1 through a fan 4 8.
  • a heating plate 6 provided with a cooling arm 5 on the front side thereof and a heating plate 61 on the rear side thereof is provided.
  • the cooling arm 5 includes a main transfer mechanism 25 B or a main transfer portion 31 A, which will be described later, and a heating plate, which enter into the housing 41 through the opening 40 (40 a, 40 b).
  • the wafer W has a role of roughly cooling the heated wafer W at the time of transfer (making a rough heat removal).
  • the leg 51 is configured to be able to advance and retreat in the Y direction along the guide means 4 9 (see FIG. 4) provided on the stage 4 2. Can be moved from the side position of the opening 40 (40 a, 40 b) to the upper position of the heating plate 6.
  • a cooling flow passage (not shown) for flowing temperature control water is provided on the rear surface side of the cooling plate 52.
  • the three support pins 54 are provided so as to protrude and sink through the holes 53, and when the support pins 54 are raised, the cooling plate 52 is provided on the cooling plate 52.
  • a slit 55 is formed so as to be able to pierce and lift the wafer W.
  • an exposure device B4 is connected to the back side of the shelf unit U3 in the processing block B2 via a face portion B3.
  • the interface part B 3 will be described below with reference to FIGS. 1, 2 and 6.
  • the first face portion B3 is composed of a first transfer chamber 3A and a second transfer chamber 3B provided at the front and back between the processing block B2 and the exposure apparatus B4.
  • a main transport unit 3 1 A and an auxiliary transport unit 3 1 B, which make up the second transport means 31, are provided.
  • the main transfer portion 31 A is composed of a base 32 which can be moved up and down and which can be rotated about a vertical axis, and an retractable arm 33 provided on the base 32.
  • An edge exposure apparatus for selectively exposing only the edge portion of the wafer W on the left side viewed from the carrier placement portion B 1 side with the main transfer portion 31 in the first transfer chamber.
  • two buffer cassettes for temporarily accommodating a plurality of, for example, 25 wafers W.
  • TRS 3 delivery unit
  • CPL 2 high-precision temperature control units
  • the transfer arm 23 and the main transport mechanism 25 (25A, 25B), which are the first transport means in the above apparatus, and the second transport means 3 1
  • the transfer arm 23 transports the wafer W before processing in the carrier C placed on the carrier placement unit B 1 to the delivery station (TRS 1), and completes development and then cools down (CPL 4).
  • CPL 4 has a role of transferring the processed wafer W placed on the carrier C to the carrier C.
  • the main transport mechanism 25 (25 A, 25 B) is for the wafer W on the delivery unit (TR S 1) to be treated by hydrophobization (ADH), cooled (CPL 1), coated (COT) Then, it is transported in the order of heating furniture (PAB) delivery furniture (TRS 2), and further, the information processing section B
  • Wafer W taken out of 3 and placed in the heating unit (PEB) is cooled by the cooling unit (CPL 3), developing unit (DEV) / heating unit (POST), cooling unit (CPL. 4) It has a role to carry in order of).
  • the main transport unit 31A sequentially transports the wafer W before exposure mounted on the delivery unit (TRS 2) to the peripheral exposure unit (WEE), the buffer cassette (SBU), and the high-precision temperature control unit (CPL2). Together with the auxiliary transport unit 3 1B It has a role of transferring the exposed wafer W placed on the transfer unit (TRS 3) to the heating unit (PEB).
  • the auxiliary transfer unit 31 B is configured so that the base 34 which can move up and down and around the vertical axis can move in the left and right direction by the function of the guide mechanism 35.
  • An arm 36 is provided.
  • the auxiliary transfer unit 31 B transfers the wafer W in the high-precision temperature adjustment unit (CPL 2) to the loading stage 37 of the exposure apparatus B 4 and delivers the wafer W on the unloading stage 38 of the exposure apparatus B 4. It has a role to transport to the UNIT (TRS 3).
  • the second transport means 31 (31A, 31B) is drive-controlled based on a command from a control unit described later.
  • the pattern forming apparatus described above controls the drive control of the main transport mechanism 25 (25 A, 25 B) and the second transport means 31 (31 A, 3 IB) and the control of each processing unit as described above.
  • the control unit 7 is provided.
  • Fig. 8 shows the configuration of the control unit 7.
  • the control unit 7 comprises a CPU (central processing unit), a program, a memory, and so on. It shall be explained.
  • reference numeral 70 denotes a bus, to which the recipe storage unit 71, the recipe selection unit 72, the transfer schedule creation unit 73, the first transfer control unit 74, and the second transfer control unit 75 are connected.
  • the recipe storage unit 71 is a portion for storing a plurality of recipes in which, for example, a transfer recipe in which a transfer path of the wafer W is recorded, processing conditions to be performed on the wafer W, and the like are recorded.
  • the recipe selection unit 72 is a unit for selecting an appropriate one of the recipes stored in the recipe storage unit 71. For example, the number of processed wafers and the type of resist can be input.
  • the transfer schedule creation unit 73 determines at which timing and at which timing for all the wafers W in the mouth. It is a part for creating a delivery schedule of contents such as whether to deliver to a knit, and in the present embodiment, the delivery schedule is created in the carrier placement unit B1 and the processing block B2. Specifically, for the section from the carrier C placed in the carrier setting section B 1 to the delivery section (TRS 2) just before the entrance section B 3 in the outward path, the return path is the heating section ( With respect to the section from PEB) to carrier C placed on the carrier placement unit B1, the transport schedule is created by the later described later.
  • the first transfer control unit 74 controls the first transfer means (the transfer arm 23 and the main transfer mechanism 25) based on the transfer schedule created by the transfer schedule creating unit 73. .
  • the transfer schedule for unloading the wafer W from the heating unit (PEB) is one.
  • the first transfer means consisting of the transfer arm 23 and the main transfer mechanism 25 (25A, 25B) is from the inside of the carried carrier C.
  • One wafer W is taken out, and one wafer is transferred to the next module while the wafer W placed in the next module is transferred to the next module, and thus the first module example
  • the wafer C is transferred from the carrier C and sequentially transferred to the next module after one wafer W, and when transfer to the last module is completed, one phase (cycle) is completed.
  • the first module is the module in which the last wafer W is located in the module group present in the transport path, and if there is an unprocessed wafer W in the carrier C, is there.
  • the last module is the module in which the first wafer is located in the transport path, for example, the first wafer W has already finished all processing, and the original carrier C is used.
  • the carrier C corresponds, but if, for example, the leading wafer W does not reach the carrier C and it is put on the heating unit (POST) after development, for example, the heating unit (POST) corresponds to the last module. .
  • the wafer imposing from the heating unit (PEB) is performed at the time of carrying-in.
  • the wafer W is unloaded from (PEB) when the number of cycles which is one less than the number of stages of the heating unit (PEB), including the cycle of the transport means, is entered. That is, when the wafer W is carried into the heating unit (PEB), the transfer schedule creation unit 73 performs heating in the phase after the “4” cycle, which is one less than the number of heating stages (PEB) of “5”.
  • the wafer W is described at the location of the cooling unit (CPL 3), which is the next module of the uniform (PEB).
  • the second transport control unit 75 controls the second transport means 31 (31 A, 31 B).
  • the second transfer control unit 75 outputs, for example, a signal indicating that the transfer of the wafer W is possible from the transfer source module and a signal indicating that the transfer of the wafer W is possible to the transfer destination module.
  • the second transfer means 31 (31A, 31B) is controlled so that the wafer W is unloaded from the transfer source module to the transfer destination module in the order of output.
  • the exposure apparatus corresponds to the processing apparatus of the present invention
  • the heating unit (PEB) is a delivery according to the present invention for performing predetermined processing on the substrate processed by the processing apparatus. It corresponds to the treatment process.
  • the number of stages “5” of the heating unit (PEB) is n (integer of 2 or more) in the present invention. It corresponds to "n”.
  • the batch selection selects a recipe.
  • the transfer schedule creating unit 73 transfers the transfer schedule for the first half of all wafers in a lot, for example, as shown in FIG. 9, in this example, for each wafer W1 to W3 in the mouth.
  • a transportation schedule is created in the range from the carrier C placed on the carrier mounting portion B 1 to the delivery station (TRS 2).
  • FIG. 9 shows the case where ten wafers AO 1 to A 10 are sequentially transported for the sake of convenience, and it is described that each processing unit is one. Also, in FIG. 9, some modules are omitted because all modules will not fit on the page, so for example, cooling unit (CPL 3) may be omitted after the heating unit (PEB) to develop unit (DE). V) is stated. Actually, there are a large number of wafers W, and a plurality of processing units such as ADH, CPL, C 0 T, PAB, etc. are provided. In this case, a plurality of processing units of the same type can be If you identify it as in Figure 10 AD
  • the control unit 7 outputs an instruction to each unit while referring to the transfer schedule, and the processing on the wafer W is started.
  • the main transport mechanism in the processing block B 2 As shown in Figure 7 by (25 A, 25 B), the transfer unit (TRS 1), hydrophobization processing unit (AI H), coating
  • the prescribed processing is performed while being transported in the order of heat treatment (PAT), heat exchange (PAB) and delivery (TRS 2).
  • the main transport mechanism 25 (25 A, 25 B) is provided with three arms as described above, for example, the wafer already subjected to hydrophobization treatment is taken out from the hydrophobic or processing unit (ADH), and then the wafer is transferred.
  • the next wafer received from the TRS (TRS 1) is carried into the hydrophobization processing unit (ADH), and in this way the wafer W is sequentially sent to the next processing station.
  • the wafer W transferred to the transfer station (TRS 2) is subjected to the edge exposure unit (WE E), the notch cassette (SBU) and the cooling unit in the face portion B 3 as described in FIG. It is transported in the order of (CPL 2) and loading stage 37, and is exposed by the exposure apparatus B4. Then, after the exposure processing, the sheet is conveyed from the unloading stage 38 to the heating block (PEB) of the processing block B 2 via the delivery (TRS 3), but the second conveying means 31 (31A, 3)
  • the operation of 1 B) is not included in the transfer schedule of the created front half as described above, so it is asynchronous (independent) to transfer arm 23 and main transfer mechanism 25 (25 A, 25 B). Works with).
  • the transfer schedule creating unit 73 creates the transfer schedule of the rear half, ie, the return path after the wafer W is transferred to (PEB) in the processing block B2.
  • FIG. 16 is a diagram showing the state of being transferred to the heating unit (PE B) after being exposed in B 4 in correspondence with the phase of the transfer schedule, for example, A 0 1 +2 is not only the wafer A 0 but also the subsequent It shows that two wafers (AO 2 and AO 3) are present in the interface area B 3 or the exposure apparatus B 4. For example, as shown in FIG.
  • the first wafer A 0 1 is exposed and carried into the heat transfer unit (PEB) by the second transport means 31 of the face portion B 3, and the cycle which the first transport means is executing is then phase phase 10.
  • the wafer A 0 1 is carried out by the main conveyance mechanism 25 B which is the first conveyance means at the phase 13 which is four cycles after that including the cycle, the conveyance schedule Is created.
  • the unit next to the heating unit (PEB) is actually the cooling unit (CPL 3), but for convenience the developer unit (DEV) is the conveyance destination and AO 1 is described in that column.
  • the wafer W is delivered to the cooling plate 52 through the one opening 40 a (see FIG. 4) by the second transfer means, and is delivered from the cooling plate 52 to the heating plate 6 for heating After being processed, the heat is transferred to the cooling plate 52 to remove the crude heat, and then it is carried out through the other opening 40b by the main transport mechanism 25b.
  • the number of wafer staying cycles temporarily increases by one from the normal number of staying cycles to 5 cycles, it is within one cycle of the transfer schedule.
  • a cycle phase 17 in the example of FIG. 9 in which one wafer is not transported from the exposure apparatus B 4 thereafter, and excess idle heating occurs in that cycle.
  • Unit PEB is added. If two wafers are transferred within one cycle of the transfer schedule, there may be a cycle in which no wafer is transferred from the exposure apparatus B 4 before that.
  • a plurality of, for example, five (stages) of heating can be used as a delivery unit for delivering the wafer W after exposure from the second transfer means 31 to the main transfer mechanism 25.
  • a unit (PEB) is provided, and the cooling plate 52 of the heating plate (PEB) is used to make a first conveyance means, ie, the main conveyance mechanism 25 and the second in the interface section B3. Delivery between the transport means 3 1 and.
  • the wafer W placed on the heating chamber (PEB) by the second transfer means 31 is then included in the fourth cycle including the relevant transfer schedule of the first transfer means at that time. That is, the first transport means is controlled such that it is carried out after a cycle number n ⁇ 1, which is one less than the installation number n of heating units (PEB), has elapsed.
  • Fig. 11 shows the 5th cycle including the relevant cycle of the transfer schedule being executed by the first transfer means when the wafer is carried into the heating unit (PEB), that is, the heating unit.
  • the transfer time between exposure apparatus B 4 and heating unit (PEB) at wafer A 06 becomes longer than that of the other wafers, that is, the elapsed time before heating after exposure becomes longer than that of the other wafers. .
  • the wafer A 06 is to stand by in the printing section B 3, the wafer can not be unloaded from the exposure apparatus B 4, and as a result, the operation of the exposure apparatus B 4 must be interrupted. It disappears.
  • Fig. 12 shows that after the wafer is carried into the heating unit (P EB) as in the embodiment, the number of cycles "4", which is one less than the number of installed heating stations (PEB), has elapsed. It is a state of conveyance at the time of controlling the 1st conveyance means so that it may be taken out from a heating unit (PEB) after that. In this case, since two heating units (PEB) are vacant, the two wafers AO 5 and AO 6 are both carried into the heating unit (PEB).
  • the variation in the elapsed time before heating after exposure is small, and for example, the adverse effect on development can be suppressed for a chemically amplified resist, and the occurrence of unevenness in the line width of the circuit pattern can be suppressed.
  • Product yield is improved.
  • the present invention it is preferable to determine in advance the maximum time before heating after exposure, and to adjust the time before heating of all wafers in the lot to be uniform.
  • Such a method makes sense when transporting as shown in Fig.12, but as shown in Fig.11, with the method of unloading wafers after the same number of cycles as the number of heating stations (PEB) installed, has passed.
  • the maximum time must be matched when waiting at interface part B 3 as with wafer AO 6 above.
  • the transfer time between the exposure apparatus B 4 and the heating unit (PEB) for all wafers is considerably long and can not be adopted.
  • FIG. 13 shows a configuration in which the pre-heating elapsed time adjustment section 76 is provided in the control section 7.
  • the pre-heating elapsed time adjustment section 76 has finished exposing the wafer W in the exposure apparatus B4. It includes a program to adjust the elapsed time before heating t to a predetermined time from the time when the ready signal is output to the time when heating of the wafer W is started by the heating bench (PEB).
  • the purpose is to make the time t constant for any wafer. Specifically, when the wafer W is placed on the cooling plate 52 of the heating unit (PEB), the time for the wafer W is determined, and only the time obtained by subtracting the time t from the preset time.
  • the program is programmed to stand by while being supported by the support pin 54 above the heating plate 6 in the PEB.
  • the portion where the wafer W stands by may be on the cooling plate 52 or may be supported by the support pins 54 on the cooling plate 52 side.
  • the preset time is, for example, from when the exposure apparatus B 4 outputs an output ready signal assuming various cases until the heating unit (PEB) starts heating the heating unit (PEB). It is the expected maximum time.
  • the second transport means 31 of the incubation face portion 1C may be a single transport portion without being divided into the main transport portion 31A and the auxiliary transport portion 31B.
  • the installation number n of heating furniture (PEB) is not limited to “5”, and may be “2”, “3”, “4” or “6” or more.
  • the carrying cycle of the first carrying means at that time is not limited to being carried out after the (n-1) cycle, including after the (n-2) cycle. It may be taken out, or it may be taken out after the n-3) cycle. That is, in the present invention, m is an integer greater than or equal to 1 and smaller than n. Then, it is intended to take it out of the heating unit (PEB) after (nm – m) cycles.
  • the present invention is not limited to the coating and developing apparatus. For example, after a material of an insulating film is coated on a substrate by a processing apparatus, for example, gelation processing is performed by a processing unit for delivery, and then the first transport means is used.
  • the present invention can also be applied to a system for taking out and transferring sequentially to a baking processing oven, a curing processing oven, and a substrate unloading unit.
  • the first transfer control unit 74 is configured to use a transfer arm 23 as a first transfer mechanism and a main transfer mechanism 2 based on the transfer schedule created by the transfer schedule creating unit 73.
  • Control 5 Further, the second conveyance control unit 75 controls the main conveyance unit 31 A and the auxiliary conveyance unit 31 B as the second conveyance mechanism. At this time, conveyance of the transfer unit 23, the main conveyance mechanism 25, the main conveyance unit 31 A, and the auxiliary conveyance unit 31 B is controlled to be independent (asynchronous).
  • the second conveyance control unit 75 controls the main conveyance unit 31 A, the auxiliary conveyance unit 31 B, and the exposure device B 4 in synchronization with each other.
  • the wafer W may not be unloaded from B 4 for a while. Therefore, the wafer W may be continuously taken out of the exposure apparatus B 4 after completion of the reticle replacement.
  • the main transfer portion 31 A and the auxiliary transfer portion 31 B are controlled independently of the transfer arm 23 and the main transfer mechanism 25 A and 25 B. It will be controlled. Therefore, the exposed wafer W can be sequentially transferred to the heating unit (PEB) as n third processing windows by the main transfer portion 31A and the auxiliary transfer portion 31B.
  • This allows another exposed wafer to be heated (PEB) even if, for example, the transfer arm 23 and the main transport mechanisms 25A and 25B are not ready for the unloading of the wafer already heated by the heating unit (PEB). Can be transported to Therefore, the wafer W can not be carried out from the exposure apparatus B 4 to the heating unit (PEB), and the exposure apparatus B 4 can be prevented from being dropped.
  • n heating furniture (PEB) are provided, for example, exposed wafers can be sequentially transferred to the heating unit (PEB) even when the transfer delay of the main transfer mechanisms 25A and 25B is large. can do.
  • the main conveyance unit 31A and the auxiliary conveyance unit 31B shown in FIG. 7 are controlled independently by the second conveyance control unit 75.
  • the transfer of the wafer W can be shared between the main transfer unit 31 A and the auxiliary transfer unit 31 B.
  • the main transfer unit 31 A transfers the wafer W from the peripheral exposure device (WEE) to the buffer cassette (SBU)
  • the wafer W exposed by the exposure device B 4 can be transferred.
  • the exposure apparatus B is rapidly exposed to the wafer W by the auxiliary transfer unit 31 B in parallel with the transfer of the wafer W by the main transfer unit 31 A without waiting until the transfer of the main transfer unit 31 A is completed. It can be transported from 4 to the delivery unit (TRS 3).
  • T FED Post Exposure Delay Time
  • step 1 the control unit 7 performs heating after heating exposure (PEB) after exposure is completed by the exposure apparatus B4. Measure the actual transport time T r actually taken to start.
  • PEB heating after heating exposure
  • step 2 using the actual transfer time T r measured in step 1, the waiting time T t for causing the wafer W to stand on the cooling plate 52, for example, is calculated using the following formula.
  • Wait time Tt (maximum value of transport start delay time T max) + (minimum time T min) 1 (actual transport time Tr)
  • FIG. 18 shows the relationship between the waiting time Tt, the maximum value T max of the transfer start delay time, the shortest time T min and the actual transfer time T r.
  • the maximum value T max of the transfer start delay time is the maximum value T max of the time during which the wafer W stands by in the exposure apparatus B 4, that is, by the main transfer section 31 after the exposure is completed by the exposure apparatus B 4. It represents the maximum value T max of the time until receipt.
  • the shortest time Tmin represents the shortest time required to transfer the wafer W exposed to the main transfer unit 31 A to the heating unit (PEB).
  • a maximum value T max table of transfer speed and transfer start delay time is prepared for the maximum value T max of the transfer start delay time.
  • the transport speed-maximum value of transport start delay time Tmax table is stored in advance in the control unit 7.
  • the transport speed-minimum time Tmi ⁇ ⁇ ⁇ ⁇ table is prepared.
  • Conveying speed-minimum time Tmin table is stored in the control unit 7 in advance.
  • the waiting time T t can be obtained only by measuring the actual transport time T r.
  • the wafer W is allowed to wait in the heating unit (PEB) for the waiting time T t obtained in step 2.
  • step 4 the heating process is started on the wafer W in the heating unit (PEB).
  • the post-exposure delay time T PED can be made constant for each light W. That is, for the exposed wafer W It is possible to suppress the occurrence of an error in the line width of the pattern between the wafers W by performing the heat treatment at the same timing after the exposure.
  • the first transfer control unit 74 includes the number of wafers carried into the heating unit (PEB), the processing block B2, the first face portion B3, the exposure device B4, and the heat processing unit. (PEB), the sum of the number of wafers being processed and the number of wafers being processed by processing block B2, interface section B3 and exposure apparatus B4 is the heating unit.
  • the transfer arm 23 and the main transfer mechanism 25 are controlled so as to transfer the wafer carried into the heating unit (PEB) before the number of (PEB) is reached. For example, the case where a wafer is carried into two heating units (PEB) out of five heating units (PEB) shown in FIG. 3 will be described.
  • the main transfer mechanism 25 is operated by the heating unit ( Take out the wafer carried into PEB).
  • the heating unit PEB
  • the main transfer portion 31 A has one arm 33
  • the main transfer portion 31 A is a arm as a first transfer member.
  • the arm 133 may be provided as a second transfer member above the upper end of the arm.
  • the wafer W can be transported from (WEE) to the buffer cassette (SBU). Therefore, the wafer W can be transported smoothly. Also, the exposed wafer W is placed on the arm 33 and the arm 133, and the arm 133 is exposed. It can be used to wait (buffer) for transfer of the fuel W. If at least one of the main transfer unit 31A and the auxiliary transfer unit 31B has the arm 133, the same effect can be obtained.
  • the wafer W stands by on, for example, the cooling plate 52 of the heating unit (PEB).
  • a standby system of the same configuration as the nozzle cassette (SBU) is used.
  • SBU2 standby system of the same configuration as the nozzle cassette
  • the wafer W is transferred from the delivery station (TRS 3) by the auxiliary transfer unit 31B to the standby menu (SBU 2), and the main transfer unit 31 A transfers the wafer W from the standby window (SBU 2) to the heating unit. Wafer W is transferred to (PEB).
  • the post-exposure delay time T PED can be made constant for each wafer W by waiting the exposed wafer W in the waiting program (SBU 2). Therefore, the exposed wafer W can be subjected to the heat treatment at the same timing to suppress the occurrence of an error in the line width of the pattern between the wafers W.
  • the time until the next processing can be made uniform between the substrates after being processed by the processing apparatus, and the retention of the substrates can be prevented.
  • the invention applied to a coating / developing apparatus after the substrate is exposed, when it is delivered to the heating unit through the printing unit, the time until it is exposed and then heated is set between the substrates.
  • the substrate after exposure can be prevented from staying in one face portion, and the throughput performance of the exposure apparatus can be sufficiently exhibited.

Abstract

半導体ウエハ等の基板にレジスト膜を形成し、露光装置にて露光さ露光後の基板を現像する塗布現像装置において、露光装置より搬出されてから加熱ユニット(PEB)にて加熱を開始するまでの時間を基板間でそろえると共に、レジストの塗布現像を行う領域と露光装置との間に介在するインターフェース部での露光後ウエハの滞留を防止することである。レジストの塗布現像を行う領域には、ウエハの処理の流れにおける上流側のモジュールから順次下流側のモジュールにウエハを1枚づつ繰り下げて一の搬送サイクルを実行し、続いて次の搬送サイクルに移行する第1の搬送手段が設けられている。加熱ユニット(PEB)はn個例えば5個設けられ、ここに搬入された露光後ウエハは、そのときに第1の搬送手段が実行している搬送サイクルを含めて(n−1)サイクル後に第1の搬送手段により搬出される。

Description

明 細 書 基板処理システム、 塗布現像装置及び基板処理装置 技術分野
本発明は、 例えば半導体ウェハや液晶ディスプレイ用のガラス基板 (L C D基板) といった基板に対して処理装置にて処理を行った後、 その基板 を一の搬送手段から処理ュニッ トを介して他の搬送手段により後続の複 数の処理ュニッ トに順次搬送する塗布現像装置に関するものであり、例え ばレジスト膜の形成及び露光後の現像処理を行う塗布現像装置において、 露光装置との間に介在するイン夕一フェース部から現像処理を行う領域 に露光後の基板を受け渡すための技術に関する。 背景技術
半導体デバイスゃ L C D基板の製造プロセスにおいては、 フォトリソグ ラフィと呼ばれる技術により基板へのレジスト処理が行われている。 この 技術は、 例えば半導体ウェハ (以下ウェハという) にレジスト液を塗布し て当該ウェハの表面に液膜を形成し、 フォトマスクを用いて当該レジスト 膜を露光した後、 現像処理を行うことにより所望のパターンを得る、 一連 の工程により行われている。
このような工程を実施する塗布現像装置に露光装置を組み合わせた装 置が知られている。 図 1 4はこのシステムを示す概略平面図、 図 1 5はこ のシステムの一部を示す概略側面図、 図 1 6はこの装置におけるウェハ W の搬送経路を示す説明図である。塗布現像装置 1は多数のキヤリア Cが載 置されるキヤリァ載置部 1 Aとその奥側に順に設けられる処理プロヅク 1 Bとインターフヱ一ス部 1 Cとで構成され、露光装置 1 Dはイン夕一フ エース部 1 Cを介して塗布現像装置 1と接続されている。キヤリア載置部 1 A内にはキヤリア C内のウェハ Wを処理プロック 1 Bに搬送する受け 渡しアーム 1 1が設けられている。処理ブロック 1 Bの内部には進退及び 昇降自在で且つ水平方向に回転自在な例えば 3本のアームを有するメイ ン搬送アーム 1 2を中心に、 キャリア載置部 1 Aから見てメイン搬送ァ一 ム 1 2の手前側、 左側、 奥側には例えば加熱ュニット、 高精度温調ュニッ トである冷却ュニッ トを多段に積み重ねてなる棚ュニヅ ト 1 3 ( 1 3 a, 1 3 b, 1 3 c) が配置されており、 同様に右側には塗布ユニット (CO T) 及び現像ュニット (D E V) を含む液処理ュニット 1 4が配置されて いる。
また例えば棚ュニヅ ト 1 3 a〜 1 3 cにはキヤリァ載置部 1 Aと処理 ブロヅク 1 Bとの間で、 または棚ュニッ ト 1 3 a〜 1 3 c同士の間で、 或 いは処理プロック 1 Bとイン夕一フェース部 1 Cとの間でウェハ Wの受 け渡しを行うための受け渡しュニット (TR S 1〜TR S 3 ) や、 疎水化 処理装置 (ADH) 及び露光処理後の加熱処理を行うためのベーク装置等 が組み込まれている。
イ ン夕一フェース部 1 Cには例えば高精度温調ユニッ ト (CP L)、 周 縁露光装置(WEE)及びバッファカセヅト( SB U)が設けられており、 これらモジュールの間でまたはこれら各モジュールと処理ブロヅク 1 B の棚ュニヅ ト 1 3 cとの間でウェハ Wの受け渡しを行うための受け渡し アーム 1 5が、進退及び昇降自在且つ水平方向に回転自在に設けられてい る。更に受け渡しアーム 1 5は、 例えば露光装置 1 C内に設けられる搬入 ステージ 1 6及び搬出ステージ 1 Ίにもアクセスが可能であり、 ィン夕一 フエース部 1 Cと露光装置 1 Dとの間でウェハ Wの受け渡しを行うこと ができる構成とされている。
上記のシステムでは、 キヤリァ載置部 1 Aに載置されたキヤリア C内の ウェハ Wは受け渡しアーム 1 1を介して処理プロヅク 1 Bに搬入され、塗 布ユニット (COT) にてレジスト液の塗布が行われ、 その後イン夕一フ エース部 1 C、 露光装置 1 Dの順で搬送されて露光される。 露光後、 ゥェ ハ Wは逆の経路で処理ブロック 1 B内の現像ユニット (DEV) まで搬送 され、 ここで現像される。 その後ウェハ Wは受け渡しアーム 1 1を介して キヤリァ載置部 1 Aに戻される。なお塗布及び現像の前後には例えば棚ュ ニヅ ト 13 (13 a, 13 b, 13 c ) にて例えば加熱や冷却等の前処理 及び後処理が行われている。
ウェハ Wは上記の処理を施されるにあたり、所定の経路で搬送されるよ うに予めプログラムされており、図 16を参照しながらその経路の一例を 示す。 なお図中 PABはプリべ一キングュニヅト、 PEBはポストェクス ポージャーべ一キングュニッ ト、 P 0 S Tはポストべ一キングュニヅ ト (現像後べ一キングュニッ ト) である。 図示するようにウェハ Wは受け渡 しアーム 1 1によりキヤリア Cから処理プロヅク 1 B内に搬送された後、 メイン搬送アーム 12により TRS 1、 ADH、 COT, PAB、 TRS 2の順で搬送され、次いで受け渡しアーム 15により TRS 2、 CPL 3、 WEE, S BU、 搬入ステージ 16の順に搬送される。 なお ADHの後に は実際にはウェハ Wが温調されるが、 紙面の制約から省略してある。 そし て露光装置 1 Dによる露光処理後、 ウェハ Wは受け渡しァ一ム 15により 搬出ステージ 17、 TRS 3の順で搬送され、 メイン搬送アーム 12によ り TRS 3、 PEB, CPL、 DEV、 POST, C PLの順で搬送され た後、 受け渡しアーム 1 1によりキャリア C内に戻される。
そしてウェハを連続処理する場合における口ヅトの全てのウェハにつ いて、予め各々がどのタイミングでどのモジュールに搬送されるかを定め た搬送スケジュールがメモリ内に記憶されている。従って受け渡しアーム 1 1及びメイン搬送アーム 12を搬送系と呼ぶことにすると、 この搬送系 は、 前記搬送スケジュールに従って図 16の点線で'示すように TRS 1、 ADH、 COT, PAB、 TRS 2、 TRS 3、 PEB、 CPL、 DEV、 POS T, CP Lの順に受け渡し動作をする。 塗布現像装置においてゥェ ハをキヤリア (力セヅト) から取りだして順次処理ュニヅ 卜に搬送するこ とについては例えば日本国特許庁が発行する特開 200 1 - 35 184 8号公報 (段落 0003、 段落 0093〜0099) に記載されている。 ところで露光装置 1 Dではロッ 卜の切り替わり時においてレチクルの 交換や露光処理におけるパラメ一夕を変更するのに時間を要するとき、或 いはアラームが発せられたとき等において、露光装置 1 Dからしばらくゥ ェハが搬出されないことがあるが、 そのためレチクルの交換の終了後など において、 露光装置 1 Dから連続してウェハが搬出されることがある。 し かしながらメイン搬送アーム 12はスケジュール搬送を行っているので、 T R S 3から露光後の 1枚のウェハを受け取って P EBに搬送した後、逆 戻りできないことから、 ウェハが露光されているにもかかわらず、 そのゥ ェハは露光装置 1 Dの搬出ステージに待機したまま、搬送スケジュールの 次のサイクルまで P EBへの搬送を待たなければならない。
このため当該ウェハは露光されてから加熱されるまでの時間(加熱前経 過時間) が他のウェハよりも長くなつてしまう。 ところで目標とするパ夕 一ンの線幅を得るために露光時間、 露光量、 (PEB) における加熱温度 及び加熱時間などのパラメ一夕を予め設定するが、 その際加熱前経過時間 についても予め設定した時間を見込んでいる。 このためパターンが微細化 し、 化学増幅型のレジストを用いた場合、 露光後において加熱前経過時間 の長さが現像結果に影響を及ぼすと考えられる。従って露光後において加 熱前経過時間がウェハ間でばらつくと、今後パターンの線幅が微細化して いったときに線幅の均一性が低くなり、歩留まりが低下するおそれがある。 またィン夕一フェース部 1 Cで露光後のウェハが滞留すると、露光装置 1 Dで露光を進めることができなくなり、露光装置のスル一プットを生か ししきれなくなる。 これを避けるためにはィンターフェ一ス部 1 C内にバ ッファを設ければよいが、 その場合には搬送工程が多くなり、 結果として 装置全体のスループットの妨げになる。 発明の開示
本発明はこのような事情に基づいてなされたものであり、 その目的は、 基板に対して処理装置にて処理を行った後、 その基板を一の搬送手段から 処理ュニッ トを介して他の搬送手段により後続の複数の処理ュニッ トに 順次搬送する装置において、 処理装置で処理された後、 次の処理に至るま での時間を基板の間で揃え、 また基板の滞留を防止することにある。 本発 明の他の目的は、 基板を露光した後、 インターフェース部を介して加熱ュ ニットに受け渡すにあたって、 露光された後、 加熱されるまでの時間を各 基板の間で揃えることができ、露光後の基板がィン夕一フェース部で滞留 することを防止できて露光装置のスループッ 卜の性能を十分発揮できる 塗布現像装置を提供することにある。
上記目的を達成するため、 本発明に係る基板処理システムは、 処理装置 にて処理された基板を、 2つの搬送手段の間の基板の受け渡し部を兼用す る受け渡し用処理ュニッ トを介して後続の複数の処理ュニッ トに順次搬 送する基板処理装置において、前記処理装置にて処理された基板に対して 所定の処理を行う n ( 2以上の整数) 個の受け渡し用処理ュニットと、 基 板が置かれる個所をモジュールと呼ぶとすると、各モジュールに置かれた 基板を 1枚づっ一つ順番が後のモジュールに移すように順次搬送を行う ことにより一の搬送サイクルを実行し、 当該一の搬送サイクルが終了した 後次の搬送サイクルに移行するように制御され、前記受け渡し用処理ュニ ッ トから基板を取り出して後続の複数の処理ュニッ トに順次搬送する第 1の搬送手段と、前記処理装置にて処理された基板を 1枚づっ受け渡し用 処理ュニッ 卜に搬送するための第 2の搬送手段と、 受け渡し用処理ュニヅ トに基板が搬入されたときに、 そのときに実行されている搬送サイクルを 含めて(n— m ( 1以上で nよりも小さい整数)) サイクル後に当該基板を 受け渡し処理ュニッ トから搬出するように第 1の搬送手段を制御する制 御部とを備えたことを特徴とする。
この発明によれば、第 1の搬送手段の一の搬送サイクルが実行されてい るときに処理装置から例えば連続して(m + 1 )枚の基板が搬出されても、 受け渡し用処理ュニットは例えば (m + 1 ) 個空いているので、 それら基 板が滞留することなく第 2の搬送手段により受け渡し用処理ュニッ トに 搬入できる。従って処理装置で処理された後、 次の処理に至るまでの時間 を基板の間で揃えることができ、 また基板の滞留を防止できる。
本発明では、処理装置から基板が搬出されてから受け渡し処理ュニッ ト にて当該基板の処理が開始されるまでの時間をいずれ基板についても予 め設定した時間となるように調整する手段を備えるようにしてもよい。 こ の場合予め設定する時間を基板の搬送時間が最も長い場合に合わせれば よいが、 基板の滞留が回避できることから、 最大搬送時間は高々しれたも のであり、 スムーズな搬送を行うことができ、 しかも処理装置で処理され た後、次の処理に至るまでの時間を基板間で正確に調整することができる。 請求項 3の発明は、 請求項 1の発明を、 レジスト液を塗布し、 その基板 が露光装置で露光された後、現像処理を行う塗布現像装置に適用したもの であり、前記基板に対してレジスト膜を形成するための一連の処理を順次 行う複数の処理ュニヅトと、露光後の基板に対して現像処理を行うための 一連の処理を順次行う複数の処理ュニッ トと、 レジスト膜の形成を行う処 理ュニッ ト群及び現像処理を行う処理ュニッ ト群が設置される領域と露 光装置との間に介在するィン夕一フェース部と、 レジスト膜が形成された 基板をィン夕一フェース部に受け渡すための第 1の受け渡し部と、露光後 の基板に対して加熱処理を行い、 第 2の受け渡し部を兼用する n ( 2以上 の整数)個の加熱ュニットと複数の基板を収納したキヤリァが載置される キヤリァ載置部と、 このキヤリア載置部に載置されたキヤリァから基板を 受け取って、 レジスト膜を形成するための各処理ュニヅト、 第 1の受け渡 し部の順に基板を搬送し、更に露光装置にて露光された基板を前記加熱ュ ニットから受け取って、 現像処理を行うための各処理ユニッ ト、 キャリア 載置部に載置されたキヤリアの順に搬送すると共に、基板が置かれる個所 をモジュールと呼ぶとすると、各モジュールに置かれた基板を 1枚づっー つ順番が後のモジュールに移すように順次搬送を行うことにより一の搬 送サイクルを実行し、 当該一の搬送サイクルが終了した後次の搬送サイク ルに移行するように制御される第 1の搬送手段と、前記ィン夕ーフェース 部に設けられ、第 1の受け渡し部から基板を受け取って露光装置に受け渡 すと共に、露光装置にて露光された基板を 1枚づっ前記加熱ュニットに搬 送する第 2の搬送手段と、 前記加熱ュニットに基板が搬入されたときに、 そのときに実行されている搬送サイクルを含めて(n _ m ( 1以上で nよ りも小さい整数)) サイクル後に当該基板を加熱ュニットから搬出するよ うに第 1の搬送手段を制御する制御部と、 を備えたことを特徴とする。加 熱ユニットは、 基板を加熱する加熱プレートと、 この加熱プレートで加熱 された基板を冷却する冷却プレートと、加熱プレ一トと冷却プレ一トとの 間で基板の受け渡しを行う手段と、 を備えたものを用いることができる。 本発明に係る基板処理装置は、露光装置との間で基板の受け渡しが可能 であり、 複数の基板に所定の処理をする基板処理装置であって、 基板に第 1の処理をする第 1の処理ュニットと、前記露光装置で露光された基板に 前記第 1の処理とは異なる第 2の処理をする第 2の処理ュニッ卜と、基板 に、前記第 1の処理及び前記第 2の処理とは異なる第 3の処理をする複数 の第 3の処理ュニヅトと、 前記第 1の処理ュニヅト、 第 2の処理ュニヅト 及び複数の第 3の処理ュニットの間で基板を搬送する第 1の搬送機構と、 前記露光装置と前記複数の第 3の処理ュニッ トとの間で基板を搬送する 第 2の搬送機構と、 前記第 1の搬送機構による基板の搬送と、 前記第 2の 搬送機構による基板の搬送とを独立して制御する制御部とを具備する。 本発明では、 制御部により、 第 1の搬送機構と第 2の搬送機構とを独立 に制御することができるとともに、 例えば、 第 2の搬送機構と露光装置と が同期するように制御することができる。 これにより、 第 3の処理ュニヅ 卜で第 3の処理をするとともに、第 1の搬送機構による第 3の処理の済ん だ基板の搬出が間に合わないときでも、露光済みの基板を第 3の処理ュニ ットに搬送することができる。 また、 第 3の処理ュニットが複数設けられ ているため、第 1の搬送機構の搬送の遅れが大きいときにも露光済みの基 板を第 3の処理ュニッ トに順次搬送することができる。
ここで、 第 1の処理には、 例えば、 基板へのレジストの塗布処理が含ま れ、 第 2の処理には、 現像処理が含まれる。 また、 第 3の処理とは、 例え ば、 露光済みの基板の加熱処理が含まれる。
本発明の一の態様によれば、 前記制御部は、 前記第 3の処理ュニッ卜に 搬入された基板の枚数と、 前記第 1の処理ュニット、 前記露光装置及び第 3の処理ュニットとの間のいずれかで搬送されている基板の枚数と、前記 第 1の処理ュニッ ト及ぴ前記露光装置のいずれかで処理されている基板 の枚数との和が前記第 3の処理ュニットの数となる前に、前記第 3の処理 ュニットに搬入された基板を搬出するように、前記第 1の搬送機構による 搬送を制御する。 これにより、 例えば、 第 3の処理ユニットで露光済みの 基板を待機させるための空きが無くなり露光済み基板の搬送が滞ること を防止することができる。
本発明の一の態様によれば、 前記第 2の搬送機構は、 前記第 3の処理ュ ニットに基板を搬送可能な主搬送機構と、前記露光装置により露光された 基板を受取ることが可能な補助搬送機構とを有し、 前記制御部は、 前記主 搬送機構による基板の搬送と前記補助搬送機構による基板の搬送とを独 立に制御する。 これにより、 主搬送機構と補助搬送機構とで搬送を分担す ることができる。 すなわち、 例えば、 主搬送機構が露光前の第 1の基板を 搬送しているときに、補助搬送機構により第 2の基板を迅速に露光装置か ら搬出することができる。
本発明の一の態様によれば、前記露光装置により露光が終了してから前 記第 3の処理ュニッ トにより第 3の処理が開始されるまでの基板の待機 時間が一定となるように、前記露光が終了した基板を前記第 3の処理ュニ ッ トにおける第 3の処理の開始時間を制御する手段をさらに具備する。こ れにより、各基板に対して露光後同じタイミングで第 3の処理をすること ができ、 現像後の配線パターンの線幅を均一にすることができる。
本発明の一の態様によれば、 前記待機時間は、 前記露光装置による露光 が終了してから前記露光された基板が前記第 2の搬送機構により受取ら れるまでの時間の最大値と、前記露光された基板が前記第 2の搬送機構に より受取られてから前記第 3の処理ュニッ トに搬送されるまでの時間と の和から、前記露光装置により露光が終了してから前記第 3の処理ュニッ トに搬送されるまでの実搬送時間を減じた時間である。
ここで、露光装置による露光が終了してから露光された基板が第 2の搬 送機構により受取られるまでの時間の最大値は、 例えば、 各処理ユニット の処理時間などによって予め定めることができる。 また、 露光された基板 が第 2の搬送機構により受取られてから第 3の処理ュニッ トに搬送され るまでの時間は、 例えば、 第 2の搬送機構の搬送速度、 搬送距離などによ つて予め定めることができる。これにより、実搬送時間を計測することで、 待機時間を求めることができる。 本発明の一の態様によれば、前記主搬送機構及び前記補助搬送機構のう ち少なくとも一方は、 基板を搬送するための第 1の搬送部材と、 前記第 1 の搬送部材と一体に移動可能に設けられ基板を搬送可能な第 2の搬送部 材とを有する。 これにより、 例えば、 主搬送機構の第 2の搬送部材に露光 済みの第 1の基板を載置した状態で露光前の第 2の基板を第 1の搬送部 材に載置して搬送することができる。従って、 基板を待機させて別の基板 のスムーズに搬送することができる。 また、 例えば露光装置から連続して 露光済みの基板が搬出されるときに、第 1の搬送部材と第 2の搬送部材と にそれそれ露光済み基板を載置することで、基板を待機させて別の基板の スムーズに搬送することができる。
本発明の一の態様によれば、前記露光装置及び前記第 3の処理ュニット の間に設けられ、前記露光装置による露光が終了してから前記第 3の処理 ュニヅ トにより第 3の処理が開始されるまでの時間を一定とするための 待機ユニットをさらに具備する。 これにより、 露光済みの基板を一旦待機 ュニッ卜で待機させて、露光装置により露光が終了してから第 3の処理ュ ニッ トにより第 3の処理が開始されるまでの時間を各基板で一定にする ことができる。 図面の簡単な説明
図 1は本発明に係る塗布現像装置の実施の形態を示す平面図である。 図 2は前記塗布現像装置を示す斜視図である。
図 3は前記基板処理装置における棚ユニッ トの構造を示す側面図であ る。
図 4は前記棚ュニヅトの一段をなす加熱ュニット (P E B ) の一例を示 す平面図である。
図 5は前記加熱ユニット (P E B ) を示す縦断面図である。 図 6は前記塗布現像装置におけるインターフェース部を示す概略斜視 図である。 · 図 7は前記塗布現像装置内のウェハの搬送経路を示す平面図である。 図 8は前記塗布現像装置の制御部の一例を示す構成図である。
図 9は前記制御部にて作成される搬送スケジュールの一例を示す説明 図である。
図 1 0は前記制御部にて作成される搬送スケジュールの一例を示す説 明図である。
図 1 1は比較例における搬送スケジュールの一例を示す説明図である。 図 1 2は前記比較例と対比するための本実施の形態における搬送スケ ジュールの一例を示す説明図である。
図 1 3は前記制御部の他の例を示す構成図である。
図 1 4は従来の塗布現像装置を示す平面図である。
図 1 5は従来の塗布現像装置の一部を示す説明図である。
図 1 6は従来の塗布現像装置内のウェハの搬送経路を示す平面図であ る。
図 1 7は別の実施形態の搬送開始遅延時間の最大値 T m a xを一定に するための制御を示すフローチャートである。
図 1 8は待機時間、 搬送開始遅延時間の最大値、 最短時間、 実搬送時間 の関係を示す図である。
図 1 9は別の実施形態の塗布現像装置におけるィンターフェ一ス部を 示す概略斜視図である。
図 2 0 (ま別の実施形態の塗布現像装置内のウェハの搬送経路を示す平 面図である。 発明を実施するための最良の形態 以下、本発明に係る基板処理システムをレジストパターン形成装置に適 用した実施の形態について説明する。 このレジストパターン形成装置は、 本発明の塗布現像装置の実施の形態を示すものでもあり、 この塗布現像装 置と露光装置とからなるものである。 図 1は、 本実施の形態のレジストパ 夕一ン形成装置を示す平面図であり、 図 2は同斜視図である。 図中 B 1は 被処理体であるウェハ Wが例えば 1 3枚密閉収納されたキヤリア Cを搬 入出するためのキヤリァ載置部であり、 キヤリア Cを複数個載置可能な載 置台 2 1と、 この載置台 2 1から見て前方の壁面に設けられる開閉部 2 2 と、 開閉部 2 2を介してキヤリア Cからウェハ Wを取り出すための第 1の 搬送手段の一部をなすトランスファ一アーム 2 3とが設けられている。 キヤリァ載置部 B 1の奥側には筐体 2 4にて周囲を囲まれる処理プロ ヅク B 2が接続されており、 この処理プロヅク B 2には手前側から順に加 熱'冷却系のュニヅトを多段化した 3個の棚ュニッ ト U 1 , U 2, U 3と、 後述するその他の各種ュニッ トを含む各ュニッ ト間のウェハ Wの受け渡 しを行う進退及び昇降自在且つ鉛直軸回りに回転自在な第 1の搬送手段 の一部であるメイン搬送機構 2 5 ( 2 5 A , 2 5 B ) とが交互に配列して 設けられている。 即ち、 棚ュニヅト U 1 , U 2, U 3及びメイン搬送機構 2 5 ( 2 5 A , 2 5 B ) はキャリア載置部 B 1側から見て前後一列に配列 されており、各々の接続部位には図示しないウェハ搬送用の開口部が形成 されており、 ウェハ Wは処理ブロック B 2内を一端側の棚ュニヅト U 1か ら他端側の棚ュニヅ ト U 3まで自由に移動できるようになつている。なお メイン搬送機構 2 5 ( 2 5 A , 2 5 B ) は、 後述する制御部からの指令に 基づいてコントローラにより駆動が制御される。 この例では、 トランスフ ァ一アーム 2 3とメイン搬送機構 2 5 ( 2 5 A , 2 5 B ) とにより第 1の 搬送手段が構成される。
またメイン搬送機構 2 5 ( 2 5 A , 2 5 B ) は、 キヤリァ載置部 B 1か ら見て前後方向に配置される棚ユニット U 1 , U2 , U 3側の一面部と、 右側の液処理ュニット U4, U5側の一面部と、 左側の一面をなす背面部 とで構成される区画壁 26により囲まれる空間内に置かれており、進退自 在、昇降自在及び水平方向に回転自在な複数のアーム例えば 3本のアーム を備えている。 これら複数のアームは独立して進退できるように構成され ている。 またメイン搬送機構 25 Aの左側 (メイン搬送機構 25 Aを挟ん で液処理ュニット U4と対向する位置)には複数段の疎水化処理ュニッ ト
(ADH) が配置されており、 上記の各ュニヅ ト同様に図示しない開口部 を介してメイン搬送機構 25 Aがその内部にアクセスできるようになつ ている。 図中 27 , 28は各ュニッ トで用いられる処理液の温度調節装置 や温湿度調節用のダクト等を備えた温湿度調節ュニットである。
液処理ュニヅト U 4 , U 5は、 例えば図 2に示すように塗布液 (レジス ト液) や現像液といった薬液供給用のスペースをなす収納部 29の上に、 例えば塗布ユニッ ト (C〇T) 及び現像ユニット (DEV) を複数段例え ば 5段に積層した構成とされている。 また既述の棚ユニット U 1, U 2 , U3は、 液処理ユニット U 4, U 5にて行われる処理の前処理及び後処理 を行うための各種ュニッ トを複数段例えば 10段に積層した構成とされ ている。 なお作図の便宜上図 2では疎水化処理ユニット (ADH) の図示 を省略している。
上述の前処理及び後処理を行うための各種ュニットの中には、疎水化処 理ュニッ ト (ADH) で処理されたウェハ Wをレジスト液の塗布前に所定 温度に調整するための温調ユニッ トである冷却ユニット (CPL 1)、 レ ジスト液の塗布後にウェハの加熱処理を行うためのプリべ一キングュニ ヅ トなどと呼ばれている加熱ユニッ ト (PAB)、 露光後のウェハ Wを加 熱処理するボストェクスポ一ジャーべ一キングュニッ トなどと呼ばれて いる加熱ユニット (PEB)、 この加熱ユニット (PEB) で加熱された ウェハ Wを現像処理前に所定温度に調整するための温調ュニッ トである 冷却ユニッ ト (CPL 3)、 現像処理後のウェハ Wを加熱処理するポスト ベ一キングユニットなどと呼ばれている加熱ュニヅ ト (POST)、 この 加熱ュニット (POST)で加熱されたウェハ Wを冷却する冷却ュニヅ ト (CP L 4 ) が含まれている。 図 3はこれらュニヅトのレイァゥトの一例 を示しており、 加熱ュニヅ ト (PEB) は例えば 5段設けられている。 な お図 3のレイァゥトは便宜上のものであり、実際の装置では各ュニットの 処理時間などを考慮してュニットの設置数が決められる。 また棚ュニッ ト U 1及び U 3は例えば図 3に示すようにウェハ Wの受け渡しを行うため の受け渡し台を有する受け渡しュニット (TRS 1)、 (TRS 2) を夫々 備えている。
加熱ユニッ ト (PAB)、 (POST) はいずれも加熱プレートを備え、 メイン搬送機構 25 A、 25 Bの双方からアクセスできるように構成され ている。
露光後のウェハ Wを加熱処理する加熱ュニット (PEB) は、 加熱プレ ―ト及び加熱後のウェハ Wの粗熱取りを行う冷却プレートを備えている。 図 4は (PEB) の詳細構造を示す図であり、 筐体 41の内部にはステ一 ジ 42が設けられ、 このステージ 42の正面側 (図中右側) には、 ファン 43を介して連通する通気室 44が設けられている。通気室 44は例えば 棚ュニット U 3内を上下に貫通し、 図示しない温調用エアーの供給部と接 続する構成とされている。筐体 41における左右の側壁 45のうち、 ステ —ジ 42を挟む部分には、前方側にウェハ Wの搬入出を行うための開口部 40 (40 a, 40 b) が形成され、 背面側には冷媒流路 46、 通気口 1 47が上下に貫通して形成されている。 開口部 40 (40 a, 40 b) は シャツ夕 47により開閉自在とされており、 メイン搬送機構 25Bは開口 部 40 aを介して、主搬送部 3 1 Aは開口部 40 bを介して夫々筐体 41 内にアクセスできるようになつている。 また通気口 1 4 7はファン 4 8を 介して筐体 4 1内と連通する構成とされている。
ステージ 4 2の上面には、 その前方側に冷却アーム 5が、 後方側にヒ一 夕 6 1を備えた加熱プレート 6が夫々設けられている。 冷却アーム 5は、 筐体 4 1内に開口部 4 0 ( 4 0 a , 4 0 b ) を介して進入してくるメイン 搬送機構 2 5 Bまたは後述する主搬送部 3 1 Aと、加熱プレート 6との間 でウェハ Wの受け渡しを行うと共に、搬送時においては加熱されたウェハ Wを粗冷却する (粗熱取りを行う) 役割を有するものである。 このため図 5に示すように脚部 5 1がステージ 4 2に設けられるガイ ド手段 4 9 (図 4参照) に沿って Y方向に進退可能に構成されており、 これにより冷却プ レート 5 2が開口部 4 0 ( 4 0 a , 4 0 b ) の側方位置から加熱プレート 6の上方位置まで移動できるようになつている。 また冷却プレート 5 2の 裏面側には、例えば温度調節水を流すための図示しない冷却流路が設けら れている。
ステージ 4 2におけるメイン搬送機構 2 5 Bまたは主搬送部 3 1 Aと 冷却プレート 5 2とのウェハ Wの受け渡し位置、及び加熱プレート 6と冷 却プレート 5 2とのウェハ Wの受け渡し位置の夫々には、孔部 5 3を介し て突没するように支持ピン 5 4が 3本ずつ設けられており、冷却プレート 5 2には、 これら支持ピン 5 4が上昇したときに当該冷却プレート 5 2を 突き抜けてウェハ Wを持ち上げることができるようにスリヅ ト 5 5が形 成されている。
図 1に説明を戻すと、処理ブロック B 2における棚ユニット U 3の奥側 には、 ィン夕一フェース部 B 3を介して露光装置 B 4が接続されている。 以下、 イン夕一フエ一ス部 B 3について図 1、 図 2及び図 6を参照しなが ら説明する。ィン夕一フェース部 B 3は処理プロック B 2と露光装置 B 4 との間に前後に設けられる第 1の搬送室 3 A、第 2の搬送室 3 Bにて構成 されており、夫々に第 2の搬送手段 3 1をなす主搬送部 3 1 A及び補助搬 送部 3 1 Bが設けられている。主搬送部 3 1 Aは昇降自在且つ鉛直軸回り に回転自在な基体 32と、 この基体 32上に設けられる進退自在なアーム 33とで構成されている。第 1の搬送室には主搬送部 3 1 Aを挟んでキヤ リア載置部 B 1側から見た左側には、 ウェハ Wのエッジ部のみを選択的に 露光するための周縁露光装置 (WEE) と、 複数例えば 25枚のウェハ W を一時的に収容する 2つのバッファカセッ ト (SBU) とが設けられてい る。 同じく右側には受け渡しユニット (TRS 3) と、 各々例えば冷却プ レートを有する 2つの高精度温調ユニット (CPL 2) とが設けられてい る。
ここで上記装置における第 1の搬送手段をなすトランスファ一アーム 23及びメイン搬送機構 25 (25A, 25 B) と、 第 2の搬送手段 3 1
(31 A, 3 1 B) との働きを図 7を参照して説明する。 トランスファ一 アーム 23は、 キヤリァ載置部 B 1に載置されたキヤリア C内の処理前の ウェハ Wを受け渡しュニッ ト (TRS 1) に搬送し、 現像を終えて冷却ュ ニヅ ト (CPL 4) に置かれた処理後のウェハ Wを前記キヤリア Cに搬送 する役割を有する。 メイン搬送機構 25 ( 25 A, 25 B) は、 受け渡し ユニッ ト (TR S 1 ) 上のウェハ Wを疎水化処理ュニヅ ト (ADH)、 冷 却ュニヅ ト (CPL 1)、塗布ュニヅ ト (COT)、加熱ュニヅ ト (PAB) 受け渡しュニッ ト (TRS 2) の順で搬送し、 更にイン夕一フヱ一ス部 B
3から搬出されて加熱ュニッ ト (PEB) 内に載置されたウェハ Wを冷却 ユニッ ト (CP L 3 )、現像ュニヅ ト (DE V)ヽ加熱ュニヅ ト (PO S T) 冷却ユニット (CPL.4) の順で搬送する役割を有する。
主搬送部 31 Aは、 受け渡しュニット (TRS 2) に載置された露光前 のウェハ Wを周縁露光装置 (WEE)、 バッファカセット (SBU)、 高精 度温調ユニット (CPL2) に順次搬送すると共に、 補助搬送部 3 1Bに より受け渡しュニヅト (TRS 3) に載置された露光後のウェハ Wを加熱 ユニット (PEB) に搬送する役割を備えている。
また補助搬送部 3 1 Bについては、昇降自在且つ鉛直軸回りに回転自在 な基体 34がガイ ド機構 35の働きにより左右方向に移動できるように 構成されており、 更にこの基体 34上に進退自在なアーム 36が設けられ ている。 この補助搬送部 3 1 Bは、 高精度温調ュニヅト (CPL 2) 内の ウェハ Wを露光装置 B 4の搬入ステージ 37に搬送すると共に、露光装置 B 4の搬出ステージ 38上のウェハ Wを受け渡しュニヅ ト (TRS 3) に 搬送する役割を備えている。 この第 2の搬送手段 3 1 (3 1A, 3 1 B) は後述する制御部からの指令に基づき、 駆動制御される。
上記のパターン形成装置は、既述のようにメイン搬送機構 25 ( 25 A, 25 B) 及び第 2の搬送手段 3 1 (3 1 A, 3 IB) の駆動制御やその他 各処理ュニットの制御を行う制御部 7を備えている。図 8はこの制御部 7 の構成を示すものであり、 実際には CPU (中央処理ユニッ ト)、 プログ ラム及びメモリなどにより構成されるが、 ここでは構成要素の一部をブ口 ヅク化して説明するものとする。
図 8中 70はバスであり、 このバス 70にレシピ格納部 7 1、 レシピ選 択部 72、 搬送スケジュール作成部 73、 第 1の搬送制御部 74、 第 2の 搬送制御部 75が接続されている。 レシピ格納部 7 1は例えばウェハ Wの 搬送経路が記録されている搬送レシピゃ、 ウェハ Wに対して行う処理条件 などが記録された複数のレシピが格納される部位である。 レシピ選択部 7 2はレシピ格納部 7 1に格納されたレシピから適当なものを選択する部 位であり、例えばウェハの処理枚数やレジストの種類などの入力もできる ようになつている。
搬送スケジュ一ル作成部 73は、 レシピに含まれるゥェハ Wの搬送レシ ピに基づき、 口ヅト内の全てのウェハ Wについてどのタイミングでどのュ ニットに搬送するか、 といった内容の搬送スケジュールを作成する部位で あり、本実施の形態ではキャリア載置部 B 1及び処理ブロック B 2内にお ける搬送スケジュールが作成される。具体的には往路ではキヤリァ載置部 B 1に載置されたキヤリア Cからイン夕一フヱ一ス部 B 3直前の受け渡 しュニヅト (T R S 2 ) までの区間について、 復路は加熱ュニヅト (P E B )からキヤリァ載置部 B 1に載置されたキヤリア Cまでの区間について、 夫々後述の夕ィミングで搬送スケジュールが作成される。第 1の搬送制御 部 7 4は、搬送スケジュール作成部 7 3により作成された搬送スケジユー ルに基づいて第 1の搬送手段(トランスファ一アーム 2 3及びメイン搬送 機構 2 5 ) を制御するものである。
本実施の形態では、 露光後のウェハ Wが加熱ユニット (P E B ) に置か れた後、 どのような搬送スケジュールで当該ウェハ Wを加熱ユニット (P E B ) から搬出するかということが重要な点の一つである。 ウェハ Wが置 かれる個所をモジュールと呼ぶことにすると、 トランスファ一アーム 2 3 及びメイン搬送機構 2 5 ( 2 5 A , 2 5 B ) からなる第 1の搬送手段は、 搬入されたキヤリア C内からウェハ Wを 1枚取り出し、一つ順番が後のモ ジュールに搬送すると共に当該一つ後のモジュールに置かれているゥェ ハ Wを更に一つ後のモジュールに搬送し、 こうして最初のモジュール例え ばキヤリア Cからス夕一トして順次ウェハ Wを一つ順番が後のモジユー ルに受け渡し、 最後のモジュールへの搬送が終了したときに、 一つのフエ ーズ (サイクル) が終了する。
なお最初のモジュールとは、搬送経路の中に存在するモジュール群の中 で最後尾のウェハ Wが位置しているモジュールであり、 キヤリア C内に未 処理ウェハ Wが残っているときには当該キヤリア Cである。 また最後のモ ジュールとは、搬送経路の中で先頭のウェハが位置しているモジュールで あり、 例えば先頭のウェハ Wが既に全ての処理を終え、 元のキャリア Cに 戻されたときにはキヤリア Cが相当するが、例えば先頭のウェハ Wがキヤ リア Cまで至らず例えば現像後に加熱ユニット (POST) に置かれたと すると、 当該加熱ユニット (POST) が最後のモジュールに相当する。 そして露光後のウェハ Wが加熱ュニヅ ト (PEB) 内に搬入されたとす ると、 このウェハ Wが当該加熱ュニット (PEB) から搬出される夕イミ ングは、 その搬入時に実行されている第 1の搬送手段のサイクルを含めて、 加熱ュニット (PEB)の設置段数よりも 1つ少ない数のサイクルに入つ たときに当該ウェハ Wが (PEB) から搬出される。 つまり搬送スケジュ —ル作成部 73は、 加熱ユニット (PEB) にウェハ Wが搬入されると加 熱ュニヅト (PEB) の設置段数 「5」 よりも 1つ少ない 「4」 サイクル 後のフェーズにおいて、 加熱ュニヅト (PEB) の次のモジュールである 冷却ユニット (CPL 3) の個所に当該ウェハ Wを記載する。
第 2の搬送制御部 75は、 第 2の搬送手段 3 1 ( 3 1 A , 3 1 B ) を制 御するものである。 この第 2の搬送制御部 75は、 搬送元モジュールから ウェハ Wの搬出が可能である旨の信号と搬送先モジュールにウェハ Wの 搬入が可能である旨の信号とが出力されたときに、例えば出力された順に 搬送元モジュールからウェハ Wを搬送先モジュールに搬出するように第 2の搬送手段 3 1 (3 1A, 3 1 B) を制御する。 なおこのモジュールと は、 この例では受け渡しユニッ ト (TRS 2)、 周縁露光装置 (WEE)、 バヅファカセッ ト (SBU)、 冷却ュニッ ト (CPL 2)、 搬入ステ一ジ 3 7、 搬出ステージ 38、 受け渡しュニヅ ト (TRS 3)、 加熱ュニヅ ト (P E B) である。
ここで本実施の形態において、 露光装置は本発明の処理装置に相当し、 加熱ュニッ ト (PEB) は、 本発明における、 処理装置にて処理された基 板に対して所定の処理を行う受け渡し用処理ュニッ 卜に相当する。 また加 熱ュニット (PEB) の段数 「5」 は、 本発明でいう n (2以上の整数) 個の 「n」 に相当する。
次に本実施の形態の作用説明を行う。先ず基板であるウェハ Wに対する 処理を開始するのに先立ち、 ォペレ一夕がレシピの選択を行う。 レシピを 選択すると、搬送スケジュール作成部 73によりロット内の全てのウェハ について、 例えば図 9に示すように前半分の搬送スケジュール、 この例で いえば口ヅ ト内の各ウェハ W 1〜W 3についてキヤリァ載置部 B 1に載 置されるキヤリア Cから受け渡しュニ ヅ ト (TRS 2) までの範囲におい て搬送スケジュールが作成される。
なお図 9では便宜上 10枚のウェハ AO 1〜A 10が順次搬送される 場合であって、 各処理ュニッ トが 1個であるとして記載してある。 また図 9では全てのモジュールを記載すると紙面に収まらなくなることから、一 部モジュールを省略してあり、 例えば加熱ユニット (PEB) の後には冷 却ュニット (CPL 3) を省略して現像ュニット (DE V) を記載してあ る。そして実際にはウェハ Wは多数枚存在しかつ A D H、 C P L、 C 0 T、 PABなどの各処理ュニットは複数設けられており、 その場合同種の複数 の処理ュニ ヅトを 1号、 2号 と識別するなら、 図 10のように AD
Hの欄を ADH— 1と ADH— 2 と行った具合に各処理ュニッ ト を台数分設け、 フエーズの数をその台数分用意してスケジュールが立てら れる。 しかしながらこのように記載すると説明が煩雑になり、 また図面の 作図が紙面の制約から困難になるため、 図 9のように簡略化して記載する。 そして制御部 7はこの搬送スケジュールを参照しながら各部に指示を 出力し、 ウェハ Wに対する処理が開始される。 ロットの各ウェハ Wはキヤ リァ載置部 B 1内のトランスファ一アーム 23によりキャリア Cから取 り出されて受け渡しユニット (TRS 1) に搬入された後、 処理ブロック B 2内のメイン搬送機構 25 ( 25 A, 25 B) によって図 7に示すよう に受け渡しユニット (TRS 1)、 疎水化処理ユニット (AI H)、 塗布ュ ニヅト (COT)ヽ 加熱ュニヅト (PAB)ヽ 受け渡しュニヅト (TRS 2) の順で搬送されながら所定の処理が施される。 メイン搬送機構 25 (25 A, 25 B) は既述のように 3枚のアームを備えており、 例えば既に疎水 化処理が行われたウェハを疎水か処理ユニット (ADH) から取り出し、 次いで受け渡しュニヅ ト (TRS 1) から受け取った次のウェハを疎水化 処理ユニット (ADH) に搬入し、 こうして順次ウェハ Wを次の処理ュニ ッ トに送るようにしている。
受け渡しュニヅト (TRS 2) まで搬送されたウェハ Wは、 図 7にて説 明したようにィン夕一フェース部 B 3内において周縁露光ュニヅト (WE E)ヽ ノ ッファカセッ ト (SBU)ヽ 冷却ユニッ ト (CPL 2)、 搬入ステ —ジ 37の順で搬送され、 露光装置 B 4にて露光される。 そして露光処理 後は搬出ステージ 38から受け渡しュニヅ ト (TRS 3) を経由して処理 ブロック B 2の加熱ュニヅ ト (PEB) へと搬送されるが、 第 2の搬送手 段 31 (3 1A, 3 1 B) の動作は既述のように作成済みの前半分の搬送 スケジュールに含まれておらず、従ってトランスファーアーム 23及びメ ィン搬送機構 25 ( 25 A, 25 B)に対して非同期(独立)で動作する。 一方搬出ステージ 38のァゥトレディ信号の出力後、搬送スケジュール作 成部 73では後半分、 即ちウェハ Wが処理ブロック B 2内の (PEB) に 搬送された後の復路の搬送スケジュールの作成が行われる。
図 9は、第 1の搬送手段の搬送スケジュールに沿ってウェハ A 0 1から ウェハ A 10までが順次ィンターフェ一ス部 B 3 (図中 「 I FB」 と表し ている) に搬入され、 露光装置 B 4にて露光された後加熱ユニット (PE B)に搬入される様子を前記搬送スケジュールのフェーズと対応させて示 す図であり、 例えば A 0 1 + 2はウェハ A 0の他に後続の 2枚のウェハ (AO 2及び AO 3)がィン夕ーフエ一ス部 B 3または露光装置 B 4内に 存在することを示している。例えば図 9に示すように、 先頭のウェハ A 0 1が露光されてィン夕一フェース部 B 3の第 2の搬送手段 3 1によりカロ 熱ュニット (PEB) に搬入され、 そのとき第 1の搬送手段が実行してい るサイクルがフエ一ズ 10であるとすると、 このウェハ A 0 1はそのサイ クルを含めて 4つ後のサイクルであるフェ一ズ 13にて第 1の搬送手段 であるメイン搬送機構 25 Bにより搬出されるように搬送スケジュール が作成される。 実際には加熱ユニット (PEB) の次の搬送先のユニット は冷却ュニット (CPL 3) であるが、 便宜上現像ュニヅ ト (DEV) を 搬送先ュニヅトとしてその欄に AO 1が記載してある。
加熱ュニヅト (PEB) においては、 第 2の搬送手段によりウェハ Wが 一方の開口部 40 a (図 4参照)を通じて冷却プレート 52に受け渡され、 冷却プレート 52から加熱プレート 6に受け渡されて加熱処理され、 その 後冷却プレート 52に受け渡されて粗熱取りされ、 しかる後にメイン搬送 機構 25 bにより他方の開口部 40 bを通じて搬出される。
ところで通常露光装置 B 4からは搬送スケジュールの 1サイクルの間 に 1枚のウェハが搬出されるが、場合によっては 2枚搬出されることがあ り、 同一サイクル内で露光装置 B 4から加熱ュニッ ト (PEB) に搬送さ れようとすることがある。例えば図 9に示すフェ,一ズ 15において露光装 置 B4からウェハ AO 6、 AO 7が搬出されたとすると、 この時点では空 きの加熱ユニット (PEB) が 2個存在する。 その理由は、 加熱ユニット (PE B) にウェハが滞在する第 1の搬送手段のサイクル数が 「4」 であ つて、 加熱ュニヅト (PEB) の設置段数は 5段だからである。 このため ウェハ A 06、 A 07は加熱ュニヅト (P EB) に搬入され、 ウェハ A 0 6についてはフェーズ 18でメイン搬送機構 25 bにより搬出され、 ゥェ ハ A 07については次のフェーズ 19で搬出される。
こうして一時的にウェハの滞在サイクル数が通常の滞在サイクル数よ りも一つ増えて 5サイクルになるが、搬送スケジュールの 1サイクル内に 2枚のウェハが搬送された場合には、 その後に露光装置 B 4から 1枚もゥ ェハが搬送されないサイクル (図 9の例ではフェーズ 17) が存在し、 そ のサイクルで余分の空き加熱ユニット (PEB) が追加される。 なお、 搬 送スケジュールの 1サイクル内に 2枚のウェハが搬送された場合には、 そ の前に露光装置 B 4から 1枚もウェハが搬送されないサイクルが存在す ることもある。
以上のように本実施の形態によれば、第 2の搬送手段 3 1から露光後の ウェハ Wをメイン搬送機構 25に受け渡すための受け渡しュニッ トとし て複数台例えば 5台 (段) の加熱ユニット (PEB) を設け、 この加熱ュ ニヅ ト (P EB) の冷却プレ一ト 52を利用して第 1の搬送手段であるメ ィン搬送機構 25とインターフェース部 B 3内の第 2の搬送手段 3 1と の間の受け渡しを行っている。そして第 2の搬送手段 3 1により加熱ュニ ヅ ト (PEB) に置かれたウェハ Wは、 そのときに第 1の搬送手段が実行 している搬送スケジュールの当該サイクルを含めて 4サイクル目で、即ち 加熱ュニッ ト (P E B)の設置数 nよりも 1つ少ないサイクル数 n— 1が 経過してから搬出されるように第 1の搬送手段を制御している。
従って各ウェハ Wの間で、 露光されてから加熱ユニット (PEB) によ り加熱されるまでの時間のばらつきが少なくなり、更にインターフェース 部 B 3における露光後のウェハの滞留が避けられ、 そのため露光装置 B 4 のスループットを妨げることを防止でき、露光装置 B 4の性能を十分発揮 できる。その理由を図 1 1及び図 12を参照しながら説明する。図 1 1は、 ウェハが加熱ユニット (PEB) に搬入されたときに、 そのときに第 1の 搬送手段が実行している搬送スケジュールの当該サイクルを含めて 5サ ィクル目で、 即ち加熱ュニヅ ト (PEB) の設置数と同じサイクル数が経 過した後加熱ュニヅト (PEB) から搬出されるように第 1の搬送手段を 制御した場合の搬送の様子である。 図 1 1においてフヱーズ 9 (サイクル 9) でウェハ AO 5及び AO 6の 2枚が加熱ュニッ ト (PEB) に搬入さ れようとすると、 その時点で空いている加熱ユニット (PEB) は一つし かないのでウェハ AO 5は加熱ユニット (PEB) に搬入されるが、 ゥェ ハ AO 6は搬入できずにィン夕一フェース部 B 3内で待機することにな つてしまう。その結果ウェハ A 06における露光装置 B 4—加熱ュニヅ ト (PEB) 間搬送時間が他のウェハに比べて長くなるので、 つまり露光後 の加熱前経過時間が他のウェハに比べて長くなつてしまう。 またウェハ A 06がィン夕一フヱ一ス部 B 3内で待機することになると、露光装置 B 4 からウェハを搬出できなくなり、 この結果露光装置 B 4の作業を中断しな ければならなくなる。
これに対して図 12は、 実施の形態のようにウェハが加熱ユニッ ト (P EB) に搬入された後、 加熱ュニヅト (PEB) の設置数よりも 1つ少な いサイクル数「4」 が経過した後加熱ュニット (PEB) から搬出される ように第 1の搬送手段を制御した場合の搬送の様子である。 この場合には 2つの加熱ユニッ ト (P E B) が空いているので、 ウェハ AO 5及び AO 6の 2枚共に加熱ユニット (PEB) に搬入されることになる。 このため 露光後の加熱前経過時間のばらつきが小さく、例えば化学増幅型のレジス トについて現像への悪影響を抑えることができ、 回路パターンの線幅にば らつきが生じることを抑えることができるので製品の歩留まりが向上す る。
ここで本発明では、露光後の加熱前経過時間の最大時間を予め決めてお き、 ロッ卜の全てのウェハの加熱前経過時間が揃うように調節することが 好ましい。 このような手法は、 図 12のように搬送する場合には意味があ るが、 図 1 1のように加熱ュニヅト (PEB) の設置数と同じサイクル数 が経過した後ウェハを搬出する手法では、最大時間を上記のウェハ AO 6 の場合のようにインターフェース部 B 3で待機する場合に合わせなけれ ばならないので、 全てのウェハについて露光装置 B 4—加熱ユニット (P E B ) 間の搬送時間が相当長くなり、 採用できない。
図 1 3は、制御部 7内に加熱前経過時間調整部 7 6を設けた構成を示し、 この加熱前経過時間調整部 7 6は露光装置 B 4にてウェハ Wの露光が終 了してァゥトレディ信号が出力された時点から、 当該ウェハ Wが加熱ュニ ット (P E B ) にて加熱が開始される時点までの加熱前経過時間 tを所定 時間に調整するためのプログラムを含むものであり、 いずれのウェハにつ いても前記時間 tが一定となるようにすることを目的としている。具体的 にはウェハ Wが加熱ュニッ ト (P E B ) の冷却プレート 5 2の上に置かれ た時点でそのウェハ Wについての前記時間を求め、予め設定した時間から その当該時間 tを差し引いた時間だけ例えば P E B内の加熱プレート 6 上方で支持ピン 5 4に支持された状態で待機させるようにプログラムが 組まれている。 ウェハ Wを待機させる部位は、 冷却プレート 5 2上でもよ いし、 あるいは冷却プレート 5 2側で支持ピン 5 4に支持されている状態 であってもよい。 前記予め設定した時間とは、 例えば種々のケースを想定 して露光装置 B 4からァゥトレディ信号が出力された時点から、 当該ゥェ ハ Wが加熱ユニット (P E B ) にて加熱が開始されるまでに予想される最 大時間とされる。
以上においてイン夕一フェース部 1 Cの第 2の搬送手段 3 1は主搬送 部 3 1 A及び補助搬送部 3 1 Bに分割されずに一個の搬送部であっても よい。 また加熱ュニヅ ト (P E B ) の設置数 nは 「5」 に限られるもので はなく、 「2」、 「3」、 「4」 または 「6」 以上であってもよい。 更に加熱 ユニッ ト (P E B ) に搬入された後、 そのときの第 1の搬送手段の搬送サ イクルを含めて (n— 1 ) サイクル後に搬出されることに限らず、 (n— 2 ) サイクル後に搬出されてもよいし、 n— 3 ) サイクル後に搬出される ようにしてもよい。即ち本発明は、 mを 1以上で nよりも小さい整数とす ると、 (n— m) サイクル後に加熱ュニヅ ト (P E B ) から搬出するよう にするものである。
本発明は、 塗布現像装置に限定されるものではなく、 例えば処理装置で 絶縁膜の材料を基板に塗布した後、 受け渡し用処理ュニットにて例えばゲ ル化処理し、 その後第 1の搬送手段により取り出してベーク処理ュニッ ト、 キュァ処理ュニッ ト、基板搬出部に順次搬送するシステムなどにも適用で きる。
次に、別の実施の形態について説明する。本実施形態では説明の便宜上、 図 7及び図 8を参照しながら説明する。
図 8に示すように、 第 1の搬送制御部 7 4は、 搬送スケジュール作成部 7 3により作成された搬送スケジュールに基づいて第 1の搬送機構とし てのトランスファ一アーム 2 3及びメイン搬送機構 2 5を制御する。 また、 第 2の搬送制御部 7 5は、 第 2の搬送機構としての主搬送部 3 1 A、 補助 搬送部 3 1 Bを制御する。 このとき、 トランスファ一ァ一ム 2 3、 メイン 搬送機構 2 5と、 主搬送部 3 1 A、 補助搬送部 3 1 Bとの搬送が独立 (非 同期)となるように制御されている。また、第 2の搬送制御部 7 5により、 主搬送部 3 1 Aと、 補助搬送部 3 1 Bと、 露光装置 B 4とが同期するよう に制御されている。
本実施形態では、 例えば、 露光装置 B 4ではロットの切り替わり時にお いてレチクルの交換や露光処理におけるパラメ一夕を変更するのに時間 を要するとき、 或いはアラームが発せられたとき等において、 露光装置 B 4からしばらくウェハ Wが搬出されないことがある。そのためレチクルの 交換の終了後などにおいて、露光装置 B 4から連続してウェハ Wが搬出さ れることがある。
このとき、 図 7に示すように、 トランスファ一アーム 2 3、 メイン搬送 機構 2 5 A、 2 5 Bとは独立に、 主搬送部 3 1 A、 補助搬送部 3 1 Bが制 御される。 このため、 主搬送部 31 A、 補助搬送部 3 1 Bにより露光済み のウェハ Wを n個の第 3の処理ュニヅトとしての加熱ュニヅト (P E B) に順次搬送することができる。 これにより、 例えば、 トランスファ一ァ一 ム 23、 メイン搬送機構 25A、 25 Bが加熱ュニット (PEB) で加熱 済みのウェハの搬出に間に合わないときでも、別の露光済みのウェハを加 熱ュニット (PEB) に搬送することができる。 従って、 露光装置 B 4か ら加熱ュニヅト (PEB) にウェハ Wを搬出できずに露光装置 B 4がスト ヅプすることを防止することができる。 また、 加熱ュニヅ ト (PEB) が n個設けられているため、 例えば、 メイン搬送機構 25 A、 25 Bの搬送 の遅れが大きいときにも露光済みのウェハを加熱ユニット (PEB) に順 次搬送することができる。
本実施形態では、図 7に示す主搬送部 3 1Aと、補助搬送部 3 1Bとは、 第 2の搬送制御部 75により独立に制御される。 これにより、 主搬送部 3 1 Aと補助搬送部 3 1 Bとでウェハ Wの搬送を分担することができる。例 えば、主搬送部 3 1 Aが周縁露光装置(WEE)からバッファカセット(S B U) にウェハ Wを搬送しているときに、 露光装置 B 4により露光された ウェハ Wが搬送可能な状態となることがある。 このとき、 主搬送部 3 1 A の搬送が終了するまで待つことなく、主搬送部 3 1 Aによるウェハ Wの搬 送と平行して補助搬送部 3 1 Bによりウェハ Wを迅速に露光装置 B 4か ら受け渡しユニッ ト (TRS 3) に搬送することができる。
次に、 加熱前経過時間、 すなわち、 露光装置 B 4による露光が終了して から加熱ュニット (PEB)で加熱が開始されるまでの露光後遅延時間 T FED (Post Exposure Delay Time) を、 各ウェハ Wで一定とするための制 御について図 17に示すフローチャートを参照しながら説明する。
図 17に示すように、 ステップ 1 (S 1) において、 制御部 7は、 露光 装置 B 4により露光が終了してから加熱ュニヅ ト (PEB) により加熱が 開始されるまでに実際にかかった実搬送時間 T rを計測する。
続いて、 ステップ 2において、 ステップ 1で計測した実搬送時間 T rを 用いて、 ウェハ Wを例えば冷却プレート 52上で待機させる待機時間 T t を下式を用いて演算する。
待機時間 Tt = (搬送開始遅延時間の最大値 T max) + (最短時間 T mi n) 一 (実搬送時間 Tr)
図 18は、 待機時間 Tt、 搬送開始遅延時間の最大値 T ma x、 最短時 間 Tmi n、 実搬送時間 T rの関係を示している。 ここで、 搬送開始遅延 時間の最大値 T maxは、 ウェハ Wが露光装置 B 4で待機する時間の最大 値 Tmax、 すなわち、 露光装置 B 4により露光が終了してから主搬送部 3 1 Aにより受取られるまでの時間の最大値 T maxを表している。最短 時間 Tmi nは、主搬送部 3 1 Aが露光されたウェハ Wを受取ってから加 熱ユニット (PEB) に搬送するために要する最短の時間を表している。 搬送開始遅延時間の最大値 T maxについては、 例えば、 搬送速度を変更 して搬送試験を行うことにより搬送速度一搬送開始遅延時間の最大値 T maxテーブルが用意されている。搬送速度—搬送開始遅延時間の最大値 Tmaxテーブルは、 予め制御部 7に格納されている。 また、 最短時間 T mi nについては、 例えば、 搬送速度などを変更して試験を行うことによ り搬送速度—最短時間 Tmi ηテーブルが用意されている。搬送速度ー最 短時間 Tmi nテーブルは、予め制御部 7に格納されている。これにより、 実搬送時間 T rを計測するだけで、 待機時間 T tを求めることができる。 次いで、 ステップ 3において、 ウェハ Wを加熱ユニッ ト (PEB) にお いてステップ 2で求めた待機時間 T t待機させる。
続いて、 ステップ 4において、 加熱ユニット (PEB) においてウェハ Wに加熱処理を開始する。 これにより、 露光後遅延時間 TPEDを、 各ゥェ ハ Wで一定とすることができる。 すなわち、 露光されたウェハ Wに対して 露光後同じタイミングで加熱処理を施して、各ウェハ W間でパターンの線 幅に誤差が発生することを抑制することができる。
本実施形態では、 第 1の搬送制御部 74は、 加熱ユニット (PEB) に 搬入されたウェハの枚数と、処理プロック B 2、イン夕一フェース部 B 3、 露光装置 B 4及び加熱処理ユニッ ト (PEB) のそれぞれの間で搬送され ているウェハの枚数と、 処理ブロック B 2、 ィン夕ーフェース部 B 3及び 露光装置 B 4で処理されているウェハの枚数との和が、 加熱ユニッ ト (P EB) の数となる前に、 加熱ュニヅト (PEB) に搬入されたウェハを搬 出するように、 トランスファ一アーム 23及びメイン搬送機構 25を制御 する。 例えば、 図 3に示す 5個の加熱ュニヅト (P E B) うち 2個の加熱 ユニット (PEB) にウェハが搬入されているときについて説明する。 こ のときには、 処理プロヅク B 2、 ィン夕一フェース部 B 3及び露光装置 B 4で処理または搬送されているウェハの枚数が 3枚となる前に、 メイン搬 送機構 25が、 加熱ユニット (PEB) に搬入されたウェハを搬出する。 これにより、 常に加熱ュニヅ ト (PEB) に空きがある状態を維持し、 加 熱ュニヅ ト(PEB)でウェハ Wを受取ることができる。従って、例えば、 露光装置 B 4において露光済みウェハ Wの搬送が滞ることを防止して、露 光装置 B 4自体の生産能力を最大限に活用することができる。
図 6に示すように主搬送部 3 1 Aがアーム 33を 1個有する例を示し たが、 図 19に示すように、 主搬送部 3 1 Aが第 1の搬送部材としてのァ —ム 33の上方に、第 2の搬送部材としてのアーム 133を有するように してもよい。 このようにすることで、 例えば、 アーム 133に露光済みの ウェハ Wを載置した状態で露光前の別のウェハ Wを例えば周縁露光装置
(WEE) からバッファカセット (SBU) へ搬送することができる。 従 つて、 ウェハ Wをスムーズに搬送することができる。 また、 アーム 33と アーム 133とに露光済みウェハ Wを載置し、 アーム 133を露光済みゥ ェハ Wの搬送の待機 (緩衝) 用に用いることができる。 主搬送部 3 1A及 び補助搬送部 3 1 Bのうち少なくとも一方が、 アーム 133を有するよう にすれば、 同様の効果を得ることができる。
本実施形態では、 ウェハ Wを加熱ユニット (PEB) の例えば冷却プレ —ト 52上で待機する例を示した。 しかし、 図 20に示すように、 露光装 置 B4及び加熱ュニヅ ト (PEB) の間に、 露光後遅延時間 TPEBを一定 とするために、ノ ヅ フ ァカセット(SBU)と同じ構成の待機ュニヅト(S BU2) を配置するようにしてもよい (図 19参照)。 このとき、 補助搬 送部 3 1Bにより受け渡しュニヅト (TRS 3) から待機ュニヅト (SB U 2) にウェハ Wが搬送され、 主搬送部 3 1 Aにより待機ュニヅ ト (SB U 2) から加熱ユニッ ト (PEB) にウェハ Wが搬送される。 上記実施形 態と同様に、 露光済みのウェハ Wを待機ュニヅ ト (SBU2) で待機させ ることで、 露光後遅延時間 TPEDを各ウェハ Wで一定とすることができる。 従って、露光されたウェハ Wに対して同じタイミングで加熱処理を施して、 各ウェハ W間でパターンの線幅に誤差が発生することを抑制することが できる。 産業上の利用可能性
以上説明したように、 本発明によれば、 処理装置で処理された後、 次の 処理に至るまでの時間を基板の間で揃えることができ、 また基板の滞留を 防止できる。 塗布現像装置適用した発明においては、 基板を露光した後、 ィン夕一フエ一ス部を介して加熱ュニットに受け渡すにあたって、露光さ れた後、 加熱されるまでの時間を各基板の間で揃えることができ、 露光後 の基板がィン夕一フェース部で滞留することを防止できて露光装置のス ル一プットの性能を十分発揮できる。

Claims

請 求 の 範 囲
1 . 処理装置にて処理された基板を、 2つの搬送手段の間の基板の受け渡 し部を兼用する受け渡し用処理ュニッ トを介して後続の複数の処理ュニ ットに順次搬送する基板処理装置において、
前記処理装置にて処理された基板に対して所定の処理を行う n ( 2以上 の整数) 個の受け渡し用処理ユニットと、
基板が置かれる個所をモジュールと呼ぶとすると、各モジュールに置か れた基板を 1枚づっ一つ順番が後のモジュールに移すように順次搬送を 行うことにより一の搬送サイクルを実行し、 当該一の搬送サイクルが終了 した後次の搬送サイクルに移行するように制御され、前記受け渡し用処理 ュニ ヅ トから基板を取り出して後続の複数の処理ュニッ トに順次搬送す る第 1の搬送手段と、
前記処理装置にて処理された基板を 1枚づっ受け渡し用処理ュニッ ト に搬送するための第 2の搬送手段と、
受け渡し用処理ュニ ヅトに基板が搬入されたときに、 そのときに実行さ れている搬送サイクルを含めて(n— m ( 1以上で nよりも小さい整数) ) サイクル後に当該基板を受け渡し処理ュニットから搬出するように第 1 の搬送手段を制御する制御部と、 を備えたことを特徴とする基板処理シス テム。
2 . 請求項 1に記載の基板処理システムであって、
処理装置から基板が搬出されてから受け渡し処理ュニッ トにて当該基 板の処理が開始されるまでの時間をいずれ基板についても予め設定した 時間となるように調整する手段を備えたことを特徴とする基板処理シス アム。
3 . 基板に対してレジスト液を塗布し、 その基板が露光装置で露光された 後、 現像処理を行う基板処理装置において、
前記基板に対してレジスト膜を形成するための一連の処理を順次行う 複数の処理ュニットと、
露光後の基板に対して現像処理を行うための一連の処理を順次行う複 数の処理ュニットと、
レジスト膜の形成を行う処理ュニッ ト群及び現像処理を行う処理ュニ ッ ト群が設置される領域と露光装置との間に介在するィン夕一フェース 部と、
レジスト膜が形成された基板をィン夕一フェース部に受け渡すための 第 1·の受け渡し部と、
露光後の基板に対して加熱処理を行い、第 2の受け渡し部を兼用する n ( 2以上の整数) 個の加熱ュニッ トと、
複数の基板を収納したキヤリアが載置されるキヤリア載置部と、 このキヤリア載置部に載置されたキヤリァから基板を受け取って、 レジ スト膜を形成するための各処理ュニッ ト、第.1の受け渡し部の順に基板を 搬送し、 さらに露光装置にて露光された基板を前記加熱ュニッ 卜から受け 取って、 現像処理を行うための各処理ユニット、 キャリア載置部に載置さ れたキヤリァの順に搬送すると共に、基板が置かれる個所をモジュールと 呼ぶとすると、各モジュールに置かれた基板を 1枚づっ一つ順番が後のモ ジュールに移すように順次搬送を行うことにより一の搬送サイクルを実 行し、 当該一の搬送サイクルが終了した後次の搬送サイクルに移行するよ うに制御される第 1の搬送手段と、
前記ィン夕一フェース部に設けられ、第 1の受け渡し部から基板を受け 取って露光装置に受け渡すと共に、露光装置にて露光された基板を 1枚づ つ前記加熱ュニッ 卜に搬送する第 2の搬送手段と、
前記加熱ュニットに基板が搬入されたときに、 そのときに実行されてい る搬送サイクルを含めて(n— m ( 1以上で nよりも小さい整数) )サイク ル後に当該基板を加熱ュニットから搬出するように第 1の搬送手段を制 御する制御部と、 を備えたことを特徴とする塗布現像装置。
4 . 請求項 3に記載の塗布現像装置であって、
加熱ユニットは、 基板を加熱する加熱プレートと、 この加熱プレートで 加熱された基板を冷却する冷却プレ一トと、加熱プレートと冷却プレ一ト との間で基板の受け渡しを行う手段と、 を備えたことを特徴とする塗布現 像装置。
5 . 請求項 3または 4に記載の塗布現像装置であって、
露光装置より基板が搬出されてから加熱ュニッ トにて当該基板の加熱 処理が開始されるまでの時間をいずれ基板についても予め設定した時間 となるように調整する手段を備えたことを特徴とする塗布現像装置。
6 . 露光装置との間で基板の受け渡しが可能であり、 複数の基板に所定の 処理をする基板処理装置であって、
基板に第 1の処理をする第 1の処理ュニットと、
前記露光装置で露光された基板に前記第 1の処理とは異なる第 2の処 理をする第 2の処理ュニッ 卜と、
基板に、前記第 1の処理及び前記第 2の処理とは異なる第 3の処理をす る複数の第 3の処理ュニヅトと、
前記第 1の処理ュニット、第 2の処理ュニット及び複数の第 3の処理ュ ニットの間で基板を搬送する第 1の搬送機構と、
前記露光装置と前記複数の第 3の処理ュニッ トとの間で基板を搬送す る第 2の搬送機構と、
前記第 1の搬送機構による基板の搬送と、前記第 2の搬送機構による基 板の搬送とを独立して制御する制御部と
を具備することを特徴とする基板処理装置。
7 . 請求項 6に記載の基板処理装置であって、
前記制御部は、
前記第 3の処理ュニットに搬入された基板の枚数と、
前記第 1の処理ュニット、前記露光装置及び第 3の処理ュニットとの間 のいずれかで搬送されている基板の枚数と、
前記第 1の処理ュニッ ト及び前記露光装置のいずれかで処理されてい る基板の枚数との和が前記第 3の処理ュニットの数となる前に、前記第 3 の処理ュニットに搬入された基板を搬出するように、前記第 1の搬送機構 による搬送を制御する
ことを特徴とする基板処理装置。
8 . 請求項 6に記載の基板処理装置であって、
前記第 2の搬送機構は、
前記第 3の処理ュニヅトに基板を搬送可能な主搬送機構と、
前記露光装置により露光された基板を受取ることが可能な補助搬送機 構と
を有し、
前記制御部は、前記主搬送機構による基板の搬送と前記補助搬送機構に よる基板の搬送とを独立に制御することを特徴とする基板処理装置。
9 . 請求項 6に記載の基板処理装置であって、
前記露光装置により露光が終了してから前記第 3の処理ュニッ トによ り第 3の処理が開始されるまでの基板の待機時間が一定となるように、前 記露光が終了した基板を前記第 3の処理ュニッ トにおける第 3の処理の 開始時間を制御する手段
をさらに具備することを特徴とする基板処理装置。
1 0 . 請求項 9に記載の基板処理装置であって、
前記待機時間は、前記露光装置による露光が終了してから前記露光され た基板が前記第 2の搬送機構により受取られるまでの時間の最大値と、前 記露光された基板が前記第 2の搬送機構により受取られてから前記第 3 の処理ュニットに搬送されるまでの時間との和から、前記露光装置により 露光が終了してから前記第 3の処理ュニッ トに搬送されるまでの実搬送 時間を減じた時間である
ことを特徴とする基板処理装置。
1 1 . 請求項 8に記載の基板処理装置であって、
前記主搬送機構及び前記補助搬送機構のうち少なくとも一方は、 基板を搬送するための第 1の搬送部材と、
前記第 1の搬送部材と一体に移動可能に設けられ基板を搬送可能な第 2の搬送部材と
を有することを特徴とする基板処理装置。
1 2 . 請求項 6に記載の基板処理装置であって、
前記露光装置及び前記第 3の処理ュニッ トの間に設けられ、前記露光装 置による露光が終了してから前記第 3の処理ュニッ トにより第 3の処理 が開始されるまでの時間を一定とするために、基板を待機させる待機ュニ ッ 卜
をさらに具備することを特徴とする基板処理装置。
PCT/JP2003/014673 2002-11-28 2003-11-18 基板処理システム、塗布現像装置及び基板処理装置 WO2004049408A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
AU2003280854A AU2003280854A1 (en) 2002-11-28 2003-11-18 Wafer processing system, coating/developing apparatus, and wafer processing apparatus
US10/536,976 US7379785B2 (en) 2002-11-28 2003-11-18 Substrate processing system, coating/developing apparatus, and substrate processing apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002346138 2002-11-28
JP2002-346138 2002-11-28

Publications (1)

Publication Number Publication Date
WO2004049408A1 true WO2004049408A1 (ja) 2004-06-10

Family

ID=32376045

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2003/014673 WO2004049408A1 (ja) 2002-11-28 2003-11-18 基板処理システム、塗布現像装置及び基板処理装置

Country Status (4)

Country Link
US (1) US7379785B2 (ja)
KR (2) KR100935291B1 (ja)
AU (1) AU2003280854A1 (ja)
WO (1) WO2004049408A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8747949B2 (en) * 2006-04-17 2014-06-10 Tokyo Electron Limited Coating and developing system, method of controlling coating and developing system and storage medium

Families Citing this family (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100465788C (zh) * 2003-10-27 2009-03-04 三星电子株式会社 光刻装置
US7085677B1 (en) * 2004-04-19 2006-08-01 Amazon Technologies, Inc. Automatically identifying incongruous item packages
JP5008280B2 (ja) * 2004-11-10 2012-08-22 株式会社Sokudo 基板処理装置および基板処理方法
JP5154008B2 (ja) * 2004-11-10 2013-02-27 株式会社Sokudo 基板処理装置および基板処理方法
JP5154006B2 (ja) * 2004-12-06 2013-02-27 株式会社Sokudo 基板処理装置
JP4926433B2 (ja) 2004-12-06 2012-05-09 株式会社Sokudo 基板処理装置および基板処理方法
JP5154007B2 (ja) * 2004-12-06 2013-02-27 株式会社Sokudo 基板処理装置
JP4955977B2 (ja) * 2005-01-21 2012-06-20 東京エレクトロン株式会社 塗布、現像装置及びその方法
JP4955976B2 (ja) * 2005-01-21 2012-06-20 東京エレクトロン株式会社 塗布、現像装置及びその方法
JP4459831B2 (ja) * 2005-02-01 2010-04-28 東京エレクトロン株式会社 塗布、現像装置
JP2006269497A (ja) * 2005-03-22 2006-10-05 Olympus Corp 基板処理装置及び基板収納方法
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
JP4937559B2 (ja) * 2005-09-14 2012-05-23 株式会社Sokudo 基板処理装置および基板処理方法
JP4549959B2 (ja) * 2005-09-14 2010-09-22 大日本スクリーン製造株式会社 基板処理装置
JP4761907B2 (ja) 2005-09-28 2011-08-31 株式会社Sokudo 基板処理装置
JP4450784B2 (ja) * 2005-10-19 2010-04-14 東京エレクトロン株式会社 塗布、現像装置及びその方法
US7961291B2 (en) * 2005-12-23 2011-06-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2008034746A (ja) * 2006-07-31 2008-02-14 Tokyo Electron Ltd 塗布、現像装置、その方法及び記憶媒体
JP4999415B2 (ja) 2006-09-29 2012-08-15 東京エレクトロン株式会社 基板処理装置及び基板処理方法並びに基板処理装置の用力供給装置及び基板処理装置の用力供給方法
JP5132920B2 (ja) * 2006-11-22 2013-01-30 東京エレクトロン株式会社 塗布・現像装置および基板搬送方法、ならびにコンピュータプログラム
JP5023679B2 (ja) * 2006-12-05 2012-09-12 東京エレクトロン株式会社 塗布、現像装置及びその方法並びに記憶媒体
JP4840168B2 (ja) * 2007-01-31 2011-12-21 東京エレクトロン株式会社 加熱装置、加熱方法及び記憶媒体
DE102007025339A1 (de) * 2007-05-31 2008-12-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Entfernen leerer Trägerbehälter von Prozessanlagen durch Steuern einer Zuordnung zwischen Steuerungsaufgaben und Trägerbehälter
US8636458B2 (en) * 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
JP5001828B2 (ja) * 2007-12-28 2012-08-15 株式会社Sokudo 基板処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010192623A (ja) * 2009-02-17 2010-09-02 Renesas Electronics Corp 半導体装置の製造装置、その制御方法、及びその制御プログラム
JP4751460B2 (ja) * 2009-02-18 2011-08-17 東京エレクトロン株式会社 基板搬送装置及び基板処理システム
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5433290B2 (ja) * 2009-04-20 2014-03-05 東京エレクトロン株式会社 基板収納方法及び制御装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5318005B2 (ja) 2010-03-10 2013-10-16 株式会社Sokudo 基板処理装置、ストッカー装置および基板収納容器の搬送方法
JP5392190B2 (ja) * 2010-06-01 2014-01-22 東京エレクトロン株式会社 基板処理システム及び基板処理方法
JP5397399B2 (ja) 2010-07-09 2014-01-22 東京エレクトロン株式会社 塗布、現像装置
JP5408059B2 (ja) 2010-07-09 2014-02-05 東京エレクトロン株式会社 塗布、現像装置、塗布、現像方法及び記憶媒体
JP5490741B2 (ja) * 2011-03-02 2014-05-14 東京エレクトロン株式会社 基板搬送装置の位置調整方法、及び基板処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130123966A1 (en) * 2011-11-14 2013-05-16 Shenzhen China Star Optoelectronics Technology Co., Ltd. Spatial three-dimensional inline handling system
NL2010166A (en) 2012-02-22 2013-08-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10698392B2 (en) * 2018-06-22 2020-06-30 Applied Materials, Inc. Using graphics processing unit for substrate routing and throughput modeling
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04239720A (ja) * 1991-01-23 1992-08-27 Hitachi Ltd 露光装置
JPH08316130A (ja) * 1995-05-22 1996-11-29 Sony Corp レジストパタ−ンの形成方法および装置
US5803932A (en) * 1994-04-26 1998-09-08 Tokyo Electron Limited Resist processing apparatus having an interface section including two stacked substrate waiting tables
JPH10270316A (ja) * 1997-03-25 1998-10-09 Nec Corp 電子線露光装置及びレジスト塗布現像装置並びにレジストパターン形成方法
US5849602A (en) * 1995-01-13 1998-12-15 Tokyo Electron Limited Resist processing process
US20010051837A1 (en) * 2000-06-07 2001-12-13 Masanori Tateyama Substrate processing system and substrate processing method
US20020009902A1 (en) * 2000-07-24 2002-01-24 Hiroharu Hashiguchi Substrate processing method, substrate processing apparatus and substrate carrying method

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07142356A (ja) 1993-11-19 1995-06-02 Sony Corp レジスト・パターン形成方法およびこれに用いるレジスト・パターン形成システム
JPH07171478A (ja) 1993-12-20 1995-07-11 Dainippon Screen Mfg Co Ltd 基板処理装置
JPH08255750A (ja) * 1995-01-13 1996-10-01 Tokyo Electron Ltd 処理方法、レジスト処理方法及びレジスト処理装置
JP3914690B2 (ja) * 1999-06-30 2007-05-16 東京エレクトロン株式会社 基板受け渡し装置及び塗布現像処理システム

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04239720A (ja) * 1991-01-23 1992-08-27 Hitachi Ltd 露光装置
US5803932A (en) * 1994-04-26 1998-09-08 Tokyo Electron Limited Resist processing apparatus having an interface section including two stacked substrate waiting tables
US5849602A (en) * 1995-01-13 1998-12-15 Tokyo Electron Limited Resist processing process
JPH08316130A (ja) * 1995-05-22 1996-11-29 Sony Corp レジストパタ−ンの形成方法および装置
JPH10270316A (ja) * 1997-03-25 1998-10-09 Nec Corp 電子線露光装置及びレジスト塗布現像装置並びにレジストパターン形成方法
US20010051837A1 (en) * 2000-06-07 2001-12-13 Masanori Tateyama Substrate processing system and substrate processing method
US20020009902A1 (en) * 2000-07-24 2002-01-24 Hiroharu Hashiguchi Substrate processing method, substrate processing apparatus and substrate carrying method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8747949B2 (en) * 2006-04-17 2014-06-10 Tokyo Electron Limited Coating and developing system, method of controlling coating and developing system and storage medium

Also Published As

Publication number Publication date
KR100974141B1 (ko) 2010-08-04
KR100935291B1 (ko) 2010-01-06
AU2003280854A1 (en) 2004-06-18
US7379785B2 (en) 2008-05-27
US20050287821A1 (en) 2005-12-29
KR20050083939A (ko) 2005-08-26
KR20090109137A (ko) 2009-10-19

Similar Documents

Publication Publication Date Title
WO2004049408A1 (ja) 基板処理システム、塗布現像装置及び基板処理装置
JP4087328B2 (ja) 塗布、現像装置及び塗布、現像装置の運転方法
US8372480B2 (en) Coater/developer, method of coating and developing resist film, and computer readable storing medium
JP4414909B2 (ja) 塗布、現像装置
JP4464993B2 (ja) 基板の処理システム
KR101054196B1 (ko) 도포 처리 방법 및 도포 처리 장치와, 컴퓨터 판독 가능한 기록 매체
JPH07297258A (ja) 板状体の搬送装置
WO2005069365A1 (ja) 基板処理装置及び基板処理方法
US7364376B2 (en) Substrate processing apparatus
US20020076306A1 (en) Substrate processing system and substrate processing method
JP4279102B2 (ja) 基板処理装置及び基板処理方法
JP2005294460A (ja) 塗布、現像装置
WO2005101485A1 (ja) 基板処理装置及び基板処理方法
US7512456B2 (en) Substrate processing apparatus
JP4018965B2 (ja) 基板処理装置
WO2005057648A1 (ja) 基板処理装置
US7191033B2 (en) Substrate processing apparatus and substrate processing method
JP2001274221A (ja) 板状体の搬送装置および搬送方法、ならびに処理装置
JP4606159B2 (ja) 基板処理装置、基板処理方法、コンピュータプログラム及び記憶媒体
JP2005101077A (ja) 基板処理装置及び基板処理方法
JP3347560B2 (ja) 基板処理装置
JPH11340298A (ja) 基板処理装置

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020057009171

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 10536976

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 1020057009171

Country of ref document: KR

122 Ep: pct application non-entry in european phase