WO2004055472A2 - Method for aberration detection and measurement - Google Patents

Method for aberration detection and measurement Download PDF

Info

Publication number
WO2004055472A2
WO2004055472A2 PCT/US2003/039457 US0339457W WO2004055472A2 WO 2004055472 A2 WO2004055472 A2 WO 2004055472A2 US 0339457 W US0339457 W US 0339457W WO 2004055472 A2 WO2004055472 A2 WO 2004055472A2
Authority
WO
WIPO (PCT)
Prior art keywords
phase
zones
test target
phase zones
target
Prior art date
Application number
PCT/US2003/039457
Other languages
French (fr)
Other versions
WO2004055472A3 (en
Inventor
Bruce W. Smith
Original Assignee
Smith Bruce W
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Smith Bruce W filed Critical Smith Bruce W
Priority to AU2003299606A priority Critical patent/AU2003299606A1/en
Publication of WO2004055472A2 publication Critical patent/WO2004055472A2/en
Publication of WO2004055472A3 publication Critical patent/WO2004055472A3/en

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01MTESTING STATIC OR DYNAMIC BALANCE OF MACHINES OR STRUCTURES; TESTING OF STRUCTURES OR APPARATUS, NOT OTHERWISE PROVIDED FOR
    • G01M11/00Testing of optical apparatus; Testing structures by optical methods not otherwise provided for
    • G01M11/02Testing optical properties
    • G01M11/0242Testing optical properties by measuring geometrical properties or aberrations
    • G01M11/0257Testing optical properties by measuring geometrical properties or aberrations by analyzing the image formed by the object to be tested
    • G01M11/0264Testing optical properties by measuring geometrical properties or aberrations by analyzing the image formed by the object to be tested by using targets or reference patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70591Testing optical components
    • G03F7/706Aberration measurement

Definitions

  • the present invention relates to microlithographic imaging using a projection exposure system for fabricating semiconductor devices and the detection of aberrations in the system
  • Optical lithography has been the dominant technology for the patterning of semiconductor device features.
  • the projection system used for imaging of sub-wavelength features coprise a large number of lens elements and operate at wavelengths ranging from 436 nm to 126 nm.
  • the level of aberration in these systems must be low enough to allow imaging on the order of 0.30 lambda/NA, where lambda is the imaging wavelength and NA is the numerical aperaturte of the lens system, typically on the order of 0.40 to 0.90.
  • This type of performance is near the physical limits of diffraction and aberrations must be low enough to produce optical wavefront deformation in the projection lens pupil below a multiple of 0.1 wavelengths, and approaching 0.01 wavelengths for the most current systems.
  • Lens quality can be described in terms of the ability of an optical system to convert the spherical wavefront emerging from an object point into a spherical wavefront converging toward an image point.
  • Each aberration type will produce unique deviations in the wavefront within the lens pupil.
  • Zernike circle polynomials can be used to represent optimally balanced classical aberrations. Any term in the expansion of the wave aberration function leading to a complete set of Zernike polynomials can be represented as:
  • n and m are positive integers (n - m > 0 and even), c nm and s nm are aberration coefficients, and the radial polynomial R of degree n in terms of the normalized radial coordinate in the pupil plane (p) is in Mahajan's convention [V.N. Mahajan, Zernike circular polynomials and optical aberrations of systems with circular pupils, Eng. and Lab Notes, in Opt. & Phot. News 5,8 (1994)]. Commonly, a set of 37 Zernike polynomial coefficients is utilized to describe primary and higher order aberration, although some applications may require additional terms.
  • Aberration metrology is critical to the production of lithographic quality lenses in order to meet these strict requirements. Additionally, it is becoming increasingly important to be able to measure and monitor lens performance in an IC fabrication environment. The lithographer needs to understand the influences of aberration on imaging and any changes that may occur in the aberration performance of the lens between lens assembly and application or over the course of using an exposure tool.
  • phase measurement interferometry also known as phase shifting interferometry (PSI) [J.E. Greivenkamp and J.H. Bruning, Optical Shop Testing: Phase Shifting Interferometry, D. Malacara ed, (1992) 501].
  • PMI phase measurement interferometry
  • PSI phase shifting interferometry
  • PMI generally describes both data collection and the analysis methods that have been highly developed for lens fabrication and assembly and used by all major lithographic lens suppliers.
  • the concept behind PMI is that a time- varying phase shift is introduced between a reference wavefront and a test wavefront in an interferometer. At each measurement point, a time-varying signal is produced in an interferogram. The relative phase difference between the two wavefronts at this position is encoded within these signals.
  • the accuracy of PMI methods lies in the ability to sample a wavefront.
  • a wavefront can be sampled with a spacing of ⁇ /n where n is the number of times the system is traversed by a test beam.
  • These methods require careful control of turbulence and vibration.
  • a more significant limitation of these interferometric methods in the need for the reference and test beams to follow separated paths, making field use (or in-situ application) difficult. The lithographer is therefore restricted to using alternative approaches to measure, predict, approximate, or monitor lens performance and aberration.
  • test and reference beams In a conventional interferometer (such as a Twyman-Green or Mac-Zehnder used with PMI), test and reference beams must follow separate paths. This is the main difficulty with employing these methods for in-situ measurement on a lithography tool. Common path interferometry is possible where a reference beam travels a path through the test optic but is done in such a way that it either does not experience aberration or system aberrations are removed.
  • a reference beam travels a path through the test optic but is done in such a way that it either does not experience aberration or system aberrations are removed.
  • PSPD Phase Shifting Point Diffraction
  • the method utilizes a transmission grating to produce test and reference diffraction beams.
  • the zero diffraction order beam is directed through the optic being tested and experiences aberration present within the lens pupil.
  • a higher grating diffraction order beam is directed toward the edge of the lens pupil and is directed through a small pinhole at the image side of the optic. If the pinhole is perfect, any aberration in this beam is removed.
  • the test beam and the reference beam are interfered and sampled for various grating positions to reconstruct the pupil wavefront phase. Algorithms used for this approach are similar to those used for PMI techniques. RIT has also utilized this method at UV and DUV wavelengths [P.
  • Foucault first introduced a knife edge test, which has been modified by several workers and applied to many optical systems [L.M. Foucault, Ann. Obs. Imp. Paris, 5, 197 (1859)]. By blocking out part of a plane within a lens system traversed by diffracted light, a shadow can be formed over aberrated pupil regions. The behavior of the shadow pattern can be correlated to aberration, especially spherical, defocus, coma, and field curvature. Various enhancements to this approach have proven capability at the levels needed for rnicrolithography application but implementation may be difficult. Mechanical slits and knife-edges (or a wire in a similar test procedure) must be placed within the optical system with tight tolerance over placement and parallelism.
  • test is insensitive to small wavefront slope changes, in terms of either magnitude or direction. In other words, when the first or second derivatives of the wavefront errors are small, these tests are quite insensitive. This is especially problematic with large apertures.
  • Ronchi test for optical system performance has historically been used also in a mostly qualitative way [see for instance A. Corejo-Rodriquez, Ronchi Test, Optical Shop Testing: Phase Shifting Interferometry, D. Malacara ed, (1992) 321].
  • the principle of the approach is realized when a ruling is placed near the center of curvature of a mirror, where the image of the grating is superimposed on the grating itself, producing an interference pattern.
  • This approach has been used for many applications since Ronchi first introduced it in 1923 [V. Ronchi, Riv. Ottica Mecc. Precis., 2, 9 (1923)].
  • Techniques employing Ronchi principles have allowed for wavefront measurement and fitting of primary and higher order aberration to a high degree of accuracy. These methods are limited, however, by the requirement of a reflective optical system. Practical application for microlithographic purposes is therefore also limited.
  • Blazed grating methods Kirk and Progler have introduced a method to measure wavefront aberration using a phase grating reticle to direct diffraction orders to particular portions of a lens pupil [J.P. Kirk and C.J. Progler, Proc. SPIE 3679 (1999) 70]. These blazed gratings are oriented at various angles (for example 0 to 337.5 degrees at 22.5 degree increments). The image of the grating is stepped through focus and imaged into photoresist. A second blanket exposure is made, resulting in a composite aerial image formed in a near linear response portion of the photoresist material. The resulting images contain aberration information for the portion of the lens pupil sampled by the diffraction energy directed at the blazed angle (or frequency).
  • both low and high order aberration terms can be fitted.
  • Algorithms have been developed to fit this information from measured resist images.
  • the capability of this approach requires matching the images recorded in resist to simulation with various aberration type.
  • This approach is not limited to symmetrical aberration types because of the distribution of gratings over a wide range of orientations.
  • the main concern with this method is the ability to match high order azimuthal aberration effects.
  • the capability of the blazed grating approach increases with increasing grating frequencies present on the test reticle. Fabrication of this reticle becomes challenging then as a range of etch angles must be accommodated.
  • Direct aerial image measurement has been carried out for optical systems for many applications.
  • the basic concept of this idea is that measurement of the output response function of a system for a specific input can lead to characterization of error mechanisms.
  • the approach that is best utilized is one that could measure the spread function from a point or a line (commonly known as point spread function and line spread functions respectively).
  • point spread function and line spread functions respectively.
  • the Fourier Transform of these functions will lead to a modulation transfer function, which.
  • This is challenging for partially coherent imaging but correlation approaches exist.
  • Two difficulties arise with this method of image assessment for optical lithography. First is the problem with the separating of aberration types and understanding their contribution to losses in the spread or transfer functions. Small levels of aberration can have similar impact and identification of azimuthal orders will be difficult.
  • phase shift focus monitor test developed by IBM [T. Brunner et al, Proc. SPIE 2197 (1994) 541].
  • phase shift focus monitor approach is very useful for fitting low order aberration but discrimination over a given azimuthal term is difficult.
  • Test methods can be developed to measure specific portions of a wavefront. Complete description of an aberrated wavefront is difficult.
  • RET resolution enhancement technique
  • PSM phase shift masking
  • OAI off-axis illumination
  • PSM phase shift masking
  • PAM phase shift masking
  • OAI off-axis illumination
  • This can be taken advantage of by designing illumination or phase masking that resonates with particular aberrations.
  • an alternating phase shift mask structure can be quite sensitive to astigmatism and 3 -point. The images of such features are then measured and compared with simulated images using known levels of aberration.
  • the accuracy of matching an aberrated wavefront using this type of estimation is increased by including a range of different conditions and by limiting evaluation to those conditions that would most likely be experience in a real imaging situation.
  • a method of wavefront sampling using binary line mask structures is also describe in EP0849638, where the amount of aberration is determined on the basis of a difference between line widths. This method is adequate for the detection of comatic aberration but it is difficult to extract the magnitude of such aberrations or the presence of other aberrations.
  • Perforated screen methods were first devised to eliminate the sensitivities associated with interferometric methods used for wavefront measurement, most specifically air turbulence. A good review is contained in [I. Ghozeil, Optical Shop Testing: Hartmann and Other Screen Tests, D. Malacara ed, (1992) 501].
  • the basic concept of a screen test is that a wavefront can be sampled at a number of locations across a pupil in a predetermined fashion, allowing for reconstruction by relating these sampled points to one another.
  • the use of a portion of a wavefront creates a focus position that is not coincidental with the ideal focus of an entire wavefront.
  • a tilt term results, which can be calculated based on the geometry of the optic being tested.
  • any tilt aberration in the lens can be measured as a deviation form this predicted result.
  • wavefront aberrations can be mapped.
  • Sampling screens of various types have been devised over the years. Hartmann first described a radial screen [J. Hartmann, Zt. Instrumentenkd., 24, 1 (1904)], which had been most common until the square array screen tests suggested first by Shack and employed by various workers. Radial screens have been used for testing large concave mirrors, especially for telescopes.
  • the advantage of the square array is the removal of circular symmetry, and the assumptions that can lead to artifact circular error buildup. A much higher surface sampling can also be obtained.
  • the fabrication and measurement of a rigid square array screen can ensure accuracy of wavefront metrology.
  • One problem screen type methods inherently possess is the inability to detect small scale surface changes taking place between the holes in the screen. These tests are often combined with other techniques to improve capability.
  • Additional challenges encountered with screen tests include methods of data collection and data reduction.
  • the use of electro-optical detector arrays has been described for data collection [E.T. Pearson, Proc. SPIE 1236, 628 (1990)], which is commonly performed using photographic plates. Rapid data collection is permitted and averaging is permitted.
  • An additional improvement with the use of an electro-optical detector is an interferometric capability that can be included by intentionally overlapping sampling spots. This can allow closer packing of sampling spots and can lead to higher accuracy across the pupil.
  • An additional advantage of such a detector is the ability to filter low intensity noise artifacts.
  • the Hartman test has been improved upon and has found its way into microlithographic applications. Through use of rigid screens with precise control over placement and tilt, measurement of projection lens wavefront is possible.
  • the application of Fourier transform methods of data analysis [describe for instance by F. Roddier, Soc. Photo-Opt. Eng., 1237, 70 (1990)] assists with automation and the handling of large amounts of data.
  • Canon has disclosed a variation to the Hartmann test [US Patent 4,641,962 (1987)] for measuring wavefront aberration of a test optic in a reverse projection scheme. This test technique is not described for use in-situ in a projection system but is indicative of the developments that have been made with Hartmann type tests for modern lens metrology.
  • a method referred to as the Litel method uses a reticle consisting of a multiplicity of small openings.
  • the method is a variation of a square array Hartmann screen test, often referred to as a Shack-Hartmann screen test.
  • Shack-Hartmann screen test Several reviews have been published on this technology, [N. Farrar et al, Proc. SPIE 4000 (2000)].
  • the advantage of placing the screen at the reticle plane is in the positional accuracy that can be obtained in current microlithographic tools. Placing the screen at this position in the optical train requires additional optical components to be incorporated into the imaging system, which are added to the reticle instrument.
  • a fundamental problem with screen tests is the inability to test wavefront positions between those tested with the screen openings
  • Phase contrast tests Zernike first proposed using an improvement to the Foucault test, which has become known as a phase contrast or phase modulation test [F. Zernike, Mon. Not. R. Astron. Soc, 94, 371 (1934)].
  • This technique uses a phase shifted disk artifact in the optical path so that the resulting phase delay is recorded and can be correlated to wavefront aberration.
  • Wolter developed a ⁇ /2 phase edge test, which is considered a variation of the knife edge or wire test where the phase edge removes the need to use a physical method to block light [H. Wolter, Handbook of Physics, Vol. 24, Springer- Verlag, Berlin (1956), 582]. This improvement has become interesting for applications requiring in-situ measurement.
  • the most recent modification to a phase contrast testing method is the DART (Dirkson Annular Ring Test) method developed by Dirkson [P. Dirkson et al, Proc. SPIE 3679 (1999) 77] and described in US 6,248,486 and US6,368,763.
  • the DART method employs a test object which comprises a single closed figure having a phase structure.
  • the closed phase object is generally sized in the reticle plane with diameter ⁇ /NA and a phase of ⁇ /2.
  • the image of this phase edge ring is printed into resist.
  • the cross section of the ring is a convolution of the point spread function of the imaging tool at the particular condition of illumination with the resist response function.
  • the image is scanned using a detection device such a scanning electron microscope (SEM).
  • SEM scanning electron microscope
  • the scanned image is then subjected to analysis to ascertain lens aberration.
  • the ring image allows for evaluation of wavefront aberration at various azimuthal (angular) positions. Calibration and correlation of this ring image to wavefront aberration involves the deconvolving of the resist function and fitting algorithms to extract primary and higher aberration terms.
  • An object of this invention is to provide a convenient method for the detection of lens aberration that can be employed during the standard operation of a projection system, that is through the exposure of a photoresist coated substrate through illumination of a mask test target using a radiation source and an illumination apparatus. Furthermore, the method of the invention allows for the detection of specific aberration types and trends, as well as levels of aberration, though visual inspection of high resolution images of resist patterned as well as through the fitting of aberration parameters through the means of mathematical analysis of images and fitting algorithms.
  • the test method comprises a test target which comprises at least one open figure which comprises a multiple component array of phase zones, where the muliple zones are arranged within the open figure so that their reponse to lens aberration is interrelated and the zones respond uniquely to specific aberrations depending on their location wihin the figure.
  • This is a unique and new method of detecting a variety of aberration types including coma, spherical, astigmatism, and three-point through the exposure of a photoresist material placed in the image plane of the system and the evaluation of these images.
  • the test method offers the advantage over other methods because of the sensitivity to particular abberation types, the unique response of multiple zones of the test target to aberrations, and the ease with which aberrations can be distinguished.
  • An open figure of the test target refers to a figure having no single contour line to close the figure, providing no boundary line between the figure and the surrounding area.
  • the method of lens aberration detection is based on the identification of the deviations that occur between the images printed with the open figure test target and images that would be produced in the absence of aberration. This can be carried out for example through the use of lithography simulation, where simulated images can be produced without aberration and with various levels of lens aberration. Comparisons of printed resist images to simulated resist images are made while the values of the coefficients for primary Zernike aberrations are varied.
  • the interrelationship among the multiple phase geometry is unique to this invention and allows detection of aberration using the open figure test target that is not possible through the use of a target that consists of single closed figures, as described in US6,248,486.
  • the detection of aberration that is made possible through the test object of the present invention is not possible using a test object consisting of structures defined only in amplitude, as described in Chen in Pub. No. US2002/0088951.
  • the method of this invention is rejected in U.S. Patent No. 6,248,486, the disclosure of which is incorporated herein by reference, and which describes a closed single figure.
  • the method of this invention is also rejected in Pub. No. US2002/0088951 where a plurality of non- resolvable amplitude-only features is arranged as a test target and the circumstances associated with the use of phase patterns is described as problematic. It is proposed that the non-resolvable amplitude-only features are used to approximate the imaging effects of the closed single figure of US 6,248,486.
  • the method of the present invention is not obvious based on the disclosures of prior art.
  • the response of the test object of the present invention to lens aberration that is interrelated where the zones respond uniquely to specific aberrations depending on their location within the figure cannot be described, predicted, or ascertained by the previous disclosures.
  • Figure 1 is a schematic of a projection imaging system that would employ an embodiment of the method of the invention.
  • Figure 2 is an x-oriented open multiple phase bar test object and its image in a photoresist.
  • Figure 3 is a y-oriented open multiple phase bar test object and its image in a photoresist.
  • Figure 4 is an open multiple phase bar test object oriented at 45 degrees and its image in a photoresist.
  • Figure 5 is a multiple open phase box test object and its intensity image.
  • Figure 6 is a multiple open phase circle test object and its intensity image.
  • Figure 7 is a open phase test target with pairs of phase zones oriented at 0, 45, 90, and 180 degrees.
  • Figure 8 shows variations of open phase test targets using circular and square shaped components.
  • Figure 13 is a resist image of an open phase test target showing the effects of negative astigmatism.
  • Figure 14 is a resist image of an open phase test target showing the effects of positive astigmatism.
  • Figure 15 is a resist image of an open phase test target showing the effects of positive 45 degree astigmatism.
  • Figure 16 is a resist image of an open phase test target showing the effects of negative 45 degree astigmatism.
  • Figure 17 is a resist image of an open phase test target showing the effects of coma.
  • Figure 18 is a resist image of an open phase test target showing the effects of spherical.
  • Figure 19 is a resist image of an open phase test target showing the effects of three-foil.
  • Figure 20 is a resist image of an open phase test target showing the effects of multiple aberrations resulting in a total wavefront OPD of 0.035 waves RMS with 0.10 microns of defocus.
  • Figure 21 is a resist image of an open phase test target showing the effects of no aberration.
  • Figure 22 is a resist image of a test target which has a central zone intensity of zero.
  • FIG 1 shows a schematic of a projection imaging system.
  • An illumination apparatus 21 illuminates a mask test object 22 which is imaged through an objective lens 23 onto a photosensitized substrate 24. If a test object is employed on a photomask as a phase pattern, specifically with a phase shifted from that of the surrounding area by 180 degrees, the lens aberration will introduce imaging errors characteristic of the aberration type and the mask geometry.
  • Figure 2 shows how three small phase lines (between 0.5 and 1.5 lambda/NA) are printed into a photoresist.
  • the images are a result of lithographic simulation using a Prolith vector model (Prolith Version 7.0, KLA FINLE) with a wavelength of 157nm, a numerical aperture (NA) of 0.85, a partial coherence value of 0.30, and a resist thickness of 80nm.
  • the resulting image consists of six separate lines, each occurring at the phase transitions on the photomask. The differences between the six lines are a result of the random aberration programmed into the simulator at a level corresponding to a root mean square (RMS) of 0.03 waves (a reasonable level for such a lithography system).
  • RMS root mean square
  • Figure 3 shows the effects of rotating the test object by 90 degrees, resulting in a different and unique effect to the resulting six lines, which is indicative of the radial and angular dependence of aberrations within the lens.
  • Figure 4 shows the effects of rotating the test object by 45 degrees where results are also unique. It is desirable to detect aberration effects at all orientations or directions simultaneously.
  • the test phase objects of Figures 2 through 4 can be reduced from multiple line groupings to multiple phase box groupings, as shown in Figure 5.
  • This figure shows how the image intensity through the center the three boxes of sizes LI and L3 spaced distance L2 apart and oriented along a 90-270 degree axis results in six dark regions, or lines, in a clear field, similar the effect for the three bar patterns in earlier figures. The separation of the three dark regions is determined by the LI, L2, and L3 dimensions.
  • the shape of the phase features within the test target need not be square.
  • Figure 6 shows how circular phase shapes produce similar intensity profiles along a central region of a multiple pattern grouping.
  • a test target is designed as a grouping of phase zones so that the test target is a single open figure based on this collection of zones.
  • the test target is referred to as a Wheel Aberration Target.
  • the phase of the zones are shifted with respect to the phase of the surrounding field by 180 degrees.
  • the features are sized in ranges between 0.5 and 1.5 lambda/NA and the entire open phase test target is between 2.5 and 5 lambda/NA in size.
  • the interrelationships between the geometry is unique for each region within the test target as each portion has a unique proximity to surrounding phase values.
  • Top-Right L2 Feature sized L3 spaced L2 below-left Feature sized L2 at 0 and 90 degrees
  • Top-Left L2 Feature sized L3 spaced L2 below-right Feature sized L2 at 0 and 270 degrees
  • test structure of the present invention can take on forms different than that shown in Figure 7. Examples of test targets with nine phase features are shown in Figure 8. These targets consist of groupings of square and circular phase features of various sizing and shaping.
  • the shape of the features within the target is less critical than the sizing and spacing since the detailed structure of the features is likely beyond the resolution of the lithography system.
  • the transmission of the zones can be varied. In one embodiment, the transmission of all zones and all surrounding regions is unity. In another embodiment of the invention, the center zone has a transmission of zero. In each circumstance, the response of the zones within the test object to lens aberration are interrelated and the zones respond uniquely to specific aberrations depending on their location within the figure. Also, the grouping of the features is not limited to this descriptive example. Variations in the number of features, shaping, sizing, phase, transmission, and density can be modified with the same open phase test target effect where the interrelationship among the phase features allows for the unique detection of aberrations.
  • the distribution of the diffraction energy within a lens pupil is unique for each example and shows how a test object can be designed for particular sensitivity to an aberration order.
  • the diffraction energy distribution of Figure 11 for example is most sensitive to 3 rd order (or primary) aberration and the sizing values of this example are used for the remaining examples of the present description.
  • the zones within the figure are circular.
  • the phase of the circular regions are phase shifted from the surrounding region by 180 degrees.
  • the transmission of the figure is unity.
  • Figure 13 shows the unique impact of negative 3 order astigmatism through a defocus range of +/- 0.12 microns.
  • the unique behavior of the images resulting from the method of the invention is the characteristic deformation of the images printed from the zones within the test target at X and Y orientations.
  • positive defocus causes the opening of the zones at the extreme Y locations.
  • negative defocus causes the opening of the zones at the extreme X locations.
  • Figure 14 shows the unique impact of positive 3 order astigmatism through a defocus range of +/- 0.12 microns.
  • the unique behavior of the images resulting from the method of the invention is the characteristic deformation of the images printed from the zones within the test target at X and Y orientations.
  • positive defocus causes the opening of the zones at the extreme X locations.
  • negative defocus causes the opening of the zones at the extreme Y locations.
  • Figure 15 shows the unique impact of positive 3 rd order 45 degree astigmatism through a defocus range of +/- 0.12 microns.
  • the unique behavior of the images resulting from the method of the invention is the characteristic deformation of the images printed from the zones within the test target at diagonal orientations.
  • positive 45 degree astigmatism causes the opening of the zones at the extreme -45 degree locations.
  • Negative defocus causes the opening of the zones at the extreme +45 degree locations.
  • Figure 16 shows the unique impact of negative 3 order 45 degree astigmatism through a defocus range of +/- 0.12 microns.
  • the unique behavior of the images resulting from the method of the invention is the characteristic deformation of the images printed from the zones within the test target at diagonal orientations.
  • negative 45 degree astigmatism positive defocus causes the opening of the zones at the extreme +45 degree locations.
  • Negative defocus causes the opening of the zones at the extreme -45 degree locations.
  • Figure 17 shows the unique impact of 3 order coma.
  • the unique behavior of the images resulting from the method of the invention is the characteristic deformation of the images printed from the zones within the test target at all orientations.
  • Coma aberration leads to the characteristic deformation of the images printed from the zones within the test target so that the zones are opened and oriented toward a point corresponding to the coma aberration.
  • the outermost zone along the direction of the coma aberration, and opposite in sign, remains closed.
  • Vectors can be drawn from the openings within the zones, which directed opposite in sign along the direction of the coma aberration, converging to a point at the edge of the target.
  • Figure 18 shows the unique impact of spherical aberration.
  • Spherical aberration causes a distinct expansion and contraction of the zones within the target.
  • the effects are symmetrical within the target, which is an indication of the symmetrical nature of spherical aberration.
  • the figure shows the effect of defocus values of -0.16, 0.12, +0.12, and +0.16 microns of defocus for negative and positive aberration.
  • Figure 19 shows the unique impact of 3 order 3 -point aberration.
  • the unique behavior of the images resulting from the method of the invention is the characteristic deformation of the images printed from the zones within the test target at all orientations.
  • 3-point aberration leads to the characteristic deformation of the images printed from the zones within the test target so that the zones are opened and oriented toward a point corresponding unique to the 3-point aberration.
  • Vectors can be drawn from the openings within the zones, which directed opposite in sign along the direction of the 3-point aberration, converging to a point within the target.
  • the influence of 3-point is a deformation of all zones and a convergence of vectors corresponding to a 120 degree symmetry of the aberration.
  • Figure 20 is a simulated resist image of an open phase test target showing the effects of multiple aberrations.
  • the effects of coma, astigmatism, spherical, and 3-point aberration combine to produce combined deformation effects on the zones of the test target.
  • the total wavefront aberration in this example is 0.03waves (RMS).
  • the contribution from primary aberrations is: -0.0025 waves of astigmatism, 0.0091 waves of 45 degree astigmatism, -0.0093 waves of x-coma, 0.0227 waves of y-coma, -0.0207 waves of spherical, -0.0676 waves of 3- point, and 0.0422 waves of 45 degree 3-point.
  • Figure 21 is a simulated resist image of an open phase test target showing the effects of no aberration.
  • the zones within the test target open to a central region of the target.
  • Figure 22 is a resist image of a test target which has a central zone intensity of zero showing the effects of the multiple aberrations.
  • the effects of coma, astigmatism, spherical, and 3-point aberration combine to produce combined deformation effects on the zones of the test target similar to the previous examples where the central zone intensity is unity.
  • the test object of the present invention is achieved as a photomask which is fabricated using methods that are common to phase-shift photomask fabrication.
  • the steps involved in the fabrication of the mask include the layout of the test object using computer aided design of other methods, exposing a sensitized polymer film coated over a quartz plate which may also have a masking film, developing the exposed image, and transferring the image into the photomask using a dry or wet pattern transfer process.
  • the phase shift within the regions of the test object are created through the etching of the quartz substrate to a depth corresponding to 180 degrees, with possible depth correction incorporated to account for phase effects of the relief structure of the mask..
  • Alternative methods can be employed with the same effect, including the deposition of layers to achieve phase definition. Transmission of the test object can be controlled through patterning of the masking layer.
  • the reference (substantially aberration free) image is produced or created through lithographic modeling and simulation. Aberrations are added to the simulation to allow for fitting by comparison of the simulated result to the result imaged via lithography. An iterative process is carried out where convergence to the lens aberration is achieved by comparison of the simulated result to the lithographic result.
  • other methods of fitting the simulated result to the lithographic result can be used, such as, for example, mathematical fitting of shape parameters to the imaged target by polynomial fitting of curved edges, fitting parameters to target openings, fitting parameters to sizing and/or shifting results, to converge on an aberration level that would have resulted in such pattern deformation.
  • the analysis of the lithographic test image is performed by using a magnifying device. More particularly, the device is preferably scanned by a scanning detection device, such as, for example, a scanning electron microscope.
  • the scanning detection device preferably coverts the scanned image into image data, which is then processed and displayed in a meaningful way, such as, for example, in graphs or diagrams, or is used to display actual visual images of the observed structures on a display device, such as a monitor.
  • the present invention is a method to detect and measure aberrations in an optical system using a test target in the object plane of a projection system and imaging a photoresist film with the system.
  • the invention is described above but it is to be understood that it is not limited to these descriptive examples.
  • the numerical values, structures, sizes, orientations, position, placement, and the like may be changed to accommodate specific imaging conditions.
  • the design, optimization, and analysis methods for the invention can be incorporated into a lithographic simulator, a design layout tool, a computer program, or other analysis tools.

Abstract

Aberrations in an optical system can be detected and measured using a method comprised of a test target in the object plane of a projection system and imaging a photoresist film with the system. The test target comprises at least one open figure which comprises a multiple component array of phase zones, where the multiple zones are arranged within the open figure so that their response to lens aberration is interrelated and the zones respond uniquely to specific aberrations depending on their location within the figure. This is a unique and new method of detecting a variety of aberration types including coma, spherical, astigmatism, and three-point through the exposure of a photoresist material placed in the image plane of the system and the evaluation of these images. The method of the invention offers an advantage over other methods because of the sensitivity to particular abberation types, the unique response of the multiple zones of the test target to aberrations, and the ease with which aberrations can be distinguished.

Description

METHOD FOR ABERRATION DETECTION AND MEASUREMENT
Cross Reference to Related Applications
This application claims the benefit of the priority date of U. S. Provisional patent application Serial Number 60/433,153 filed December 13, 2002.
Field of the Invention
The present invention relates to microlithographic imaging using a projection exposure system for fabricating semiconductor devices and the detection of aberrations in the system
Background of the Invention Optical lithography has been the dominant technology for the patterning of semiconductor device features. As the size of the geometry for these devices continue to shrink below the ultraviolet (UV) wavelength used for imaging, significant demands are placed on the quality of the optical component within the projection imaging system. The projection system used for imaging of sub-wavelength features coprise a large number of lens elements and operate at wavelengths ranging from 436 nm to 126 nm. The level of aberration in these systems must be low enough to allow imaging on the order of 0.30 lambda/NA, where lambda is the imaging wavelength and NA is the numerical aperaturte of the lens system, typically on the order of 0.40 to 0.90. This type of performance is near the physical limits of diffraction and aberrations must be low enough to produce optical wavefront deformation in the projection lens pupil below a multiple of 0.1 wavelengths, and approaching 0.01 wavelengths for the most current systems.
Lens quality can be described in terms of the ability of an optical system to convert the spherical wavefront emerging from an object point into a spherical wavefront converging toward an image point. Each aberration type will produce unique deviations in the wavefront within the lens pupil. For a system utilizing full circular pupils, Zernike circle polynomials can be used to represent optimally balanced classical aberrations. Any term in the expansion of the wave aberration function leading to a complete set of Zernike polynomials can be represented as:
W(p, θ) = Σ Σ 2(n + 1)/(1 + δm0)R« (p)[c„Mcos(røθ) + ^rasin(mθ)]
M=0 rø=0 where n and m are positive integers (n - m > 0 and even), cnm and snm are aberration coefficients, and the radial polynomial R of degree n in terms of the normalized radial coordinate in the pupil plane (p) is in Mahajan's convention [V.N. Mahajan, Zernike circular polynomials and optical aberrations of systems with circular pupils, Eng. and Lab Notes, in Opt. & Phot. News 5,8 (1994)]. Commonly, a set of 37 Zernike polynomial coefficients is utilized to describe primary and higher order aberration, although some applications may require additional terms.
Since any amount of aberration results in image degradation, tolerance levels must be established for lens system, dependent on application. This results in the need to consider not only specific object requirements and illumination but also process requirements. Conventionally, an acceptably diffraction limited lens is one which produces no more than one quarter wavelength (λ/4) wavefront OPD. For many non-lithographic lens systems, the reduced performance resulting from this level of aberration may be allowable. This Rayleigh λ/4 rule is not suitable however for microlithographic applications. To establish allowable levels of aberration tolerances for a photolithographic application, application specific analysis must be performed. Photoresist requirements need to be considered along with process specifications. The current needs of UV and DUV lithography require a balanced aberration level below 0.03λ OPD RMS. Future requirements may dictate sub-0.02λ performance. More important, however, may not be the full pupil performance but instead the performance over the utilized portion of the pupil for specific imaging situations [B.W. Smith, Variations to the influence of lens aberration invoked with PSM and OAI, Proc. SPIE 3679 (1999)]. For a good review of lithographic requirements and tolerances, also see [D. Williamson, The Elusive Diffraction Limit, OSA Proceedings on Extreme UV Lithography (1994), 69].
Aberration metrology is critical to the production of lithographic quality lenses in order to meet these strict requirements. Additionally, it is becoming increasingly important to be able to measure and monitor lens performance in an IC fabrication environment. The lithographer needs to understand the influences of aberration on imaging and any changes that may occur in the aberration performance of the lens between lens assembly and application or over the course of using an exposure tool.
The most accurate method of measuring wavefront aberration (and subsequently fitting coefficients of Zernike polynomials) is phase measurement interferometry (PMI), also known as phase shifting interferometry (PSI) [J.E. Greivenkamp and J.H. Bruning, Optical Shop Testing: Phase Shifting Interferometry, D. Malacara ed, (1992) 501]. PMI generally describes both data collection and the analysis methods that have been highly developed for lens fabrication and assembly and used by all major lithographic lens suppliers. The concept behind PMI is that a time- varying phase shift is introduced between a reference wavefront and a test wavefront in an interferometer. At each measurement point, a time-varying signal is produced in an interferogram. The relative phase difference between the two wavefronts at this position is encoded within these signals.
The accuracy of PMI methods lies in the ability to sample a wavefront. A wavefront can be sampled with a spacing of λ/n where n is the number of times the system is traversed by a test beam. These methods require careful control of turbulence and vibration. A more significant limitation of these interferometric methods in the need for the reference and test beams to follow separated paths, making field use (or in-situ application) difficult. The lithographer is therefore restricted to using alternative approaches to measure, predict, approximate, or monitor lens performance and aberration.
Methods of Aberration Measurement In addition to interferometric techniques, several methods have been developed and utilized to test and/or measure optical performance.
Common-path interferometry (and the PSPD method)
In a conventional interferometer (such as a Twyman-Green or Mac-Zehnder used with PMI), test and reference beams must follow separate paths. This is the main difficulty with employing these methods for in-situ measurement on a lithography tool. Common path interferometry is possible where a reference beam travels a path through the test optic but is done in such a way that it either does not experience aberration or system aberrations are removed.
This approach was first carried out by Burch [J.M. Burch, "Scatter Fringes of Equal Thickness", Nature, 171 (1953) 889] and has recently been applied for lithographic purposes. Workers at
Lawrence Berkeley laboratories have developed Phase Shifting Point Diffraction (PSPD) interferometry to measure the quality of EUV optical systems on the order of 0.02 waves RMS
[P. Naulleau et al, Proc. SPIE 3331 (1998) 114]. The method utilizes a transmission grating to produce test and reference diffraction beams. The zero diffraction order beam is directed through the optic being tested and experiences aberration present within the lens pupil. A higher grating diffraction order beam is directed toward the edge of the lens pupil and is directed through a small pinhole at the image side of the optic. If the pinhole is perfect, any aberration in this beam is removed. The test beam and the reference beam are interfered and sampled for various grating positions to reconstruct the pupil wavefront phase. Algorithms used for this approach are similar to those used for PMI techniques. RIT has also utilized this method at UV and DUV wavelengths [P. Venkataraman, B. Smith, Study of aberrations in steppers using PSPD interferometry, Proc. SPIE 4000 (2000)]. The two primary sources of error with these methods are systematic geometric effects that arise from the geometry of the system (which can be compensated for if measurable) and imperfections in the pinhole. Pinhole imperfections result in reference beam (and reference wave) error dependant on the size, shape, and positioning of the pinhole. There is a real limitation to the fluence that can pass though a pinhole and the fabrication capabilities required to make such an artifact. Additionally, since interferograms must be detected beyond the image plane, a system under test must allow access at these positions. Large numerical apertures will also make image capture difficult and secondary optical relay systems may be required. Although PSPD methods have a good deal of potential for accurate wavefront measurement, implementation will likely be difficult without modifications to stepper or scanner hardware.
Foucault knife edge and wire tests
Foucault first introduced a knife edge test, which has been modified by several workers and applied to many optical systems [L.M. Foucault, Ann. Obs. Imp. Paris, 5, 197 (1859)]. By blocking out part of a plane within a lens system traversed by diffracted light, a shadow can be formed over aberrated pupil regions. The behavior of the shadow pattern can be correlated to aberration, especially spherical, defocus, coma, and field curvature. Various enhancements to this approach have proven capability at the levels needed for rnicrolithography application but implementation may be difficult. Mechanical slits and knife-edges (or a wire in a similar test procedure) must be placed within the optical system with tight tolerance over placement and parallelism.
A major limitation to these types of tests is that the test is insensitive to small wavefront slope changes, in terms of either magnitude or direction. In other words, when the first or second derivatives of the wavefront errors are small, these tests are quite insensitive. This is especially problematic with large apertures.
Star tests
Probably the most basic method to test for image quality is a star test. Approaches like these examine the image of a point source and compare image quality to an ideal. Some of the most useful comparative information dates back to Taylor (H. Taylor, The Adjustment and Testing of Telescope Objectives (1891)]. Airy patterns (point spread functions) are unique for ■each aberration type and aberration levels to 0.05 waves have been measured by evaluation of confined energy and intensity contours of images. Star tests can be inherently quite qualitative and a good deal of experience is required to adequately describe an aberrated wavefront. Star tests have been used for final rapid adjustment to balance spherical aberration in microscope objectives. By viewing images of pinholes, an experienced user can quickly assess aberration level. The problem with this method is its qualitative aspect. Application to lithography may be useful for assessment purposes only. This may prove difficult, however, since diffraction limited pinhole images would be difficult to record with any detail in photoresist.
Ronchi tests
The Ronchi test for optical system performance has historically been used also in a mostly qualitative way [see for instance A. Corejo-Rodriquez, Ronchi Test, Optical Shop Testing: Phase Shifting Interferometry, D. Malacara ed, (1992) 321]. The principle of the approach is realized when a ruling is placed near the center of curvature of a mirror, where the image of the grating is superimposed on the grating itself, producing an interference pattern. This approach has been used for many applications since Ronchi first introduced it in 1923 [V. Ronchi, Riv. Ottica Mecc. Precis., 2, 9 (1923)]. Techniques employing Ronchi principles have allowed for wavefront measurement and fitting of primary and higher order aberration to a high degree of accuracy. These methods are limited, however, by the requirement of a reflective optical system. Practical application for microlithographic purposes is therefore also limited.
Blazed grating methods Kirk and Progler have introduced a method to measure wavefront aberration using a phase grating reticle to direct diffraction orders to particular portions of a lens pupil [J.P. Kirk and C.J. Progler, Proc. SPIE 3679 (1999) 70]. These blazed gratings are oriented at various angles ( for example 0 to 337.5 degrees at 22.5 degree increments). The image of the grating is stepped through focus and imaged into photoresist. A second blanket exposure is made, resulting in a composite aerial image formed in a near linear response portion of the photoresist material. The resulting images contain aberration information for the portion of the lens pupil sampled by the diffraction energy directed at the blazed angle (or frequency). By using several grating angles (frequencies), both low and high order aberration terms can be fitted. Algorithms have been developed to fit this information from measured resist images. As with many resist based evaluation methods, the capability of this approach requires matching the images recorded in resist to simulation with various aberration type. This approach is not limited to symmetrical aberration types because of the distribution of gratings over a wide range of orientations. The main concern with this method is the ability to match high order azimuthal aberration effects. The capability of the blazed grating approach increases with increasing grating frequencies present on the test reticle. Fabrication of this reticle becomes challenging then as a range of etch angles must be accommodated. Accuracy of this method has been reported to be within 12% for a single grating frequency, improvements are possible using additional grating frequencies and by using lower values of partial coherence. By using partial coherence values approaching coherent illumination, the averaging effect imparted on diffraction orders is reduced. This becomes challenging with current exposure tools that limit sigma to values above 0.3. Lower values will result in significant loss in image intensity. Careful characterization of the photoresist material is also required for this method. Ideally, a resist should be of low contrast and highly absorbing (in a photochemical sense). This implies that the resists used for IC fabrication would not be well suited and special materials and modifications to processes would most likely be required.
Aerial image measurement
Direct aerial image measurement has been carried out for optical systems for many applications. The basic concept of this idea is that measurement of the output response function of a system for a specific input can lead to characterization of error mechanisms. The approach that is best utilized is one that could measure the spread function from a point or a line (commonly known as point spread function and line spread functions respectively). For a linear, locally-stationary system, the Fourier Transform of these functions will lead to a modulation transfer function, which. This is challenging for partially coherent imaging but correlation approaches exist. Two difficulties arise with this method of image assessment for optical lithography. First is the problem with the separating of aberration types and understanding their contribution to losses in the spread or transfer functions. Small levels of aberration can have similar impact and identification of azimuthal orders will be difficult. The second set of challenges with this method comes with making the mask and detector artifacts that are small enough to give the resolution required for images of interest, accurately producing arrays of these features at the detector, and getting sufficient energy though a small "pinhole" or slit feature. An approach to this technique has been described by workers a Bell Labs and U.C. Berkeley [E.L. Raab et al, Proc. SPIE 2197 (1994) 550]. Wavefront estimation through masking and illumination
Several workers have developed and demonstrated in-situ methods to infer lens aberration and wavefront shape through use of particular mask features. One technique that has matured to a reasonable commercial level is the phase shift focus monitor test developed by IBM [T. Brunner et al, Proc. SPIE 2197 (1994) 541]. Through the use of techniques similar to those used with phase shift masking approaches, aberrations can be estimated from image and focus shifts. This method of measurement leads to an estimation based on knowledge of how a particular aberration should influence a particular image. The phase shift focus monitor approach is very useful for fitting low order aberration but discrimination over a given azimuthal term is difficult. It is expected that a good deal of work will continue in this area, allowing the lithographer to get a better understanding of the performance of a lithography tool. Test methods can be developed to measure specific portions of a wavefront. Complete description of an aberrated wavefront is difficult.
Other methods of pupil sampling can be used to measure particular portions of a wavefront. With the use of any resolution enhancement technique (RET) such as phase shift masking (PSM) or off-axis illumination (OAI), particular potions of a pupil are utilized, leading to a more discrete sampling of a wavefront than would occur with conventional partially coherent illumination. This can be taken advantage of by designing illumination or phase masking that resonates with particular aberrations. As an example, an alternating phase shift mask structure can be quite sensitive to astigmatism and 3 -point. The images of such features are then measured and compared with simulated images using known levels of aberration. The accuracy of matching an aberrated wavefront using this type of estimation is increased by including a range of different conditions and by limiting evaluation to those conditions that would most likely be experience in a real imaging situation. A method of wavefront sampling using binary line mask structures is also describe in EP0849638, where the amount of aberration is determined on the basis of a difference between line widths. This method is adequate for the detection of comatic aberration but it is difficult to extract the magnitude of such aberrations or the presence of other aberrations.
Hartmann and other screen tests
Perforated screen methods were first devised to eliminate the sensitivities associated with interferometric methods used for wavefront measurement, most specifically air turbulence. A good review is contained in [I. Ghozeil, Optical Shop Testing: Hartmann and Other Screen Tests, D. Malacara ed, (1992) 501]. The basic concept of a screen test is that a wavefront can be sampled at a number of locations across a pupil in a predetermined fashion, allowing for reconstruction by relating these sampled points to one another. The use of a portion of a wavefront creates a focus position that is not coincidental with the ideal focus of an entire wavefront. A tilt term results, which can be calculated based on the geometry of the optic being tested. Using this approach, any tilt aberration in the lens can be measured as a deviation form this predicted result. Using a number of sampling points, wavefront aberrations can be mapped. Sampling screens of various types have been devised over the years. Hartmann first described a radial screen [J. Hartmann, Zt. Instrumentenkd., 24, 1 (1904)], which had been most common until the square array screen tests suggested first by Shack and employed by various workers. Radial screens have been used for testing large concave mirrors, especially for telescopes. The advantage of the square array is the removal of circular symmetry, and the assumptions that can lead to artifact circular error buildup. A much higher surface sampling can also be obtained. Also, the fabrication and measurement of a rigid square array screen can ensure accuracy of wavefront metrology. One problem screen type methods inherently possess is the inability to detect small scale surface changes taking place between the holes in the screen. These tests are often combined with other techniques to improve capability.
Additional challenges encountered with screen tests include methods of data collection and data reduction. The use of electro-optical detector arrays has been described for data collection [E.T. Pearson, Proc. SPIE 1236, 628 (1990)], which is commonly performed using photographic plates. Rapid data collection is permitted and averaging is permitted. An additional improvement with the use of an electro-optical detector is an interferometric capability that can be included by intentionally overlapping sampling spots. This can allow closer packing of sampling spots and can lead to higher accuracy across the pupil. An additional advantage of such a detector is the ability to filter low intensity noise artifacts.
The Hartman test has been improved upon and has found its way into microlithographic applications. Through use of rigid screens with precise control over placement and tilt, measurement of projection lens wavefront is possible. The application of Fourier transform methods of data analysis [describe for instance by F. Roddier, Soc. Photo-Opt. Eng., 1237, 70 (1990)] assists with automation and the handling of large amounts of data. Canon has disclosed a variation to the Hartmann test [US Patent 4,641,962 (1987)] for measuring wavefront aberration of a test optic in a reverse projection scheme. This test technique is not described for use in-situ in a projection system but is indicative of the developments that have been made with Hartmann type tests for modern lens metrology.
A method referred to as the Litel method ([US 5,978,085 and US5,828,455) uses a reticle consisting of a multiplicity of small openings. The method is a variation of a square array Hartmann screen test, often referred to as a Shack-Hartmann screen test. Several reviews have been published on this technology, [N. Farrar et al, Proc. SPIE 4000 (2000)]. The advantage of placing the screen at the reticle plane is in the positional accuracy that can be obtained in current microlithographic tools. Placing the screen at this position in the optical train requires additional optical components to be incorporated into the imaging system, which are added to the reticle instrument. A fundamental problem with screen tests is the inability to test wavefront positions between those tested with the screen openings
Phase contrast tests Zernike first proposed using an improvement to the Foucault test, which has become known as a phase contrast or phase modulation test [F. Zernike, Mon. Not. R. Astron. Soc, 94, 371 (1934)]. This technique (and others also developed by many workers since) uses a phase shifted disk artifact in the optical path so that the resulting phase delay is recorded and can be correlated to wavefront aberration. Wolter developed a λ/2 phase edge test, which is considered a variation of the knife edge or wire test where the phase edge removes the need to use a physical method to block light [H. Wolter, Handbook of Physics, Vol. 24, Springer- Verlag, Berlin (1956), 582]. This improvement has become interesting for applications requiring in-situ measurement.
The most recent modification to a phase contrast testing method (similar to the Wolter test) is the DART (Dirkson Annular Ring Test) method developed by Dirkson [P. Dirkson et al, Proc. SPIE 3679 (1999) 77] and described in US 6,248,486 and US6,368,763. The DART method employs a test object which comprises a single closed figure having a phase structure. The closed phase object is generally sized in the reticle plane with diameter ~λ/NA and a phase of λ/2. The image of this phase edge ring is printed into resist. The cross section of the ring is a convolution of the point spread function of the imaging tool at the particular condition of illumination with the resist response function. The image is scanned using a detection device such a scanning electron microscope (SEM). The scanned image is then subjected to analysis to ascertain lens aberration. The ring image allows for evaluation of wavefront aberration at various azimuthal (angular) positions. Calibration and correlation of this ring image to wavefront aberration involves the deconvolving of the resist function and fitting algorithms to extract primary and higher aberration terms.
The degree to which this type of method can estimate an aberrated wavefront depends on the portions of the lens pupil that are used to create the measured image. Maximum sensitivity will be obtained using this method at low sigma levels. As partial coherence is decreased, however, less of the full lens pupil is utilized to image the phase edge and correlation to full wavefront information is difficult. It has been suggested that sampling over a range of illumination conditions can improve the estimation. This complicates the process to some degree by requiring multiple exposure and measurement passes. The extraction and interpretation of aberrations from the images is often difficult and time consuming because of the often subtle shape deformation that is introduced into the ring images with low and moderate levels of aberration. Large amounts of data are often needed for conclusive results. Consequently, the method is often only practiced by individuals that are well trained in the fitting and interpretation of the ring image results.
Summary of the Invention
An object of this invention is to provide a convenient method for the detection of lens aberration that can be employed during the standard operation of a projection system, that is through the exposure of a photoresist coated substrate through illumination of a mask test target using a radiation source and an illumination apparatus. Furthermore, the method of the invention allows for the detection of specific aberration types and trends, as well as levels of aberration, though visual inspection of high resolution images of resist patterned as well as through the fitting of aberration parameters through the means of mathematical analysis of images and fitting algorithms. The test method comprises a test target which comprises at least one open figure which comprises a multiple component array of phase zones, where the muliple zones are arranged within the open figure so that their reponse to lens aberration is interrelated and the zones respond uniquely to specific aberrations depending on their location wihin the figure. This is a unique and new method of detecting a variety of aberration types including coma, spherical, astigmatism, and three-point through the exposure of a photoresist material placed in the image plane of the system and the evaluation of these images. The test method offers the advantage over other methods because of the sensitivity to particular abberation types, the unique response of multiple zones of the test target to aberrations, and the ease with which aberrations can be distinguished. An open figure of the test target refers to a figure having no single contour line to close the figure, providing no boundary line between the figure and the surrounding area.
The method of lens aberration detection is based on the identification of the deviations that occur between the images printed with the open figure test target and images that would be produced in the absence of aberration. This can be carried out for example through the use of lithography simulation, where simulated images can be produced without aberration and with various levels of lens aberration. Comparisons of printed resist images to simulated resist images are made while the values of the coefficients for primary Zernike aberrations are varied. The interrelationship among the multiple phase geometry is unique to this invention and allows detection of aberration using the open figure test target that is not possible through the use of a target that consists of single closed figures, as described in US6,248,486. Also, the detection of aberration that is made possible through the test object of the present invention is not possible using a test object consisting of structures defined only in amplitude, as described in Chen in Pub. No. US2002/0088951. The method of this invention is rejected in U.S. Patent No. 6,248,486, the disclosure of which is incorporated herein by reference, and which describes a closed single figure. The method of this invention is also rejected in Pub. No. US2002/0088951 where a plurality of non- resolvable amplitude-only features is arranged as a test target and the circumstances associated with the use of phase patterns is described as problematic. It is proposed that the non-resolvable amplitude-only features are used to approximate the imaging effects of the closed single figure of US 6,248,486. The method of the present invention is not obvious based on the disclosures of prior art. The response of the test object of the present invention to lens aberration that is interrelated where the zones respond uniquely to specific aberrations depending on their location within the figure cannot be described, predicted, or ascertained by the previous disclosures.
Description of the Drawings
Figure 1 is a schematic of a projection imaging system that would employ an embodiment of the method of the invention.
Figure 2 is an x-oriented open multiple phase bar test object and its image in a photoresist.
Figure 3 is a y-oriented open multiple phase bar test object and its image in a photoresist. Figure 4 is an open multiple phase bar test object oriented at 45 degrees and its image in a photoresist.
Figure 5 is a multiple open phase box test object and its intensity image.
Figure 6 is a multiple open phase circle test object and its intensity image.
Figure 7 is a open phase test target with pairs of phase zones oriented at 0, 45, 90, and 180 degrees.
Figure 8 shows variations of open phase test targets using circular and square shaped components.
Figure 9 is a plot of the diffraction energy within an objective lens pupil for a test target having Ll=200nm, L2=200nm, and L3=200nm.
Figure 10 is a plot of the diffraction energy within an objective lens pupil for a test target having Ll=200nm, L2=150nm, and L3=200nm.
Figure 11 is a plot of the diffraction energy within an objective lens pupil for a test target having Ll=200nm, L2=150nm, and L3=100nm.
Figure 12 is a plot of the diffraction energy within an objective lens pupil for a test target having Ll=200nm, L2=200nm, and L3=100nm.
Figure 13 is a resist image of an open phase test target showing the effects of negative astigmatism.
Figure 14 is a resist image of an open phase test target showing the effects of positive astigmatism.
Figure 15 is a resist image of an open phase test target showing the effects of positive 45 degree astigmatism. Figure 16 is a resist image of an open phase test target showing the effects of negative 45 degree astigmatism.
Figure 17 is a resist image of an open phase test target showing the effects of coma.
Figure 18 is a resist image of an open phase test target showing the effects of spherical.
Figure 19 is a resist image of an open phase test target showing the effects of three-foil.
Figure 20 is a resist image of an open phase test target showing the effects of multiple aberrations resulting in a total wavefront OPD of 0.035 waves RMS with 0.10 microns of defocus.
Figure 21 is a resist image of an open phase test target showing the effects of no aberration.
Figure 22 is a resist image of a test target which has a central zone intensity of zero.
Detailed Description of the Invention
When imaging with a projection optical system, the aberrations in the lens pupil introduce deformation to a wavefront resulting in imaging errors. Figure 1 shows a schematic of a projection imaging system. An illumination apparatus 21 illuminates a mask test object 22 which is imaged through an objective lens 23 onto a photosensitized substrate 24. If a test object is employed on a photomask as a phase pattern, specifically with a phase shifted from that of the surrounding area by 180 degrees, the lens aberration will introduce imaging errors characteristic of the aberration type and the mask geometry. As an example, Figure 2 shows how three small phase lines (between 0.5 and 1.5 lambda/NA) are printed into a photoresist. The images are a result of lithographic simulation using a Prolith vector model (Prolith Version 7.0, KLA FINLE) with a wavelength of 157nm, a numerical aperture (NA) of 0.85, a partial coherence value of 0.30, and a resist thickness of 80nm. The resulting image consists of six separate lines, each occurring at the phase transitions on the photomask. The differences between the six lines are a result of the random aberration programmed into the simulator at a level corresponding to a root mean square (RMS) of 0.03 waves (a reasonable level for such a lithography system). Figure 3 shows the effects of rotating the test object by 90 degrees, resulting in a different and unique effect to the resulting six lines, which is indicative of the radial and angular dependence of aberrations within the lens. Figure 4 shows the effects of rotating the test object by 45 degrees where results are also unique. It is desirable to detect aberration effects at all orientations or directions simultaneously. The test phase objects of Figures 2 through 4 can be reduced from multiple line groupings to multiple phase box groupings, as shown in Figure 5. This figure shows how the image intensity through the center the three boxes of sizes LI and L3 spaced distance L2 apart and oriented along a 90-270 degree axis results in six dark regions, or lines, in a clear field, similar the effect for the three bar patterns in earlier figures. The separation of the three dark regions is determined by the LI, L2, and L3 dimensions. Furthermore, the shape of the phase features within the test target need not be square. Figure 6 shows how circular phase shapes produce similar intensity profiles along a central region of a multiple pattern grouping.
A preferred embodiment of the invention is shown in Figure 7. In this embodiment, a test target is designed as a grouping of phase zones so that the test target is a single open figure based on this collection of zones. The test target is referred to as a Wheel Aberration Target. The phase of the zones are shifted with respect to the phase of the surrounding field by 180 degrees. The features are sized in ranges between 0.5 and 1.5 lambda/NA and the entire open phase test target is between 2.5 and 5 lambda/NA in size. The interrelationships between the geometry is unique for each region within the test target as each portion has a unique proximity to surrounding phase values. These unique proximity conditions for regions in the target of Figure 7 are described below.
Region of target Feature size Neighborhood
Center L3 Features sized LI spaced L2 at 0, 45, 90, 135, 180, 225, 270, and 315 degrees
Top L2 Feature sized L3 spaced L2 below Feature sized L2 at 315 and 45 degrees
Bottom L2 Feature sized L3 spaced L2 above Feature sized L2 at 225 and 135 degrees
Right L2 Feature sized L3 spaced L2 to left Feature sized L2 at 45 and 135 degrees
Left L2 Feature sized L3 spaced L2 to right Feature sized L2 at 315 and 225 degrees
Top-Right L2 Feature sized L3 spaced L2 below-left Feature sized L2 at 0 and 90 degrees
Top-Left L2 Feature sized L3 spaced L2 below-right Feature sized L2 at 0 and 270 degrees
Bottom-Right L2 Feature sized L3 spaced L2 above-left Feature sized L2 at 180 and 90 degrees
Bottom-Left L2 Feature sized L3 spaced L2 above-right Feature sized L2 at 180 and 270 degrees These multiple zones of the test object form a single figure with no closed form. This open phase nature of the test target is a unique and significant benefit of the invention. Unlike a closed figure having a phase structure that is designed so that all regions around the structure are identical regardless of azimuthal position, each location of the multiple feature open phase target of the invention is unique. Furthermore, the test structure of the present invention can take on forms different than that shown in Figure 7. Examples of test targets with nine phase features are shown in Figure 8. These targets consist of groupings of square and circular phase features of various sizing and shaping. The shape of the features within the target is less critical than the sizing and spacing since the detailed structure of the features is likely beyond the resolution of the lithography system. The transmission of the zones can be varied. In one embodiment, the transmission of all zones and all surrounding regions is unity. In another embodiment of the invention, the center zone has a transmission of zero. In each circumstance, the response of the zones within the test object to lens aberration are interrelated and the zones respond uniquely to specific aberrations depending on their location within the figure. Also, the grouping of the features is not limited to this descriptive example. Variations in the number of features, shaping, sizing, phase, transmission, and density can be modified with the same open phase test target effect where the interrelationship among the phase features allows for the unique detection of aberrations.
Aberrations influence imaging through the deformation that they produce in a wavefront within a lens pupil. Consequently, it is desirable to design an aberration test target so that it will sample a lens pupil in the most beneficial fashion. Since aberrations have unique character in the manner which they influence specific portions of a lens pupil, the test target of the present invention can be designed so that it is most sensitive to particular aberration types and order. As an example, Figure 9 shows the magnitude of the diffraction energy within the objective lens pupil for a test object comprising zones with sizing values corresponding to L3=200nm, L2=200nm, and Ll=200nm for a 157nm wavelength imaging system operating at a numerical aperture of 0.85. Figure 10 shows the magnitude of the diffraction in the objective lens pupil for a test object comprising zones with sizing values corresponding to L3=200nm, L2=150nm, and Ll=200nm for a 157nm wavelength imaging system operating at a numerical aperture of 0.85. Figure 11 shows the magnitude of the diffraction in the objective lens pupil for a test object comprising zones with sizing values corresponding to L3=200nm, L2=150nm, and Ll=100nm for a 157nm wavelength imaging system operating at a numerical aperture of 0.85. Figure 12 shows the magnitude of the diffraction in the objective lens pupil for a test object comprising zones with sizing values corresponding to L3=200nm, L2=200nm, and Ll=100nm for a 157nm wavelength imaging system operating at a numerical aperture of 0.85. The distribution of the diffraction energy within a lens pupil is unique for each example and shows how a test object can be designed for particular sensitivity to an aberration order. The diffraction energy distribution of Figure 11 for example is most sensitive to 3rd order (or primary) aberration and the sizing values of this example are used for the remaining examples of the present description.
Figures 13 through 21 show simulated resist images from a test object with one open figure which comprises a multiple component array of phase zones, where sizing dimensions correspond to L3=200nm, L2=150nm, and Ll=100nm for a wavelength of 157nm and a numerical aperture of 0.85. The zones within the figure are circular. The phase of the circular regions are phase shifted from the surrounding region by 180 degrees. The transmission of the figure is unity.
Figure 13 shows the unique impact of negative 3 order astigmatism through a defocus range of +/- 0.12 microns. The unique behavior of the images resulting from the method of the invention is the characteristic deformation of the images printed from the zones within the test target at X and Y orientations. In the presence of negative astigmatism, positive defocus causes the opening of the zones at the extreme Y locations. In the presence of negative astigmatism, negative defocus causes the opening of the zones at the extreme X locations.
Figure 14 shows the unique impact of positive 3 order astigmatism through a defocus range of +/- 0.12 microns. The unique behavior of the images resulting from the method of the invention is the characteristic deformation of the images printed from the zones within the test target at X and Y orientations. In the presence of positive astigmatism, positive defocus causes the opening of the zones at the extreme X locations. In the presence of positive astigmatism, negative defocus causes the opening of the zones at the extreme Y locations.
Figure 15 shows the unique impact of positive 3rd order 45 degree astigmatism through a defocus range of +/- 0.12 microns. The unique behavior of the images resulting from the method of the invention is the characteristic deformation of the images printed from the zones within the test target at diagonal orientations. In the presence of positive 45 degree astigmatism, positive defocus causes the opening of the zones at the extreme -45 degree locations. Negative defocus causes the opening of the zones at the extreme +45 degree locations. Figure 16 shows the unique impact of negative 3 order 45 degree astigmatism through a defocus range of +/- 0.12 microns. The unique behavior of the images resulting from the method of the invention is the characteristic deformation of the images printed from the zones within the test target at diagonal orientations. In the presence of negative 45 degree astigmatism, positive defocus causes the opening of the zones at the extreme +45 degree locations. Negative defocus causes the opening of the zones at the extreme -45 degree locations.
Figure 17 shows the unique impact of 3 order coma. The unique behavior of the images resulting from the method of the invention is the characteristic deformation of the images printed from the zones within the test target at all orientations. Coma aberration leads to the characteristic deformation of the images printed from the zones within the test target so that the zones are opened and oriented toward a point corresponding to the coma aberration. The outermost zone along the direction of the coma aberration, and opposite in sign, remains closed. Vectors can be drawn from the openings within the zones, which directed opposite in sign along the direction of the coma aberration, converging to a point at the edge of the target.
Figure 18 shows the unique impact of spherical aberration. Spherical aberration causes a distinct expansion and contraction of the zones within the target. The effects are symmetrical within the target, which is an indication of the symmetrical nature of spherical aberration. The figure shows the effect of defocus values of -0.16, 0.12, +0.12, and +0.16 microns of defocus for negative and positive aberration.
Figure 19 shows the unique impact of 3 order 3 -point aberration. The unique behavior of the images resulting from the method of the invention is the characteristic deformation of the images printed from the zones within the test target at all orientations. 3-point aberration leads to the characteristic deformation of the images printed from the zones within the test target so that the zones are opened and oriented toward a point corresponding unique to the 3-point aberration. Vectors can be drawn from the openings within the zones, which directed opposite in sign along the direction of the 3-point aberration, converging to a point within the target. Unlike the coma aberration effects, the influence of 3-point is a deformation of all zones and a convergence of vectors corresponding to a 120 degree symmetry of the aberration. Figure 20 is a simulated resist image of an open phase test target showing the effects of multiple aberrations. The effects of coma, astigmatism, spherical, and 3-point aberration combine to produce combined deformation effects on the zones of the test target. The total wavefront aberration in this example is 0.03waves (RMS). The contribution from primary aberrations is: -0.0025 waves of astigmatism, 0.0091 waves of 45 degree astigmatism, -0.0093 waves of x-coma, 0.0227 waves of y-coma, -0.0207 waves of spherical, -0.0676 waves of 3- point, and 0.0422 waves of 45 degree 3-point.
Figure 21 is a simulated resist image of an open phase test target showing the effects of no aberration. The zones within the test target open to a central region of the target. Figure 22 is a resist image of a test target which has a central zone intensity of zero showing the effects of the multiple aberrations. The effects of coma, astigmatism, spherical, and 3-point aberration combine to produce combined deformation effects on the zones of the test target similar to the previous examples where the central zone intensity is unity. The test object of the present invention is achieved as a photomask which is fabricated using methods that are common to phase-shift photomask fabrication. The steps involved in the fabrication of the mask include the layout of the test object using computer aided design of other methods, exposing a sensitized polymer film coated over a quartz plate which may also have a masking film, developing the exposed image, and transferring the image into the photomask using a dry or wet pattern transfer process. The phase shift within the regions of the test object are created through the etching of the quartz substrate to a depth corresponding to 180 degrees, with possible depth correction incorporated to account for phase effects of the relief structure of the mask.. Alternative methods can be employed with the same effect, including the deposition of layers to achieve phase definition. Transmission of the test object can be controlled through patterning of the masking layer.
It should be particularly noted that the reference (substantially aberration free) image is produced or created through lithographic modeling and simulation. Aberrations are added to the simulation to allow for fitting by comparison of the simulated result to the result imaged via lithography. An iterative process is carried out where convergence to the lens aberration is achieved by comparison of the simulated result to the lithographic result. Alternatively, other methods of fitting the simulated result to the lithographic result can be used, such as, for example, mathematical fitting of shape parameters to the imaged target by polynomial fitting of curved edges, fitting parameters to target openings, fitting parameters to sizing and/or shifting results, to converge on an aberration level that would have resulted in such pattern deformation. It should also be particularly noted that the analysis of the lithographic test image is performed by using a magnifying device. More particularly, the device is preferably scanned by a scanning detection device, such as, for example, a scanning electron microscope. The scanning detection device preferably coverts the scanned image into image data, which is then processed and displayed in a meaningful way, such as, for example, in graphs or diagrams, or is used to display actual visual images of the observed structures on a display device, such as a monitor.
The present invention is a method to detect and measure aberrations in an optical system using a test target in the object plane of a projection system and imaging a photoresist film with the system. The invention is described above but it is to be understood that it is not limited to these descriptive examples. The numerical values, structures, sizes, orientations, position, placement, and the like may be changed to accommodate specific imaging conditions. The design, optimization, and analysis methods for the invention can be incorporated into a lithographic simulator, a design layout tool, a computer program, or other analysis tools.

Claims

1. A method for detecting and measuring aberrations in an optical system comprising: providing a test target with at least one open figure including a multiple component array of phase zones, wherein the multiple phase zones are arranged within the open figure so that their responses to lens aberrations are interrelated and the phase zones respond uniquely to specific aberrations depending on their location within the figure; placing the test target in an object plane of a projection system; imaging a photoresist film with the projection system; and comparing the image in the photoresist film to a reference image without aberrations to detect aberrations in the optical system.
2. The method of claim 1 wherein the differences between the imaged photoresist and the reference image indicate the type and degree of aberrration.
3. The method of claim 1 wherein the optical system comprises microelectronic photolithographic equipment for exposing a semiconductor wafer to a photomask carrying a pattern for a microelectroic device.
4. The method of claim 1 wherein size of the phase zones and the spaces between the phase zones are between 0.5Λ/NA to 1.5X/NA where λ is the wavelenght of the light exposing the target and NA is the numerical aperture of the exposure system.
5. The method of claim 1 wherein the size of the target is between 2.0X/NA to
6.0X/NA where λ is the wavelength of the light exposing the target and NA is the numerical aperture of the exposure system.
6. The method of claim 1 wherein the phase zones are 180 degrees out of phase with respect to the rest of the target.
7. The method of claim 1 wherein the phase zones are etched into the surface of the target.
8. The method of claim 1 wherein the phase zones comprise at least two zones with one phase zone larger than the other phase zone.
9. The method of claim 1 wherein the phase zones comprise at least two zones of substantially the same size.
10. The method of claim 1 wherein the phase zones comprise a central phase zone and plurality of circumferential phase zones wherein the central phase zone is larger than the circumferential phase zones.
11. The method of claim 1 wherein the phase zones comprise a central phase zone and plurality of circumferential phase zones wherein the central phase zone is substantially the same size as the circumferential phase zones.
12. The method of claim 1 wherein the phase zones comprise a central phase zone and plurality of circumferential phase zones wherein the central phase zone is smaller than the circumferential phase zones.
13. The method of claim 1 wherein each phase zone is circular, rectangular, elliptical, or hexagonal.
14. The method of claim 1 wherein the target comprises a central phase zone and eight circumferential phase zones equally angularly spaced from each other for detecting astigmatism, coma, spherical aberration and three point aberration.
15. The method of claim 1 wherein the test target has at least two circumferential phase zones spaced 180 degrees apart from each other for detecting positive or negative lens aberation.
16. The method of claim 15 wherein the test target has at least two more circumferential phase zones spaced 180 apart from each other and 90 degrees from the first two circumferential phase zones for detecting positive and negative lens aberation.
17. The method of claim 15 wherein the test target has at least four circumferential phase zones located at 0, 90, 180, 270 dgreees and two more phase zones at 135 and 315 degrees or 45 and 225 degrees to detect 45 degree astigmatism.
18. The method of claim 15 wherein the test target has phase zones with similar or different shapes.
19. The method of claim 1 wherein the test target has phase zones with circular, rectangular, elliptical, petagonal, triangular or hexagonal sphapes.
20. The method of claim 1 wherein the test target has phase zones with the same shape.
21. The method of claim 1 wherein the test target has a central phase zone with one shape and circumferential phase zones with a different shape.
22. A method of detecting aberrations of an optical imaging system, comprising the steps of : arranging a test object in the object plane of the system; providing a photoresist layer in the image plane of the system; imaging the test object by means of the system and an imaging beam; developing the photoresist layer, and detecting the developed image by means of a scanning detection device having a resolution which is considerably larger than that of the imaging system, characterized in that use is made of a test object which comprises at least one open figure having a phase structure, wherein the image of this figure is compared to a reference image of known or no abberation in order to determine the type and amount of aberration in the optical imaging system.
23. A test target comprising at least one open figure including a multiple component array of phase zones, wherein the multiple zones are arranged within the open figure so that their responses to lens aberrations are interrelated and the zones respond uniquely to specific aberrations depending on their location within the figure.
24. The test target of claim 23 wherein the multiple component array of phase zones comprises a central phase zone and a plurality of circumferential phase zones.
25. The test target of claim 23 wherein there are at lest two phase zones and one is larger than the other.
26. The test target of claim 23 wherein the circumferential phase zones features are disposed at equal radial locations from the central phase zone and and are equally angularly spaced from each other.
27. The test target of claim 23 wherein there are at least eight circumferential phase zones.
28. The test target of claim 27 wherein the eight cirumferential phase zones are located at 0, 45, 90, 135, 180, 225, 270, and 315 degrees with respect to the central phase zone.
29. The test target of claim 23 having at least two circumferential phase zones spaced 180 degrees apart from each other for detecting positive or neagative astigmatism.
30. The test target of claim 29 having at least two more circumferential phase zones spaced 180 apart from each other and 90 degrees from the first two circumfertial phase zones for deteting positive and negative astigmatism.
31. The test target of claim 23 having at least four circumferential phase zones located at 0, 90, 180, 270 dgreees and two more phase zones at 135 and 315 degrees or 45 and 225 degrees to detect 45 degree astigmatism.
32.. The test target of claim 31 wherein the phase zones have the same or different shapes.
33. The test target of claim 31 wherein the phase zones have circular or rectangular sphapes.
34. The test target of claim 31 wherein the phase zones have the same shape.
35. The test target of claim 31 wherein the central phase zone has one shape and the circumferential phase zones have a different shape.
36. The test target of claim 23 wherein the size of the phase zones and the spaces between the phase zones are between 0.5X/NA to 1.5λ NA where λ is the wavelenght of the light exposing the target and NA is the numerical aperture of the exposure system.
37. The test target of claim 23 wherein the size of the target is between 2.5Λ/NA to 5.0Λ/NA where λ is the wavelenght of the light exposing the target and NA is the numerical aperture of the exposure system.
38. The test target of claim 23 wherein the phase zones are 180 degrees out of phase with respect to the rest of the target.
39. The test target of claim 23 wherein the phase zones are etched into the surface of the target.
PCT/US2003/039457 2002-12-13 2003-12-12 Method for aberration detection and measurement WO2004055472A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2003299606A AU2003299606A1 (en) 2002-12-13 2003-12-12 Method for aberration detection and measurement

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US43315302P 2002-12-13 2002-12-13
US60/433,153 2002-12-13

Publications (2)

Publication Number Publication Date
WO2004055472A2 true WO2004055472A2 (en) 2004-07-01
WO2004055472A3 WO2004055472A3 (en) 2004-08-12

Family

ID=32595124

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/039457 WO2004055472A2 (en) 2002-12-13 2003-12-12 Method for aberration detection and measurement

Country Status (3)

Country Link
US (2) US7136143B2 (en)
AU (1) AU2003299606A1 (en)
WO (1) WO2004055472A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005041203A1 (en) * 2005-08-31 2007-03-01 Carl Zeiss Sms Gmbh Device for interferometric measurement of phase masks used for e.g. lithography, produces phase shifting interferogram to be applied over phase mask by translating coherence mask and/or diffraction grating in X-Y direction

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2003222799A1 (en) * 2002-04-15 2003-10-27 Carl Zeiss Smt Ag Interferometric measuring device and projection illumination installation comprising one such measuring device
JP4758358B2 (en) 2004-01-29 2011-08-24 ケーエルエー−テンカー コーポレイション Computer-implemented method for detecting defects in reticle design data
US9188974B1 (en) * 2004-02-13 2015-11-17 Kla-Tencor Technologies Corp. Methods for improved monitor and control of lithography processes
US20040174806A1 (en) * 2004-04-19 2004-09-09 Johnson Kent Christian Optical disc having lenticular surface and method of manufacturing
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
JP4904034B2 (en) 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション Method, system and carrier medium for evaluating reticle layout data
US20060193531A1 (en) * 2005-02-25 2006-08-31 William Roberts System for analyzing images of blazed phase grating samples
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8041103B2 (en) 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7877722B2 (en) 2006-12-19 2011-01-25 Kla-Tencor Corp. Systems and methods for creating inspection recipes
US8194968B2 (en) 2007-01-05 2012-06-05 Kla-Tencor Corp. Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US7711514B2 (en) 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
JP5425779B2 (en) 2007-08-20 2014-02-26 ケーエルエー−テンカー・コーポレーション A computer-implemented method for determining whether an actual defect is a potential systematic defect or a potentially random defect
US8139844B2 (en) 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
US8432530B2 (en) * 2008-07-22 2013-04-30 Canon Kabushiki Kaisha Device, method, and system for measuring image profiles produced by an optical lithography system
KR101623747B1 (en) 2008-07-28 2016-05-26 케이엘에이-텐코어 코오포레이션 Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
JP5277250B2 (en) * 2008-09-25 2013-08-28 株式会社日立ハイテクノロジーズ Charged particle beam application apparatus and geometric aberration measuring method thereof
DE102008061122A1 (en) * 2008-12-09 2010-06-17 Fresenius Medical Care Deutschland Gmbh Method and device for determining and / or monitoring a physical condition, in particular a cardiovascular size, of a patient based on an amplitude of a pressure signal
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
JP5581248B2 (en) * 2011-03-08 2014-08-27 株式会社日立ハイテクノロジーズ Scanning electron microscope
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
JP5969848B2 (en) * 2012-07-19 2016-08-17 キヤノン株式会社 Exposure apparatus, method for obtaining adjustment amount for adjustment, program, and device manufacturing method
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
WO2014149197A1 (en) 2013-02-01 2014-09-25 Kla-Tencor Corporation Detecting defects on a wafer using defect-specific and multi-channel information
US9442384B2 (en) * 2013-03-13 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
DE102014210641B4 (en) 2014-06-04 2020-12-10 Carl Zeiss Ag Test object, use of a test object and device and method for measuring the point spread function of an optical system
DE102015219330A1 (en) * 2015-10-07 2017-04-13 Carl Zeiss Smt Gmbh Method and apparatus for beam analysis
US10451564B2 (en) 2017-10-27 2019-10-22 Applied Materials, Inc. Empirical detection of lens aberration for diffraction-limited optical system

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000146758A (en) * 1998-11-18 2000-05-26 Hitachi Ltd Lens aberration measuring method, photomask used therefor and fabrication of semiconductor device
US20030091913A1 (en) * 2001-10-01 2003-05-15 Canon Kabushiki Kaisha Aberration measuring method and projection exposure apparatus
US20030098970A1 (en) * 2000-12-06 2003-05-29 Asml Masktools Netherlands B.V. Method and apparatus for detecting aberrations in a projection lens utilized for projection optics

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58205834A (en) * 1982-05-25 1983-11-30 Canon Inc Measurement of astigmation
JP3165711B2 (en) * 1991-08-02 2001-05-14 キヤノン株式会社 Image projection method and method for manufacturing semiconductor device using the method
US5828455A (en) * 1997-03-07 1998-10-27 Litel Instruments Apparatus, method of measurement, and method of data analysis for correction of optical system
US5978085A (en) * 1997-03-07 1999-11-02 Litel Instruments Apparatus method of measurement and method of data analysis for correction of optical system
US6552776B1 (en) * 1998-10-30 2003-04-22 Advanced Micro Devices, Inc. Photolithographic system including light filter that compensates for lens error
US6368763B2 (en) * 1998-11-23 2002-04-09 U.S. Philips Corporation Method of detecting aberrations of an optical imaging system
US6248486B1 (en) 1998-11-23 2001-06-19 U.S. Philips Corporation Method of detecting aberrations of an optical imaging system
WO2001023933A1 (en) * 1999-09-29 2001-04-05 Nikon Corporation Projection optical system
US6839132B2 (en) * 2002-02-12 2005-01-04 Kabushiki Kaisha Toshiba Aberration measuring method of projection optical system
US6974653B2 (en) * 2002-04-19 2005-12-13 Nikon Precision Inc. Methods for critical dimension and focus mapping using critical dimension test marks
US6759180B2 (en) * 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000146758A (en) * 1998-11-18 2000-05-26 Hitachi Ltd Lens aberration measuring method, photomask used therefor and fabrication of semiconductor device
US20030098970A1 (en) * 2000-12-06 2003-05-29 Asml Masktools Netherlands B.V. Method and apparatus for detecting aberrations in a projection lens utilized for projection optics
US20030091913A1 (en) * 2001-10-01 2003-05-15 Canon Kabushiki Kaisha Aberration measuring method and projection exposure apparatus

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005041203A1 (en) * 2005-08-31 2007-03-01 Carl Zeiss Sms Gmbh Device for interferometric measurement of phase masks used for e.g. lithography, produces phase shifting interferogram to be applied over phase mask by translating coherence mask and/or diffraction grating in X-Y direction
US7911624B2 (en) 2005-08-31 2011-03-22 Carl Zeiss Sms Gmbh Device and method for the interferometric measurement of phase masks

Also Published As

Publication number Publication date
US7345735B2 (en) 2008-03-18
WO2004055472A3 (en) 2004-08-12
US7136143B2 (en) 2006-11-14
US20040174506A1 (en) 2004-09-09
AU2003299606A1 (en) 2004-07-09
US20070019171A1 (en) 2007-01-25

Similar Documents

Publication Publication Date Title
US7345735B2 (en) Apparatus for aberration detection and measurement
US6990225B2 (en) Inspection method of photo mask for use in manufacturing semiconductor device
JP6312834B2 (en) Method and apparatus for the design of metrology targets
US6011611A (en) Method of measuring aberration of projection optics
US6674511B2 (en) Evaluation mask, focus measuring method and aberration measuring method
JP4073735B2 (en) Method for measuring aberrations of a projection system of a lithographic apparatus and device manufacturing method
US6842237B2 (en) Phase shifted test pattern for monitoring focus and aberrations in optical projection systems
US7379170B2 (en) Apparatus and method for characterizing an image system in lithography projection tool
US6606151B2 (en) Grating patterns and method for determination of azimuthal and radial aberration
Flagello et al. Lithographic lens testing: analysis of measured aerial images, interferometric data, and photoresist measurements
US7648802B2 (en) Phase shifting test mask patterns for characterizing illumination and mask quality in image forming optical systems
US20220350260A1 (en) A method for filtering an image and associated metrology apparatus
Zavyalova et al. In-situ aberration monitoring using phase wheel targets
JP2000266640A (en) Aberration evaluation method
TW202311715A (en) Method for determining an imaging quality of an optical system when illuminated by illumination light within an entrance pupil to be measured
US7768648B2 (en) Method for aberration evaluation in a projection system
EP4124909A1 (en) Metrology method and device
Dirksen et al. Characterization of a projection lens using the extended Nijboer-Zernike approach
EP4187321A1 (en) Metrology method and associated metrology tool
Wang et al. Coma measurement by transmission image sensor with a PSM
Wang et al. Coma measurement using a PSM and transmission image sensor
Zhu et al. High-order wavefront aberration measurement method for hyper-NA lithographic projection lens based on a binary target and rotated regression matrix
Levinson Measurement of Amplitude and Phase Pupil Variation for EUV Lithography Systems
Fenger Image-based EUVL aberration metrology
Robins et al. Experimental assessment of pattern and probe-based aberration monitors

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SK SL TJ TM TN TR TT TZ UA UG UZ VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP