WO2004063089A2 - Recessed microstructure device and fabrication method thereof - Google Patents

Recessed microstructure device and fabrication method thereof Download PDF

Info

Publication number
WO2004063089A2
WO2004063089A2 PCT/IN2004/000005 IN2004000005W WO2004063089A2 WO 2004063089 A2 WO2004063089 A2 WO 2004063089A2 IN 2004000005 W IN2004000005 W IN 2004000005W WO 2004063089 A2 WO2004063089 A2 WO 2004063089A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
cavity
conductive material
electrode
recessed microstructure
Prior art date
Application number
PCT/IN2004/000005
Other languages
French (fr)
Other versions
WO2004063089A3 (en
Inventor
Prem Pal
Sudhir Chandra
Suneet Tuli
Original Assignee
Indian Institute Of Technology - Delhi (Iit)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Indian Institute Of Technology - Delhi (Iit) filed Critical Indian Institute Of Technology - Delhi (Iit)
Publication of WO2004063089A2 publication Critical patent/WO2004063089A2/en
Publication of WO2004063089A3 publication Critical patent/WO2004063089A3/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00134Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems comprising flexible or deformable structures
    • B81C1/00182Arrangements of deformable or non-deformable structures, e.g. membrane and cavity for use in a transducer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0292Sensors not provided for in B81B2201/0207 - B81B2201/0285
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/01Suspended structures, i.e. structures allowing a movement
    • B81B2203/0118Cantilevers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/03Static structures
    • B81B2203/0315Cavities
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0174Manufacture or treatment of microstructural devices or systems in or on a substrate for making multi-layered devices, film deposition or growing
    • B81C2201/0176Chemical vapour Deposition
    • B81C2201/0178Oxidation

Definitions

  • the present invention relates to a micro-electro-mechanical device with a recessed micromechanical structure and to a method of fabrication thereof.
  • the present invention also relates to silicon wafers provided with recessed micromechanical structures, said silicon wafers being useful in the field of micro-electro-mechanical systems.
  • the present invention also relates to a method for the fabrication of a micro-electro-mechanical device using micromachining techniques. Background of the invention
  • MEMS Micro-electro-mechanical systems
  • the sensor component of the MEMS is generally utilized to measure physical parameters such as humidity, temperature, acceleration, pressure, vibration, etc.
  • the control electronic circuit converts this measurement into a voltage/current level, which is then - utilized to open or close a valve (actuator).
  • MEMS components are being increasingly used in many electronic circuits as well as in many micro-sensor appUcations.
  • MEMS components are used as electromechanical motors, radio frequency (RF) switches, integrated inductors, pressure transducers and accelerometers etc.
  • RF radio frequency
  • the MEMS is an accelerometer
  • the device has a stationary MEMS element, and a movable MEMS element, a substantial portion of which is free from mechanical contact with the substrate and is therefore movable with respect to the stationary element.
  • the movable component is actuated in response to an external stimulus so as to vary the size of a capacitive air gap.
  • the capacitance output of the MEMS device thereby provides an indication of the acceleration strength.
  • Microelectromechanical systems are devices fabricated using integrated circuit (IC) and silicon micromachining technology. Overall dimensions are of the order of millimeters and minimum feature sizes of the order of microns.
  • Silicon is the basic material for realizing these functions. As a result, the manufacturing of MEMS has received a tremendous impetus in modern times.
  • the basic building blocks of silicon based sensors and actuators are micro-sized cantilever beams, diaphragms, bridges, suspended membranes, etc. These are configured to generate the required sensor/actuator function. Silicon is traditionally shaped into micro- three dimensional structures using bulk micro-machining and/or surface micro-machining processes.
  • a significant problem faced with sensors and actuators made of silicon is that of packaging andjhandling since the sensors and actuators comprise suspended microstructures.
  • One solution that is practiced to minimize the problem of packaging is to secure the silicon wafers containing these microstructures in cavities. This solution minimizes the problem of damage during packaging or handling only to a certain degree. Handling and packaging processes still result in tremendous losses due to damage to the microstructures.
  • Fabrication of MEMS components using surface micro-machining_ comprises deposition of a sacrificial layer, such as silicon dioxide, onto a substrate, such as single crystal silicon which may have been covered with a layer of silicon nitride.
  • a MEMS component material for example polycrystalline silicon, is then deposited on the sacrificial layer, followed by a suitable conductor, such as aluminum, to form an electrical contact.
  • the silicon layer is then patterned by standard photolithographic techniques and then etched by a suitable reactive ion etching plasma or by wet chemistry to define the MEMS structure and to expose the sacrificial layer, which may comprise silicon dioxide.
  • the sacrificial layer is then etched to release the MEMS component.
  • the structure forming the movable MEMS element is deposited on top of the sacrificial layer, a significant amount of time is also required to completely undercut the sacrificial layer. In some processes, holes are first etched through the base of the movable MEMS element in order to permit the etching agent to access the sacrificial layer.
  • Another method used to fabricate MEMS components comprises bulk micromachining. This method comprises using a substrate such as a silicon wafer. The wafer is patterned to form the MEMS component. If additional layers are desired, surface fabrication techniques can be used. However, bulk machining processes take a longer time since additional processes need to be performed on the wafer to remove excess material therefrom.
  • a micro electromechanical systems structure is positioned essentially in the center of the MEMS die. Due to the fact that the micro electromechanical systems structure is comprised of extremely small sections of polysilicon and other such materials so that it is resilient, the micro electromechanical systems structure is extremely fragile and great care must be taken during fabrication, up to and including the final packaging steps, not to damage or contaminate the micro electromechanical systems structure.
  • MEMS structures on the surface of a die are susceptible to damage and particle contamination at all post wafer fabrication process stages. Protection of MEMS structures from damage and/or contamination during sawing process is a critical element of the fabrication process. The MEMS die must be carefully handled and protected before it is processed at the next step. The MEMS die is also susceptible to damage at the die attach process where the die is placed on a substrate, carrier, or inside a package. It is also important to devise a method by which losses due to damage to the microstructure during handling or packaging processes can be further reduced. Objects of the invention
  • the main object of the invention is to provide a microstructure for MEMS inside a cavity (also referred to as a "recessed microstructure"). It is a further object of the invention to provide a method for the fabrication of recessed microstructures which enables the losses due to damage during packaging and handling of the MEMS to be overcome.
  • the present invention relates to a method of micromachining a recessed microelectromechanical system, also termed a MEMS device or a micromachine.
  • the method comprises steps for forming a cavity in a substrate, such as a semiconductor substrate, where the MEMS is to be formed; forming a first level of the MEMS within the cavity; depositing a first layer of a material to blanket the first level of the MEMS; and forming a second level of the MEMS above the first layer with the second level of the MEMS being operatively connected (i.e. electrically connected and/or mechanically supported or engageable) to the first level of the MEMS.
  • a step for patterning the first layer of sacrificial material by etching is provided prior to the step for forming the second level of the MEMS.
  • This patterning of the first layer of sacrificial material allows the formation of shaped openings therein for molding or shaping functional elements in the second level of the MEMS during deposition thereof for forming electrical or mechanical interconnections between the first and second levels of the MEMS, or for forming engageable elements (e.g. meshed gears, engagement pins or coupled linkages) of the MEMS.
  • the present invention eliminates problems associated with damage due to packaging and handling associated with prior art MEMS usin ⁇ surface / bulk micromachining techniques.
  • the present invention provides a recessed microstructure device comprising: i. a substrate having a cavity formed therein;, ii. a first conductive material with at least a portion thereof being located in said cavity; iii. a second conductive material spaced from said first conductive material, at least a portion of at least one of said first and second conductive materials being deflectable toward the other conductive material in response to an applied voltage; and iv. an insulating material within said cavity located intermediate to at least portions of said first and second conductive materials, said insulating material spacing said first conductive material from said second conductive material when said at least one of said first and second conductive material is deflected toward the other conductive material.
  • the substrate is a semiconductor substrate.
  • a notched area is provided on said substrate to enable access for said first conductive material to extend into said cavity.
  • an insulating material is provided between said first conductive material and said substrate.
  • at least one of said first and second conductive materials has a portion thereof affixed to said substrate and includes a flexure structure intermediate said portion thereof and a remainder of the conductive material.
  • said cavity is rectangular in shape.
  • the said second conductive material is spaced from said first conductive material in a parallel orientation.
  • said voltage is a DC bias voltage.
  • the substrate is a single crystal silicon substrate, the said substrate having a cavity formed in at least one face thereof, with an insulating material being provided on at least a bottom surface of said cavity, a first conductive material, at least a portion thereof being formed on said insulating material; a second conductive material located in a vicinity of said first conductive material, said second conductive material being affixed to said substrate in areas other than said cavity, said second conductive material comprising a flexure structure and a membrane structure in which said flexure structure is in an area other than the area where said second conductive material is affixed to said substrate; and a second insulating material within said cavity and in contact with said first conductive material, said second insulating material being intermediate at least said first conductive material and said membrane structure of said second conductive material.
  • the device comprises a substrate having a cavity formed therein, including a notched area in said substrate adjacent a side wall surface of said cavity; an electrode, at least a portion thereof being located adjacent a bottom surface of said cavity; and a conductive membrane/cantilever spaced from said electrode, said conductive membrane/cantilever comprising a flexure structure and a membrane structure, said membrane structure being deflectable toward said electrode in response to a voltage being applied to said electrode.
  • a plane of a top surface of said flexure structure changes in response to said membrane structure being deflectable toward said electrode in response to a voltage being applied to said electrode.
  • the device further includes an insulating material spacing said electrode from said membrane structure when said membrane structure is deflected toward said electrode.
  • a notched area provides access for said electrode to extend into said cavity.
  • a membrane structure is provided spaced from said electrode in a parallel orientation.
  • the device is a micro-electromechanical RF/DC switch or a relay.
  • the recessed microstructure device comprises a substrate having a cavity formed therein; an insulating material on a bottom surface of said cavity; an electrode, at least a portion thereof being located adjacent said insulating material; and a conductive membrane/cantilever spaced from said electrode, said conductive membrane/cantilever comprising a flexure structure and a membrane structure, said membrane structure being deflectable toward said electrode in response to a voltage being applied to said electrode.
  • the recessed microstructure device is a RF switch/relay.
  • the invention also relates to a method for the fabrication of a recessed microstructure device comprising the steps of oxidising a substrate to obtain a oxide layer on the external surface thereof, subjecting the substrate to lithography followed by oxide etching and anisotropic etching to obtain a cavity on one surface of the substrate, reoxidising said lithographed, oxide etched and anisotropic etched substrate in order to blanket the cavity with an oxide layer, subjecting the substrate cavity to further lithography, oxide etching in order to obtain a first functional layer, if desired forming a diffusion layer (heavily boron doped) and then subjecting the substrate to further lithography and oxide etching to obtain a second functional layer, subjecting the cavity to anisotropic etching to obtain a notched area below said functional layers and then removing the oxide by further etching.
  • the substrate is a silicon wafer.
  • the process is carried out with the prolonged boron diffusion process to prevent abrasion of the substrate surfaces during subsequent anisotropic etching.
  • the said boron diffusion prevents the unwanted etching of convex edges of the cavity formed in earlier anisotropic etching step of single crystal silicon wafer.
  • the diffused layer comprises heavily doped p type layers.
  • the semiconductor substrate is provided with an additional surface or buried epitaxial silicon layer of generally about 2-10 microns thickness.
  • conventional electronic circuitry is provided on the surface of the substrate.
  • the conventional circuitry comprises CMOS, BiCMOS, or bipolar circuitry.
  • the steps of etching are carried out by a wet or dry etching process using a patterned etch mask.
  • the patterned layer formed by the patterned etch mask is removed with a selective etchant comprising hydrofluoric acid (HF).
  • a selective etchant comprising hydrofluoric acid (HF).
  • the anisotropic etching is carried out using potassium hydroxide (KOH), tetramethyl ammonium hydroxide (TMAH) or ethylenediamine pyrocatechol (EDP).
  • dry etching is carried out using reactive ion etching with a plasma including XeF 2 , SF 6 or Cl 2 .
  • Figure 1 shows an enlarged schematic cross-section view of portions of conventional MEMS substrate prepared by bulk micromachining.
  • Figure 2 shows an enlarged schematic cross-section view of portions of conventional MEMS substrate prepared by surface micromachining.
  • Figure 3 shows an enlarged schematic cross-section view of an MEMS substrate according to the invention with a recessed microstructure provided therein.
  • Figures 4a to 4h show schematic cross-section views of a series of process steps for forming a MEMS device in a substrate cavity by a series of micromachining steps according to the method of the present invention.
  • Figure 5 shows a schematic cross-section views of an micro-relay fabricated using a recessed cantilever beam.
  • Figure 1 is an enlarged cross section of a multilayer MEMS device prepared by conventional bulk micromachining techniques.
  • the device 10 essentially consists of a substrate 11 with a conducting element 12 being provided on top of the surface 11.
  • MEMS device 13 is secured in a cavity formed by sealing the wafer containing the MEMS structure with another silicon wafer or other substrate containing the said cavity.
  • Figure 2 is an enlarged cross section of a MEMS device prepared by conventional surface micromachining techniques.
  • the device 20 essentially consists of a substrate 21 with a conducting element 22 being provided on top of the surface 21.
  • the MEMS device 23 is secured in a cavity formed by sealing the substrate containing MEMS structure with another substrate containing the said cavity.
  • FIG 3 is an enlarged cross section of a multilayer MEMS device prepared by the method of the present invention.
  • the device 30 essentially consists of a substrate 31 with a conducting element 32 being provided on top of the surface 31.
  • a recess 34 is formed on the top of the surface abutting the conducting element 32.
  • the MEMS device 33 is formed in the recess 34 formed in the substrate 31.
  • Figure 4a to 4h show schematic cross-section views of a series of process steps for forming a MEMS device in a substrate cavity by a series of micromachining steps according to the method of the present invention. It will be understood that Figures 4a-4h are schematic representations for illustrating the method of the present invention which are of general application for forming many different types of MEMS devices within a substrate cavity.
  • Figures 4a to 4h describe the formation of a MEMS device by a series of process steps that are designed to fabricate a MEMS device inside a cavity. It will be understood that the series of process steps described herein have been limited for clarity to only those process steps that are essential for understanding the present invention and do not include other process steps (e.g. photolithographic mask formation and removal steps) which are well known to the art and, therefore, need not be described in detail herein.
  • Figure 4a shows a semiconductor substrate 40 provided with a surface oxide layer 41.
  • the semiconductor substrate 40 generally comprises mono crystalline silicon which can be either doped (i.e. n- or p-type doped) or undoped depending upon the type of MEMS to be formed thereon within a cavity, and whether or not electronic circuitry is to be formed on the substrate 40 and interconnected with the MEMS.
  • the semiconductor substrate 40 can further include a surface or buried epitaxial silicon layer of generally about 2-10 microns thickness to provide high-quality material for forming the MEMS, or for forming electronic circuitry (e.g. CMOS, BiCMOS, or bipolar circuitry) upon a surface 41 (i.e. a device surface) of the substrate 40.
  • each cavity 43 is formed by a wet or dry etching process using a patterned etch mask (not shown) which can comprise, for example, about 500 nanometers of silicate glass deposited from the decomposition of tetraethylortho silicate (TEOS) and densified by high temperature processing.
  • a patterned etch mask (not shown) which can comprise, for example, about 500 nanometers of silicate glass deposited from the decomposition of tetraethylortho silicate (TEOS) and densified by high temperature processing.
  • TEOS tetraethylortho silicate
  • the patterned layer can be removed with a selective etchant comprising hydrofluoric acid (HF).
  • a wet etching process is generally preferred using an anisotropic etchant such as potassium hydroxide (KOH), tetramethyl ammonium hydroxide (TMAH) or ethylenediamine pyrocatechol (EDP).
  • KOH potassium hydroxide
  • TMAH tetramethyl ammonium hydroxide
  • EDP ethylenediamine pyrocatechol
  • the anisotropic wet etchant can provide sloped sidewalls and a substantially planar bottom surface for the cavity 43 as shown in Figure 4b.
  • the size and depth of the cavity 43 formed by the etching process will vary depending upon the type and complexity of a particular MEMS device to be formed. However, the size of the cavity 43 will generally have lateral dimensions from several microns to a few millimeters; and the depth will generally be in the range of about 1-20 microns.
  • the reoxidized substrate obtained at the end of step 4c is subjected to further lithography, and oxide etching in order to obtain the first functional level of the MEMS.
  • the lithographed and oxide etched substrate obtained in step 4d is then subjected to P+ diffusion.
  • the first functional level of the MEMS is formed by depositing and patterning a first layer 48 of a micromachinable material (e.g. polysilicon/metal) above layer 46.
  • the first micromachinable layer 48 is generally electrically conductive (e.g. doped polysilicon). It will be understood that the first functional level can be preceded by formation of a ground or voltage reference plane (generally termed a zeroth level) which is not shown in FIGS. 4a-4h for clarity.
  • the layer 48 is micromachined by patterning and anisotropic wet or dry etching (e.g. reactive ion etching with a plasma including XeF 2 , SF 6 or Cl 2 for a polysilicon layer 48) to form one or more first-level functional elements 49 of the MEMS, with the first- level functional elements 49 in some instances being joined, for example, to form an electrostatic comb actuator or sensor.
  • anisotropic wet or dry etching e.g. reactive ion etching with a plasma including XeF 2 , SF 6 or Cl 2 for a polysilicon layer 48
  • micromachining of the first-level functional elements produces openings or trenches where material has been removed from the layer.
  • boron diffusion has been carried out in order to ensure that the convex edges of cavity and surfaces of the substrate are not abraded during wet anisotropic etching.
  • the MEMS can be integrated with electronic circuitry by providing additional process steps.
  • integrated electronic circuitry e.g. CMOS, BiCMOS or bipolar electronic circuitry
  • CMOS, BiCMOS or bipolar electronic circuitry can comprise up hundreds of individual steps which are well known in the art and which have been standardized in the integrated circuit (IC) industry. Therefore, for clarity, only a few steps that are relevant to the present invention are discussed herein.
  • this example of forming a MEMS device integrated with electronic circuitry formed on the same substrate is provided by way of illustration only, and is not meant to limit the present invention.
  • the matter set forth in the foregoing description and accompanying drawings is offered by way of illustration only and not as a limitation.
  • the method can be applied to any recessed layers within a cavity for forming a MEMS device, including layers comprising photoresist, polyimide, silicon nitride, metals and metal alloys.
  • the method of the present invention is applied to bulk micromachining processes for forming MEMS devices in a cavity.

Abstract

The present invention provides a micro-electro-mechanical device with a recessed micromechanical structure and to a method of fabrication thereof. The present invention also provides silicon wafers provided with recessed micromechanical structures, which are useful in the field of micro-electro-mechanical systems. The present invention also provides a method for fabrication of micro-electro-mechanical device using micromachining techniques.

Description

RECESSED MICROSTRUCTURE DEVICE AND FABRICATION METHOD THEREOF
Field of the invention The present invention relates to a micro-electro-mechanical device with a recessed micromechanical structure and to a method of fabrication thereof. The present invention also relates to silicon wafers provided with recessed micromechanical structures, said silicon wafers being useful in the field of micro-electro-mechanical systems. The present invention also relates to a method for the fabrication of a micro-electro-mechanical device using micromachining techniques. Background of the invention
Micro-electro-mechanical systems (MEMS) have numerous applications in the field of aeronautics, space technology, biomedical and automobile industry. MEMS technology integrates different domains of electronics - sensors, signal processing/conditioning circuits, and actuator devices. The sensor component of the MEMS is generally utilized to measure physical parameters such as humidity, temperature, acceleration, pressure, vibration, etc. The control electronic circuit converts this measurement into a voltage/current level, which is then - utilized to open or close a valve (actuator).
Microelectromechanical systems (MEMS) components are being increasingly used in many electronic circuits as well as in many micro-sensor appUcations. For example, MEMS components are used as electromechanical motors, radio frequency (RF) switches, integrated inductors, pressure transducers and accelerometers etc. When the MEMS is an accelerometer, the device has a stationary MEMS element, and a movable MEMS element, a substantial portion of which is free from mechanical contact with the substrate and is therefore movable with respect to the stationary element. "The movable component is actuated in response to an external stimulus so as to vary the size of a capacitive air gap. The capacitance output of the MEMS device thereby provides an indication of the acceleration strength.
Microelectromechanical systems (MEMS) are devices fabricated using integrated circuit (IC) and silicon micromachining technology. Overall dimensions are of the order of millimeters and minimum feature sizes of the order of microns.
Silicon is the basic material for realizing these functions. As a result, the manufacturing of MEMS has received a tremendous impetus in modern times. The basic building blocks of silicon based sensors and actuators are micro-sized cantilever beams, diaphragms, bridges, suspended membranes, etc. These are configured to generate the required sensor/actuator function. Silicon is traditionally shaped into micro- three dimensional structures using bulk micro-machining and/or surface micro-machining processes.
A significant problem faced with sensors and actuators made of silicon is that of packaging andjhandling since the sensors and actuators comprise suspended microstructures. One solution that is practiced to minimize the problem of packaging is to secure the silicon wafers containing these microstructures in cavities. This solution minimizes the problem of damage during packaging or handling only to a certain degree. Handling and packaging processes still result in tremendous losses due to damage to the microstructures.
Fabrication of MEMS components using surface micro-machining_ comprises deposition of a sacrificial layer, such as silicon dioxide, onto a substrate, such as single crystal silicon which may have been covered with a layer of silicon nitride. A MEMS component material, for example polycrystalline silicon, is then deposited on the sacrificial layer, followed by a suitable conductor, such as aluminum, to form an electrical contact. The silicon layer is then patterned by standard photolithographic techniques and then etched by a suitable reactive ion etching plasma or by wet chemistry to define the MEMS structure and to expose the sacrificial layer, which may comprise silicon dioxide. The sacrificial layer is then etched to release the MEMS component.
However, traditional methods of surface machining using a surface layer to fabricate MEMS device suffers from several disadvantages. First, it requires the availability of an etching process capable of selectively etching the sacrificial layer without reacting with other materials that will ultimately form the MEMS device, thereby limiting the materials that may be used when fabricating the MEMS device. The use of a sacrificial layer also increases the quantity of materials required to form the MEMS device, thereby adding cost and complexity to the fabrication process. Another disadvantage is that an additional etching step is required to remove the sacrificial layer, thereby further reducing the efficiency of the fabrication process. Since the structure forming the movable MEMS element is deposited on top of the sacrificial layer, a significant amount of time is also required to completely undercut the sacrificial layer. In some processes, holes are first etched through the base of the movable MEMS element in order to permit the etching agent to access the sacrificial layer.
Another method used to fabricate MEMS components comprises bulk micromachining. This method comprises using a substrate such as a silicon wafer. The wafer is patterned to form the MEMS component. If additional layers are desired, surface fabrication techniques can be used. However, bulk machining processes take a longer time since additional processes need to be performed on the wafer to remove excess material therefrom.
A micro electromechanical systems structure is positioned essentially in the center of the MEMS die. Due to the fact that the micro electromechanical systems structure is comprised of extremely small sections of polysilicon and other such materials so that it is resilient, the micro electromechanical systems structure is extremely fragile and great care must be taken during fabrication, up to and including the final packaging steps, not to damage or contaminate the micro electromechanical systems structure.
MEMS structures on the surface of a die are susceptible to damage and particle contamination at all post wafer fabrication process stages. Protection of MEMS structures from damage and/or contamination during sawing process is a critical element of the fabrication process. The MEMS die must be carefully handled and protected before it is processed at the next step. The MEMS die is also susceptible to damage at the die attach process where the die is placed on a substrate, carrier, or inside a package. It is also important to devise a method by which losses due to damage to the microstructure during handling or packaging processes can be further reduced. Objects of the invention
The main object of the invention is to provide a microstructure for MEMS inside a cavity (also referred to as a "recessed microstructure"). It is a further object of the invention to provide a method for the fabrication of recessed microstructures which enables the losses due to damage during packaging and handling of the MEMS to be overcome.
It is another object of the invention to provide a recessed microstructure for MEMS which overcomes problems associated with packaging and handling processes. Summary of the invention
The present invention relates to a method of micromachining a recessed microelectromechanical system, also termed a MEMS device or a micromachine. The method comprises steps for forming a cavity in a substrate, such as a semiconductor substrate, where the MEMS is to be formed; forming a first level of the MEMS within the cavity; depositing a first layer of a material to blanket the first level of the MEMS; and forming a second level of the MEMS above the first layer with the second level of the MEMS being operatively connected (i.e. electrically connected and/or mechanically supported or engageable) to the first level of the MEMS. In preferred embodiments of the present invention, a step for patterning the first layer of sacrificial material by etching is provided prior to the step for forming the second level of the MEMS. This patterning of the first layer of sacrificial material allows the formation of shaped openings therein for molding or shaping functional elements in the second level of the MEMS during deposition thereof for forming electrical or mechanical interconnections between the first and second levels of the MEMS, or for forming engageable elements (e.g. meshed gears, engagement pins or coupled linkages) of the MEMS.
The present invention eliminates problems associated with damage due to packaging and handling associated with prior art MEMS usin^ surface / bulk micromachining techniques.
Accordingly, the present invention provides a recessed microstructure device comprising: i. a substrate having a cavity formed therein;, ii. a first conductive material with at least a portion thereof being located in said cavity; iii. a second conductive material spaced from said first conductive material, at least a portion of at least one of said first and second conductive materials being deflectable toward the other conductive material in response to an applied voltage; and iv. an insulating material within said cavity located intermediate to at least portions of said first and second conductive materials, said insulating material spacing said first conductive material from said second conductive material when said at least one of said first and second conductive material is deflected toward the other conductive material. In one embodiment of the invention, the substrate is a semiconductor substrate.
In another embodiment of the invention, a notched area is provided on said substrate to enable access for said first conductive material to extend into said cavity.
In another embodiment of the invention, an insulating material is provided between said first conductive material and said substrate. In yet another embodiment of the invention, at least one of said first and second conductive materials has a portion thereof affixed to said substrate and includes a flexure structure intermediate said portion thereof and a remainder of the conductive material. In another embodiment of the invention, said cavity is rectangular in shape. In a further embodiment of the invention, the said second conductive material is spaced from said first conductive material in a parallel orientation.
In another embodiment of the invention, said voltage is a DC bias voltage.
In another embodiment of the invention, the substrate is a single crystal silicon substrate, the said substrate having a cavity formed in at least one face thereof, with an insulating material being provided on at least a bottom surface of said cavity, a first conductive material, at least a portion thereof being formed on said insulating material; a second conductive material located in a vicinity of said first conductive material, said second conductive material being affixed to said substrate in areas other than said cavity, said second conductive material comprising a flexure structure and a membrane structure in which said flexure structure is in an area other than the area where said second conductive material is affixed to said substrate; and a second insulating material within said cavity and in contact with said first conductive material, said second insulating material being intermediate at least said first conductive material and said membrane structure of said second conductive material.
In another embodiment of the invention, the device comprises a substrate having a cavity formed therein, including a notched area in said substrate adjacent a side wall surface of said cavity; an electrode, at least a portion thereof being located adjacent a bottom surface of said cavity; and a conductive membrane/cantilever spaced from said electrode, said conductive membrane/cantilever comprising a flexure structure and a membrane structure, said membrane structure being deflectable toward said electrode in response to a voltage being applied to said electrode.
In another embodiment of the invention, a plane of a top surface of said flexure structure changes in response to said membrane structure being deflectable toward said electrode in response to a voltage being applied to said electrode.
In another embodiment of the invention, the device further includes an insulating material spacing said electrode from said membrane structure when said membrane structure is deflected toward said electrode.
In another embodiment of the invention, a notched area provides access for said electrode to extend into said cavity.
In another embodiment of the invention, a membrane structure is provided spaced from said electrode in a parallel orientation.
In another embodiment of the invention, wherein said device is a micro-electromechanical RF/DC switch or a relay. In another embodiment of the invention, wherein the recessed microstructure device comprises a substrate having a cavity formed therein; an insulating material on a bottom surface of said cavity; an electrode, at least a portion thereof being located adjacent said insulating material; and a conductive membrane/cantilever spaced from said electrode, said conductive membrane/cantilever comprising a flexure structure and a membrane structure, said membrane structure being deflectable toward said electrode in response to a voltage being applied to said electrode.
In another embodiment of the invention, the recessed microstructure device is a RF switch/relay. The invention also relates to a method for the fabrication of a recessed microstructure device comprising the steps of oxidising a substrate to obtain a oxide layer on the external surface thereof, subjecting the substrate to lithography followed by oxide etching and anisotropic etching to obtain a cavity on one surface of the substrate, reoxidising said lithographed, oxide etched and anisotropic etched substrate in order to blanket the cavity with an oxide layer, subjecting the substrate cavity to further lithography, oxide etching in order to obtain a first functional layer, if desired forming a diffusion layer (heavily boron doped) and then subjecting the substrate to further lithography and oxide etching to obtain a second functional layer, subjecting the cavity to anisotropic etching to obtain a notched area below said functional layers and then removing the oxide by further etching. In one embodiment of the invention, the substrate is a silicon wafer.
In another embodiment of the invention, the process is carried out with the prolonged boron diffusion process to prevent abrasion of the substrate surfaces during subsequent anisotropic etching. The said boron diffusion prevents the unwanted etching of convex edges of the cavity formed in earlier anisotropic etching step of single crystal silicon wafer. In yet another embodiment of the invention, the diffused layer comprises heavily doped p type layers.
In yet another embodiment of the invention, the semiconductor substrate is provided with an additional surface or buried epitaxial silicon layer of generally about 2-10 microns thickness. In yet another embodiment of the invention, conventional electronic circuitry is provided on the surface of the substrate.
In a further embodiment of the invention, the conventional circuitry comprises CMOS, BiCMOS, or bipolar circuitry. In yet another embodiment of the invention, the steps of etching are carried out by a wet or dry etching process using a patterned etch mask.
In a further embodiment of the invention, the patterned layer formed by the patterned etch mask is removed with a selective etchant comprising hydrofluoric acid (HF). In another embodiment of the invention, the anisotropic etching is carried out using potassium hydroxide (KOH), tetramethyl ammonium hydroxide (TMAH) or ethylenediamine pyrocatechol (EDP).
In another embodiment of the invention, dry etching is carried out using reactive ion etching with a plasma including XeF2, SF6 or Cl2. BRIEF DESCRIPTION OF THE DRAWINGS
The accompanying drawings, which are incorporated into and form a part of the specification, illustrate several aspects of the present invention and, together with the description, serve to explain the principles of the invention. The drawings are only for the purpose of illustrating preferred embodiments of the invention and are not to be construed as limiting the invention.
In the drawings:
Figure 1 shows an enlarged schematic cross-section view of portions of conventional MEMS substrate prepared by bulk micromachining.
Figure 2 shows an enlarged schematic cross-section view of portions of conventional MEMS substrate prepared by surface micromachining.
Figure 3 shows an enlarged schematic cross-section view of an MEMS substrate according to the invention with a recessed microstructure provided therein.
Figures 4a to 4h show schematic cross-section views of a series of process steps for forming a MEMS device in a substrate cavity by a series of micromachining steps according to the method of the present invention.
Figure 5 shows a schematic cross-section views of an micro-relay fabricated using a recessed cantilever beam. DETAILED DESCRIPTION OF THE INVENTION
Figure 1 is an enlarged cross section of a multilayer MEMS device prepared by conventional bulk micromachining techniques. The device 10 essentially consists of a substrate 11 with a conducting element 12 being provided on top of the surface 11. The
MEMS device 13 is secured in a cavity formed by sealing the wafer containing the MEMS structure with another silicon wafer or other substrate containing the said cavity. Figure 2 is an enlarged cross section of a MEMS device prepared by conventional surface micromachining techniques. The device 20 essentially consists of a substrate 21 with a conducting element 22 being provided on top of the surface 21. The MEMS device 23 is secured in a cavity formed by sealing the substrate containing MEMS structure with another substrate containing the said cavity.
Figure 3 is an enlarged cross section of a multilayer MEMS device prepared by the method of the present invention. The device 30 essentially consists of a substrate 31 with a conducting element 32 being provided on top of the surface 31. A recess 34 is formed on the top of the surface abutting the conducting element 32. The MEMS device 33 is formed in the recess 34 formed in the substrate 31.
Figure 4a to 4h show schematic cross-section views of a series of process steps for forming a MEMS device in a substrate cavity by a series of micromachining steps according to the method of the present invention. It will be understood that Figures 4a-4h are schematic representations for illustrating the method of the present invention which are of general application for forming many different types of MEMS devices within a substrate cavity.
Figures 4a to 4h describe the formation of a MEMS device by a series of process steps that are designed to fabricate a MEMS device inside a cavity. It will be understood that the series of process steps described herein have been limited for clarity to only those process steps that are essential for understanding the present invention and do not include other process steps (e.g. photolithographic mask formation and removal steps) which are well known to the art and, therefore, need not be described in detail herein.
Figure 4a shows a semiconductor substrate 40 provided with a surface oxide layer 41. The semiconductor substrate 40 generally comprises mono crystalline silicon which can be either doped (i.e. n- or p-type doped) or undoped depending upon the type of MEMS to be formed thereon within a cavity, and whether or not electronic circuitry is to be formed on the substrate 40 and interconnected with the MEMS. The semiconductor substrate 40 can further include a surface or buried epitaxial silicon layer of generally about 2-10 microns thickness to provide high-quality material for forming the MEMS, or for forming electronic circuitry (e.g. CMOS, BiCMOS, or bipolar circuitry) upon a surface 41 (i.e. a device surface) of the substrate 40.
In Figure 4b one or more open cavities 43 are formed by etching. Each cavity 43 is formed by a wet or dry etching process using a patterned etch mask (not shown) which can comprise, for example, about 500 nanometers of silicate glass deposited from the decomposition of tetraethylortho silicate (TEOS) and densified by high temperature processing. For etching one or more cavities 43 into the substrate 40, the patterned layer can be removed with a selective etchant comprising hydrofluoric acid (HF). If a predetermined depth of the cavity 43 is to exceed more than a few microns, a wet etching process is generally preferred using an anisotropic etchant such as potassium hydroxide (KOH), tetramethyl ammonium hydroxide (TMAH) or ethylenediamine pyrocatechol (EDP). The anisotropic wet etchant can provide sloped sidewalls and a substantially planar bottom surface for the cavity 43 as shown in Figure 4b. The size and depth of the cavity 43 formed by the etching process will vary depending upon the type and complexity of a particular MEMS device to be formed. However, the size of the cavity 43 will generally have lateral dimensions from several microns to a few millimeters; and the depth will generally be in the range of about 1-20 microns.
In Figure 4c, the lithographed, oxide etched and anisotropic etched substrate 40 is subjected to reoxidation to blanket the cavity 43.
Referring now to Figure 4d, the reoxidized substrate obtained at the end of step 4c is subjected to further lithography, and oxide etching in order to obtain the first functional level of the MEMS. The lithographed and oxide etched substrate obtained in step 4d is then subjected to P+ diffusion. The first functional level of the MEMS is formed by depositing and patterning a first layer 48 of a micromachinable material (e.g. polysilicon/metal) above layer 46. The first micromachinable layer 48 is generally electrically conductive (e.g. doped polysilicon). It will be understood that the first functional level can be preceded by formation of a ground or voltage reference plane (generally termed a zeroth level) which is not shown in FIGS. 4a-4h for clarity.
In Figure 4g, the layer 48 is micromachined by patterning and anisotropic wet or dry etching (e.g. reactive ion etching with a plasma including XeF2, SF6 or Cl2 for a polysilicon layer 48) to form one or more first-level functional elements 49 of the MEMS, with the first- level functional elements 49 in some instances being joined, for example, to form an electrostatic comb actuator or sensor. In FIG. 4f, micromachining of the first-level functional elements produces openings or trenches where material has been removed from the layer.
During the various stages (for example Fig. 4 e), boron diffusion has been carried out in order to ensure that the convex edges of cavity and surfaces of the substrate are not abraded during wet anisotropic etching.
In some preferred embodiments of the present invention, the MEMS can be integrated with electronic circuitry by providing additional process steps. The formation of integrated electronic circuitry (e.g. CMOS, BiCMOS or bipolar electronic circuitry) can comprise up hundreds of individual steps which are well known in the art and which have been standardized in the integrated circuit (IC) industry. Therefore, for clarity, only a few steps that are relevant to the present invention are discussed herein. Furthermore, this example of forming a MEMS device integrated with electronic circuitry formed on the same substrate is provided by way of illustration only, and is not meant to limit the present invention.
The matter set forth in the foregoing description and accompanying drawings is offered by way of illustration only and not as a limitation. The method can be applied to any recessed layers within a cavity for forming a MEMS device, including layers comprising photoresist, polyimide, silicon nitride, metals and metal alloys. Furthermore, the method of the present invention is applied to bulk micromachining processes for forming MEMS devices in a cavity.
Additional advantages and novel features of the invention will become apparent to those skilled in the art upon examination of the following description, or can be learned by practice of the invention. The advantages of the invention can be realized and attained by means of the instrumentalities and combinations particularly pointed out in the appended claims.

Claims

We claim:
1. A recessed microstructure device comprising: i. a substrate having a cavity formed therein; ii. a first conductive material with at least a portion thereof being located in said cavity; iii. a second conductive material spaced from said first conductive material, at least a portion of at least one of said first and second conductive materials being deflectable toward the other conductive material in response to an applied voltage; and iv. an insulating material within said cavity located intermediate to at least portions of said first and second conductive materials, said insulating material spacing said first conductive material from said second conductive material when said at least one of said first and second conductive material is deflected toward the other conductive material.
2. A recessed microstructure as claimed in claim 1 wherein the substrate is a semiconductor substrate.
3. A recessed microstructure as claimed in claim 1 wherein a notched area is provided on said substrate to enable access for said first conductive material to extend into said cavity.
4. A recessed microstructure as claimed in claims 1 to 3 wherein an insulating material is provided between said first conductive material and said substrate.
5. A recessed microstructure as claimed in any preceding claim wherein at least one of said first and second conductive materials has a portion thereof affixed to said substrate and includes a flexure structure intermediate said portion thereof and a remainder of the conductive material.
6. A recessed microstructure as claimed in claim 1 wherein the substrate is a single crystal substrate, the said substrate having a cavity formed in at least one face thereof, with an insulating material being provided on at least a bottom surface of said cavity, a first conductive material, at least a portion thereof being formed on said insulating material; a second conductive material located in a vicinity of said first conductive material, said second conductive material being affixed to said substrate in areas other than said cavity, said second conductive material comprising a flexure structure and a membrane structure in which said flexure structure is in an area other than the area where said second conductive material is affixed to said substrate; and a second insulating material within said cavity and in contact with said first conductive material, said second insulating material being intermediate at least said first conductive material and said membrane structure of said second conductive material.
7. A recessed microstructure as claimed in claim 1 wherein the device comprises a substrate having a cavity formed therein, including a notched area in said substrate adjacent a side wall surface of said cavity; an electrode, at least a portion thereof being located adjacent a bottom surface of said cavity; and a conductive membrane/cantilever spaced from said electrode, said conductive membrane/cantilever comprising a flexure structure and a membrane structure, said membrane structure being deflectable toward said electrode in response to a voltage being applied to said electrode.
8. A recessed microstructure as claimed in claim 7 wherein a plane of a top surface of said flexure structure changes in response to said membrane structure being deflectable toward said electrode in response to a voltage being applied to said electrode.
9. A recessed microstructure as claimed in claim 7 or 8 wherein the device further includes an insulating material spacing said electrode from said membrane structure when said membrane structure is deflected toward said electrode.
10. A recessed microstructure as claimed in claim 7 to 9 wherein a notched area provides access for said electrode to extend into said cavity.
11. A recessed microstructure as claimed in claim 7 to 9 wherein a membrane structure is provided spaced from said electrode in a parallel orientation.
12. A recessed microstructure as claimed in any preceding claim wherein the said cavity is rectangular in shape.
13. A recessed microstructure as claimed in any preceding claim wherein the said second conductive material is spaced from said first conductive material in a parallel orientation.
14. A recessed microstructure as claimed in any preceding claim wherein said voltage is a DC bias voltage.
15. A recessed microstructure as claimed in any preceding claim wherein said device is a micro-electro-mechanical RF and/or DC switch or relay.
16. A recessed microstructure as claimed in any preceding claim wherein the recessed microstructure device comprises a substrate having a cavity formed therein; an insulating material on a bottom surface of said cavity; an electrode, at least a portion thereof being located adjacent said insulating material; and a conductive membrane/cantilever spaced from said electrode, said conductive membrane/cantilever comprising a flexure structure and a membrane structure, said membrane structure being deflectable toward said electrode in response to a voltage being applied to said electrode.
17. A recessed microstructure as claimed in any preceding claim wherein the recessed microstructure device is a RF and/or DC relay or switch.
18. A method for the fabrication of a recessed microstructure device comprising the steps of oxidising a substrate to obtain a oxide layer on the external surface thereof, subjecting the substrate to lithography followed by oxide etching and anisotropic etching to obtain a cavity on one surface of the substrate, reoxidising said lithographed, oxide etched and anisotropic etched substrate in order to blanket the cavity with an oxide layer, subjecting the substrate cavity to further lithography, oxide etching in order to obtain a first functional layer, if desired depositing a diffusion layer and then subjecting the substrate to further lithography and oxide etching to obtain a second functional layer, subjecting the cavity to anisotropic etching to obtain a notched area below said functional layers and then removing the oxide by further etching.
19. A method as claimed in claim 18 wherein the substrate is a silicon wafer.
20. A method as claimed in claim 18 wherein the process is carried out with the continuous boron diffusion to prevent abrasion of the substrate surfaces and convex edges of the said cavity.
21. A method as claimed in claim 18 wherein the diffused layer comprises p+ (heavily doped) layers. ι
22. A method as claimed in claim 18 wherein the substrate is provided with an additional surface or buried epitaxial silicon layer of generally about 2-10 microns thickness.
23. A method as claimed in claim 18 wherein conventional electronic circuitry is provided upon a surface of the substrate.
24. A method as claimed in claim 23 wherein the conventional circuitry comprises CMOS, BiCMOS, or bipolar circuitry.
25. A method as claimed in any of preceding claims 18 to 24 wherein the steps of etching are carried out by a wet or dry etching process using a patterned etch mask.
26. A method as claimed in any of preceding claims 18 to 24 wherein the patterned layer formed by the patterned etch mask is removed with a selective etchant comprising hydrofluoric acid (HF).
27. A method as claimed in any preceding claim wherein the anisotropic etching is carried out using potassium hydroxide (KOH), tetramethyl ammonium hydroxide (TMAH) or ethylenediamine pyrocatechol (EDP).
PCT/IN2004/000005 2003-01-13 2004-01-05 Recessed microstructure device and fabrication method thereof WO2004063089A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
IN39DE2003 2003-01-13
IN39/DEL/2003 2003-01-13

Publications (2)

Publication Number Publication Date
WO2004063089A2 true WO2004063089A2 (en) 2004-07-29
WO2004063089A3 WO2004063089A3 (en) 2004-11-04

Family

ID=32697219

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/IN2004/000005 WO2004063089A2 (en) 2003-01-13 2004-01-05 Recessed microstructure device and fabrication method thereof

Country Status (1)

Country Link
WO (1) WO2004063089A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7973392B2 (en) 2008-09-09 2011-07-05 Freescale Semiconductor, Inc. Electronic device and method for manufacturing structure for electronic device
WO2016071576A1 (en) * 2014-11-07 2016-05-12 Teknologian Tutkimuskeskus Vtt Oy Surface micromechanical pressure sensor and method for manufacturing the same
US10807861B2 (en) 2016-05-02 2020-10-20 Teknologian Tutkimuskeskus Vtt Oy Surface micromechanical element and method for manufacturing the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5149673A (en) * 1989-02-21 1992-09-22 Cornell Research Foundation, Inc. Selective chemical vapor deposition of tungsten for microdynamic structures
EP0843191A1 (en) * 1996-11-15 1998-05-20 Eastman Kodak Company Micromolded integrated ceramic light reflector
EP0881651A1 (en) * 1997-05-30 1998-12-02 Hyundai Motor Company Threshold microswitch and a manufacturing method thereof
US6100477A (en) * 1998-07-17 2000-08-08 Texas Instruments Incorporated Recessed etch RF micro-electro-mechanical switch
US20020086456A1 (en) * 2000-12-19 2002-07-04 Cunningham Shawn Jay Bulk micromachining process for fabricating an optical MEMS device with integrated optical aperture

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5149673A (en) * 1989-02-21 1992-09-22 Cornell Research Foundation, Inc. Selective chemical vapor deposition of tungsten for microdynamic structures
EP0843191A1 (en) * 1996-11-15 1998-05-20 Eastman Kodak Company Micromolded integrated ceramic light reflector
EP0881651A1 (en) * 1997-05-30 1998-12-02 Hyundai Motor Company Threshold microswitch and a manufacturing method thereof
US6100477A (en) * 1998-07-17 2000-08-08 Texas Instruments Incorporated Recessed etch RF micro-electro-mechanical switch
US20020086456A1 (en) * 2000-12-19 2002-07-04 Cunningham Shawn Jay Bulk micromachining process for fabricating an optical MEMS device with integrated optical aperture

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7973392B2 (en) 2008-09-09 2011-07-05 Freescale Semiconductor, Inc. Electronic device and method for manufacturing structure for electronic device
WO2016071576A1 (en) * 2014-11-07 2016-05-12 Teknologian Tutkimuskeskus Vtt Oy Surface micromechanical pressure sensor and method for manufacturing the same
US10807861B2 (en) 2016-05-02 2020-10-20 Teknologian Tutkimuskeskus Vtt Oy Surface micromechanical element and method for manufacturing the same
US11697586B2 (en) 2016-05-02 2023-07-11 Teknologian Tutkimuskeskus Vtt Oy Surface micromechanical element and method for manufacturing the same

Also Published As

Publication number Publication date
WO2004063089A3 (en) 2004-11-04

Similar Documents

Publication Publication Date Title
US8445324B2 (en) Method of wafer-level fabrication of MEMS devices
US6458615B1 (en) Method of fabricating micromachined structures and devices formed therefrom
US5426070A (en) Microstructures and high temperature isolation process for fabrication thereof
US7785481B2 (en) Method for fabricating micromachined structures
US7026184B2 (en) Method of fabricating microstructures and devices made therefrom
US7387737B2 (en) Method for fabricating an isolated microelectromechanical system (MEMS) device using an internal void
He et al. Micro Electro-Mechanical Systems (MEMS)
KR100421217B1 (en) Method for fabricating stiction-resistant micromachined structures
JP2003502165A (en) Precisely defined microelectromechanical structure and associated manufacturing method
WO2001092842A2 (en) Manufacture of mems structures in sealed cavity using dry-release mems device encapsulation
US8704317B2 (en) Microstructure device with an improved anchor
US6768628B2 (en) Method for fabricating an isolated microelectromechanical system (MEMS) device incorporating a wafer level cap
US6694504B2 (en) Method of fabricating an electrostatic vertical and torsional actuator using one single-crystalline silicon wafer
US9070699B2 (en) Micromachined structures
US6794271B2 (en) Method for fabricating a microelectromechanical system (MEMS) device using a pre-patterned bridge
US10112827B2 (en) Process for producing an electromechanical device
EP1880977A2 (en) Silicon on metal for MEMS devices
US7361523B2 (en) Three-axis accelerometer
Kuehl et al. Advanced silicon trench etching in MEMS applications
WO2004063089A2 (en) Recessed microstructure device and fabrication method thereof
Iliescu et al. One-mask process for silicon accelerometers on Pyrex glass utilising notching effect in inductively coupled plasma DRIE
US7094622B1 (en) Polymer based tunneling sensor
Hofmann et al. Monolithic three-dimensional single-crystal silicon microelectromechanical systems
EP1544165A2 (en) Method for gyroscope using SMS wafer and gyroscope fabricated by the same
CN112047294B (en) Infrared MEMS bridge column structure and process method

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase