WO2004064147A3 - Integration of ald/cvd barriers with porous low k materials - Google Patents
Integration of ald/cvd barriers with porous low k materials Download PDFInfo
- Publication number
- WO2004064147A3 WO2004064147A3 PCT/US2003/040857 US0340857W WO2004064147A3 WO 2004064147 A3 WO2004064147 A3 WO 2004064147A3 US 0340857 W US0340857 W US 0340857W WO 2004064147 A3 WO2004064147 A3 WO 2004064147A3
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- ald
- barriers
- cvd
- integration
- materials
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
- H01L21/02063—Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76873—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76876—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
Abstract
A method for processing substrates is provided. The method includes depositing and etching a low k dielectric layer on a substrate, pre-cleaning the substrate with a plasma, and depositing a barrier layer on the substrate. Pre-cleaning the substrate minimizes the diffusion of the barrier layer into the low k dielectric layer and/or enhances the deposition of the barrier layer.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US43848003P | 2003-01-07 | 2003-01-07 | |
US60/438,480 | 2003-01-07 |
Publications (2)
Publication Number | Publication Date |
---|---|
WO2004064147A2 WO2004064147A2 (en) | 2004-07-29 |
WO2004064147A3 true WO2004064147A3 (en) | 2004-09-02 |
Family
ID=32713333
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/US2003/040857 WO2004064147A2 (en) | 2003-01-07 | 2003-12-19 | Integration of ald/cvd barriers with porous low k materials |
Country Status (3)
Country | Link |
---|---|
US (1) | US7244683B2 (en) |
TW (1) | TWI333234B (en) |
WO (1) | WO2004064147A2 (en) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9139906B2 (en) | 2001-03-06 | 2015-09-22 | Asm America, Inc. | Doping with ALD technology |
Families Citing this family (83)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6974766B1 (en) | 1998-10-01 | 2005-12-13 | Applied Materials, Inc. | In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application |
US7419903B2 (en) | 2000-03-07 | 2008-09-02 | Asm International N.V. | Thin films |
US7405158B2 (en) | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US7101795B1 (en) * | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US6613695B2 (en) | 2000-11-24 | 2003-09-02 | Asm America, Inc. | Surface preparation prior to deposition |
US6951804B2 (en) * | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
US9051641B2 (en) | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
US20090004850A1 (en) | 2001-07-25 | 2009-01-01 | Seshadri Ganguli | Process for forming cobalt and cobalt silicide materials in tungsten contact applications |
US8110489B2 (en) | 2001-07-25 | 2012-02-07 | Applied Materials, Inc. | Process for forming cobalt-containing materials |
US7780785B2 (en) * | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US7081271B2 (en) | 2001-12-07 | 2006-07-25 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
AU2003238853A1 (en) * | 2002-01-25 | 2003-09-02 | Applied Materials, Inc. | Apparatus for cyclical deposition of thin films |
US6911391B2 (en) | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
US6833161B2 (en) | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US6972267B2 (en) | 2002-03-04 | 2005-12-06 | Applied Materials, Inc. | Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor |
US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
US7186385B2 (en) | 2002-07-17 | 2007-03-06 | Applied Materials, Inc. | Apparatus for providing gas to a processing chamber |
EP1420080A3 (en) * | 2002-11-14 | 2005-11-09 | Applied Materials, Inc. | Apparatus and method for hybrid chemical deposition processes |
EP1652226A2 (en) | 2003-08-04 | 2006-05-03 | ASM America, Inc. | Surface preparation prior to deposition on germanium |
JP2005244178A (en) * | 2004-01-26 | 2005-09-08 | Toshiba Corp | Manufacturing method of semiconductor device |
US20050252449A1 (en) | 2004-05-12 | 2005-11-17 | Nguyen Son T | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
US7235487B2 (en) * | 2004-05-13 | 2007-06-26 | International Business Machines Corporation | Metal seed layer deposition |
US7241686B2 (en) | 2004-07-20 | 2007-07-10 | Applied Materials, Inc. | Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA |
KR100552820B1 (en) * | 2004-09-17 | 2006-02-21 | 동부아남반도체 주식회사 | Manufacturing method of semiconductor device |
JP2006119379A (en) * | 2004-10-21 | 2006-05-11 | Sumitomo Electric Ind Ltd | Manufacturing method of optical waveguide device and optical waveguide device manufactured thereby |
KR101463581B1 (en) | 2005-01-18 | 2014-11-20 | 에이에스엠 아메리카, 인코포레이티드 | Reaction system for growing a thin film |
WO2006091510A1 (en) * | 2005-02-22 | 2006-08-31 | Asm America, Inc. | Plasma pre-treating surfaces for atomic layer deposition |
US7749896B2 (en) * | 2005-08-23 | 2010-07-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for forming the same |
US7550385B2 (en) | 2005-09-30 | 2009-06-23 | Intel Corporation | Amine-free deposition of metal-nitride films |
TWI332532B (en) | 2005-11-04 | 2010-11-01 | Applied Materials Inc | Apparatus and process for plasma-enhanced atomic layer deposition |
JP4567587B2 (en) * | 2005-12-12 | 2010-10-20 | 富士通株式会社 | Manufacturing method of semiconductor device |
KR100708529B1 (en) * | 2005-12-14 | 2007-04-16 | 동부일렉트로닉스 주식회사 | Method and apparatus for sputtering copper line |
KR100772250B1 (en) * | 2005-12-27 | 2007-11-01 | 동부일렉트로닉스 주식회사 | Method for forming metal layer in semiconductor damascene manufacturing process |
KR100710192B1 (en) * | 2005-12-28 | 2007-04-20 | 동부일렉트로닉스 주식회사 | Method for forming line in semiconductor device |
US7695567B2 (en) * | 2006-02-10 | 2010-04-13 | Applied Materials, Inc. | Water vapor passivation of a wall facing a plasma |
US7798096B2 (en) | 2006-05-05 | 2010-09-21 | Applied Materials, Inc. | Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool |
US7749893B2 (en) * | 2006-12-18 | 2010-07-06 | Lam Research Corporation | Methods and systems for low interfacial oxide contact between barrier and copper metallization |
US7625819B2 (en) * | 2007-06-01 | 2009-12-01 | Macronix International Co., Ltd. | Interconnection process |
US7678298B2 (en) | 2007-09-25 | 2010-03-16 | Applied Materials, Inc. | Tantalum carbide nitride materials by vapor deposition processes |
US7824743B2 (en) | 2007-09-28 | 2010-11-02 | Applied Materials, Inc. | Deposition processes for titanium nitride barrier and aluminum |
US8778079B2 (en) * | 2007-10-11 | 2014-07-15 | Valence Process Equipment, Inc. | Chemical vapor deposition reactor |
US9502290B2 (en) * | 2008-01-11 | 2016-11-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Oxidation-free copper metallization process using in-situ baking |
US20090269507A1 (en) | 2008-04-29 | 2009-10-29 | Sang-Ho Yu | Selective cobalt deposition on copper surfaces |
US8839504B2 (en) * | 2008-05-13 | 2014-09-23 | HGST Netherlands B.V. | Method of fabricating a device having a sidegap |
US20100062149A1 (en) | 2008-09-08 | 2010-03-11 | Applied Materials, Inc. | Method for tuning a deposition rate during an atomic layer deposition process |
US8491967B2 (en) | 2008-09-08 | 2013-07-23 | Applied Materials, Inc. | In-situ chamber treatment and deposition process |
US8146896B2 (en) | 2008-10-31 | 2012-04-03 | Applied Materials, Inc. | Chemical precursor ampoule for vapor deposition processes |
US20100317198A1 (en) * | 2009-06-12 | 2010-12-16 | Novellus Systems, Inc. | Remote plasma processing of interface surfaces |
US8084339B2 (en) * | 2009-06-12 | 2011-12-27 | Novellus Systems, Inc. | Remote plasma processing of interface surfaces |
JP2011009439A (en) * | 2009-06-25 | 2011-01-13 | Renesas Electronics Corp | Method for manufacturing semiconductor device, and semiconductor device |
CN102315156A (en) * | 2010-07-08 | 2012-01-11 | 中芯国际集成电路制造(上海)有限公司 | Method for manufacturing semiconductor device |
US8524329B2 (en) | 2011-12-13 | 2013-09-03 | Lam Research Corporation | Electroless copper deposition |
US8962469B2 (en) | 2012-02-16 | 2015-02-24 | Infineon Technologies Ag | Methods of stripping resist after metal deposition |
KR102192281B1 (en) * | 2012-07-16 | 2020-12-18 | 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 | Method for high aspect ratio photoresist removal in pure reducing plasma |
CN103295958B (en) * | 2013-06-04 | 2015-09-30 | 上海华力微电子有限公司 | A kind of method preparing copper seed layer |
US10804094B2 (en) | 2016-05-06 | 2020-10-13 | Applied Materials, Inc. | Methods of depositing SiCON with C, O and N compositional control |
US11549181B2 (en) | 2013-11-22 | 2023-01-10 | Applied Materials, Inc. | Methods for atomic layer deposition of SiCO(N) using halogenated silylamides |
US10867843B2 (en) * | 2016-12-05 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and system for fabrication semiconductor device |
US10727055B2 (en) * | 2017-02-10 | 2020-07-28 | International Business Machines Corporation | Method to increase the lithographic process window of extreme ultra violet negative tone development resists |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
US10872804B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US10872803B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
SG11202008268RA (en) | 2018-03-19 | 2020-10-29 | Applied Materials Inc | Methods for depositing coatings on aerospace components |
WO2019209401A1 (en) | 2018-04-27 | 2019-10-31 | Applied Materials, Inc. | Protection of components from corrosion |
JP7198814B2 (en) * | 2018-05-28 | 2023-01-04 | 株式会社ダイセル | Semiconductor device manufacturing method |
US10643899B2 (en) | 2018-07-27 | 2020-05-05 | International Business Machines Corporation | Gate stack optimization for wide and narrow nanosheet transistor devices |
US11009339B2 (en) | 2018-08-23 | 2021-05-18 | Applied Materials, Inc. | Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries |
US11114306B2 (en) * | 2018-09-17 | 2021-09-07 | Applied Materials, Inc. | Methods for depositing dielectric material |
US10636705B1 (en) | 2018-11-29 | 2020-04-28 | Applied Materials, Inc. | High pressure annealing of metal gate structures |
US11732353B2 (en) | 2019-04-26 | 2023-08-22 | Applied Materials, Inc. | Methods of protecting aerospace components against corrosion and oxidation |
US11794382B2 (en) | 2019-05-16 | 2023-10-24 | Applied Materials, Inc. | Methods for depositing anti-coking protective coatings on aerospace components |
US11697879B2 (en) | 2019-06-14 | 2023-07-11 | Applied Materials, Inc. | Methods for depositing sacrificial coatings on aerospace components |
US11186909B2 (en) | 2019-08-26 | 2021-11-30 | Applied Materials, Inc. | Methods of depositing low-K films |
US11466364B2 (en) | 2019-09-06 | 2022-10-11 | Applied Materials, Inc. | Methods for forming protective coatings containing crystallized aluminum oxide |
US11508617B2 (en) | 2019-10-24 | 2022-11-22 | Applied Materials, Inc. | Method of forming interconnect for semiconductor device |
US11257677B2 (en) * | 2020-01-24 | 2022-02-22 | Applied Materials, Inc. | Methods and devices for subtractive self-alignment |
US11519066B2 (en) | 2020-05-21 | 2022-12-06 | Applied Materials, Inc. | Nitride protective coatings on aerospace components and methods for making the same |
WO2022005696A1 (en) | 2020-07-03 | 2022-01-06 | Applied Materials, Inc. | Methods for refurbishing aerospace components |
US20220081759A1 (en) * | 2020-09-17 | 2022-03-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Apparatus and method for manufacturing metal gate structures |
US20220098729A1 (en) * | 2020-09-28 | 2022-03-31 | Applied Materials, Inc. | System and method of cleaning process chambers using plasma |
US11447865B2 (en) * | 2020-11-17 | 2022-09-20 | Applied Materials, Inc. | Deposition of low-κ films |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP1081751A2 (en) * | 1999-09-02 | 2001-03-07 | Applied Materials, Inc. | Methods of pre-cleaning dielectric layers of substrates |
US20020060363A1 (en) * | 1997-05-14 | 2002-05-23 | Applied Materials, Inc. | Reliability barrier integration for Cu application |
US6495447B1 (en) * | 2001-06-26 | 2002-12-17 | Advanced Micro Devices, Inc. | Use of hydrogen doping for protection of low-k dielectric layers |
Family Cites Families (123)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6482262B1 (en) | 1959-10-10 | 2002-11-19 | Asm Microchemistry Oy | Deposition of transition metal carbides |
US3594216A (en) | 1969-06-19 | 1971-07-20 | Westinghouse Electric Corp | Vapor phase deposition of metal from a metal-organic beta-ketoamine chelate |
FI57975C (en) | 1979-02-28 | 1980-11-10 | Lohja Ab Oy | OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY |
US4337279A (en) | 1981-01-23 | 1982-06-29 | Uop Inc. | Method for increasing the peel strength of metal-clad polymers |
FI64878C (en) | 1982-05-10 | 1984-01-10 | Lohja Ab Oy | KOMBINATIONSFILM FOER ISYNNERHET TUNNFILMELEKTROLUMINENSSTRUKTURER |
US4568562A (en) | 1984-11-28 | 1986-02-04 | General Dynamics, Pomona Division | Method of electroless plating employing plasma treatment |
US4735820A (en) | 1987-05-18 | 1988-04-05 | International Business Machines Corporation | Removal of residual catalyst from a dielectric substrate |
FR2616030A1 (en) | 1987-06-01 | 1988-12-02 | Commissariat Energie Atomique | PLASMA ETCHING OR DEPOSITION METHOD AND DEVICE FOR IMPLEMENTING THE METHOD |
US4803094A (en) | 1988-05-09 | 1989-02-07 | Myers Richard A | Metallized coating |
JPH0824191B2 (en) | 1989-03-17 | 1996-03-06 | 富士通株式会社 | Thin film transistor |
US5186718A (en) | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
US5017439A (en) | 1989-07-19 | 1991-05-21 | Seagate Technology, Inc. | Micro-contamination-free coating for die-cast component in magnetic disk drive |
US5043299B1 (en) | 1989-12-01 | 1997-02-25 | Applied Materials Inc | Process for selective deposition of tungsten on semiconductor wafer |
US5202008A (en) | 1990-03-02 | 1993-04-13 | Applied Materials, Inc. | Method for preparing a shield to reduce particles in a physical vapor deposition chamber |
US5203957A (en) | 1991-06-12 | 1993-04-20 | Taiwan Semiconductor Manufacturing Company | Contact sidewall tapering with argon sputtering |
US5352636A (en) | 1992-01-16 | 1994-10-04 | Applied Materials, Inc. | In situ method for cleaning silicon surface and forming layer thereon in same chamber |
US5306666A (en) | 1992-07-24 | 1994-04-26 | Nippon Steel Corporation | Process for forming a thin metal film by chemical vapor deposition |
US5526244A (en) | 1993-05-24 | 1996-06-11 | Bishop; Vernon R. | Overhead luminaire |
FI97731C (en) | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Method and apparatus for making thin films |
FI100409B (en) | 1994-11-28 | 1997-11-28 | Asm Int | Method and apparatus for making thin films |
US5464666A (en) | 1995-02-06 | 1995-11-07 | Air Products And Chemicals, Inc. | Process for chemical vapor codeposition of copper and aluminum alloys |
US6084302A (en) | 1995-12-26 | 2000-07-04 | Micron Technologies, Inc. | Barrier layer cladding around copper interconnect lines |
US5660682A (en) | 1996-03-14 | 1997-08-26 | Lsi Logic Corporation | Plasma clean with hydrogen gas |
US6342277B1 (en) | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US5916365A (en) * | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
US5923056A (en) | 1996-10-10 | 1999-07-13 | Lucent Technologies Inc. | Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials |
US5906866A (en) | 1997-02-10 | 1999-05-25 | Tokyo Electron Limited | Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface |
JPH10308283A (en) | 1997-03-04 | 1998-11-17 | Denso Corp | El element and its manufacture |
US5904154A (en) | 1997-07-24 | 1999-05-18 | Vanguard International Semiconductor Corporation | Method for removing fluorinated photoresist layers from semiconductor substrates |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
KR100385946B1 (en) | 1999-12-08 | 2003-06-02 | 삼성전자주식회사 | Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor |
KR100269306B1 (en) | 1997-07-31 | 2000-10-16 | 윤종용 | Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof |
KR100261017B1 (en) | 1997-08-19 | 2000-08-01 | 윤종용 | Method for forming metal wiring of semiconductor device |
US6348376B2 (en) | 1997-09-29 | 2002-02-19 | Samsung Electronics Co., Ltd. | Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same |
US6197683B1 (en) | 1997-09-29 | 2001-03-06 | Samsung Electronics Co., Ltd. | Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same |
KR100274603B1 (en) | 1997-10-01 | 2001-01-15 | 윤종용 | Method and apparatus for fabricating semiconductor device |
FI104383B (en) | 1997-12-09 | 2000-01-14 | Fortum Oil & Gas Oy | Procedure for coating the inside of a plant |
US6107192A (en) | 1997-12-30 | 2000-08-22 | Applied Materials, Inc. | Reactive preclean prior to metallization for sub-quarter micron application |
KR100269328B1 (en) | 1997-12-31 | 2000-10-16 | 윤종용 | Method for forming conductive layer using atomic layer deposition process |
US6015917A (en) | 1998-01-23 | 2000-01-18 | Advanced Technology Materials, Inc. | Tantalum amide precursors for deposition of tantalum nitride on a substrate |
US6113771A (en) | 1998-04-21 | 2000-09-05 | Applied Materials, Inc. | Electro deposition chemistry |
KR100319888B1 (en) | 1998-06-16 | 2002-01-10 | 윤종용 | Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same |
KR100275738B1 (en) | 1998-08-07 | 2000-12-15 | 윤종용 | Method for producing thin film using atomatic layer deposition |
KR100287180B1 (en) | 1998-09-17 | 2001-04-16 | 윤종용 | Method for manufacturing semiconductor device including metal interconnection formed using interface control layer |
KR100327328B1 (en) | 1998-10-13 | 2002-05-09 | 윤종용 | Method for forming dielectric layer of capacitor having partially different thickness in the layer |
KR100297719B1 (en) | 1998-10-16 | 2001-08-07 | 윤종용 | Method for manufacturing thin film |
KR100331544B1 (en) | 1999-01-18 | 2002-04-06 | 윤종용 | Method for introducing gases into a reactor chamber and a shower head used therein |
US6305314B1 (en) | 1999-03-11 | 2001-10-23 | Genvs, Inc. | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
US6200893B1 (en) | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
FI118342B (en) | 1999-05-10 | 2007-10-15 | Asm Int | Apparatus for making thin films |
US6124158A (en) | 1999-06-08 | 2000-09-26 | Lucent Technologies Inc. | Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants |
US6177347B1 (en) | 1999-07-02 | 2001-01-23 | Taiwan Semiconductor Manufacturing Company | In-situ cleaning process for Cu metallization |
US6391785B1 (en) | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
US6511539B1 (en) | 1999-09-08 | 2003-01-28 | Asm America, Inc. | Apparatus and method for growth of a thin film |
FI117942B (en) | 1999-10-14 | 2007-04-30 | Asm Int | Process for making oxide thin films |
US6203613B1 (en) | 1999-10-19 | 2001-03-20 | International Business Machines Corporation | Atomic layer deposition with nitrate containing precursors |
KR100304714B1 (en) | 1999-10-20 | 2001-11-02 | 윤종용 | Method for fabricating metal layer of semiconductor device using metal-halide gas |
US6780704B1 (en) | 1999-12-03 | 2004-08-24 | Asm International Nv | Conformal thin films over textured capacitor electrodes |
KR100624903B1 (en) | 1999-12-22 | 2006-09-19 | 주식회사 하이닉스반도체 | Method of manufacturing a capacitor in a semiconductor device |
KR100705926B1 (en) | 1999-12-22 | 2007-04-11 | 주식회사 하이닉스반도체 | Method of manufacturing a capacitor in a semiconductor device |
FI20000099A0 (en) | 2000-01-18 | 2000-01-18 | Asm Microchemistry Ltd | A method for growing thin metal films |
JP5016767B2 (en) | 2000-03-07 | 2012-09-05 | エーエスエム インターナショナル エヌ.ヴェー. | Method for forming gradient thin film |
FI117979B (en) | 2000-04-14 | 2007-05-15 | Asm Int | Process for making oxide thin films |
KR100363088B1 (en) | 2000-04-20 | 2002-12-02 | 삼성전자 주식회사 | Method of manufacturing barrier metal layer using atomic layer deposition method |
US6482733B2 (en) | 2000-05-15 | 2002-11-19 | Asm Microchemistry Oy | Protective layers prior to alternating layer deposition |
EP1282911B1 (en) * | 2000-05-15 | 2018-09-05 | Asm International N.V. | Process for producing integrated circuits |
KR100403611B1 (en) | 2000-06-07 | 2003-11-01 | 삼성전자주식회사 | Metal-insulator-metal capacitor and manufacturing method thereof |
KR100647442B1 (en) | 2000-06-07 | 2006-11-17 | 주성엔지니어링(주) | Method of forming a thin film using atomic layer deposition |
JP3687651B2 (en) * | 2000-06-08 | 2005-08-24 | ジニテック インク. | Thin film formation method |
KR100332313B1 (en) * | 2000-06-24 | 2002-04-12 | 서성기 | Apparatus and method for depositing thin film on wafer |
US6620723B1 (en) * | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US6936538B2 (en) * | 2001-07-16 | 2005-08-30 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US6585823B1 (en) | 2000-07-07 | 2003-07-01 | Asm International, N.V. | Atomic layer deposition |
KR100444149B1 (en) | 2000-07-22 | 2004-08-09 | 주식회사 아이피에스 | ALD thin film depositin equipment cleaning method |
KR100396879B1 (en) | 2000-08-11 | 2003-09-02 | 삼성전자주식회사 | Semiconductor memory device having capacitor encapsulated by multi-layer which includes double layeres being made of same material and method of manufacturing thereof |
US6753258B1 (en) * | 2000-11-03 | 2004-06-22 | Applied Materials Inc. | Integration scheme for dual damascene structure |
AU2002225761A1 (en) * | 2000-11-30 | 2002-06-11 | Asm America, Inc. | Thin films for magnetic devices |
US6528432B1 (en) | 2000-12-05 | 2003-03-04 | Advanced Micro Devices, Inc. | H2-or H2/N2-plasma treatment to prevent organic ILD degradation |
US6428859B1 (en) | 2000-12-06 | 2002-08-06 | Angstron Systems, Inc. | Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
KR100385947B1 (en) | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | Method of forming thin film by atomic layer deposition |
US6949450B2 (en) * | 2000-12-06 | 2005-09-27 | Novellus Systems, Inc. | Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber |
US6416822B1 (en) | 2000-12-06 | 2002-07-09 | Angstrom Systems, Inc. | Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US20020073924A1 (en) * | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Gas introduction system for a reactor |
US20020076481A1 (en) * | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Chamber pressure state-based control for a reactor |
US20020076507A1 (en) * | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Process sequence for atomic layer deposition |
US6630201B2 (en) | 2001-04-05 | 2003-10-07 | Angstron Systems, Inc. | Adsorption process for atomic layer deposition |
US6541374B1 (en) * | 2000-12-18 | 2003-04-01 | Novellus Systems, Inc. | Method of depositing a diffusion barrier for copper interconnection applications |
KR20020049875A (en) * | 2000-12-20 | 2002-06-26 | 윤종용 | Ferroelectric capacitor in semiconductor memory device and method for manufacturing the same |
US6464779B1 (en) | 2001-01-19 | 2002-10-15 | Novellus Systems, Inc. | Copper atomic layer chemical vapor desposition |
JP2002222934A (en) | 2001-01-29 | 2002-08-09 | Nec Corp | Semiconductor device and manufacturing method thereof |
AU2002306436A1 (en) * | 2001-02-12 | 2002-10-15 | Asm America, Inc. | Improved process for deposition of semiconductor films |
US6828218B2 (en) * | 2001-05-31 | 2004-12-07 | Samsung Electronics Co., Ltd. | Method of forming a thin film using atomic layer deposition |
US6806145B2 (en) * | 2001-08-31 | 2004-10-19 | Asm International, N.V. | Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer |
US20030042630A1 (en) * | 2001-09-05 | 2003-03-06 | Babcoke Jason E. | Bubbler for gas delivery |
AU2002333601A1 (en) * | 2001-09-14 | 2003-04-01 | Asm America, Inc. | Metal nitride deposition by ald using gettering reactant |
US6718126B2 (en) * | 2001-09-14 | 2004-04-06 | Applied Materials, Inc. | Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition |
US20030049931A1 (en) * | 2001-09-19 | 2003-03-13 | Applied Materials, Inc. | Formation of refractory metal nitrides using chemisorption techniques |
US6607976B2 (en) | 2001-09-25 | 2003-08-19 | Applied Materials, Inc. | Copper interconnect barrier layer structure and formation method |
US6936906B2 (en) * | 2001-09-26 | 2005-08-30 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US20030059538A1 (en) * | 2001-09-26 | 2003-03-27 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US20030057526A1 (en) * | 2001-09-26 | 2003-03-27 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US6960537B2 (en) * | 2001-10-02 | 2005-11-01 | Asm America, Inc. | Incorporation of nitrogen into high k dielectric film |
US20030072884A1 (en) * | 2001-10-15 | 2003-04-17 | Applied Materials, Inc. | Method of titanium and titanium nitride layer deposition |
US6916398B2 (en) * | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US20030085408A1 (en) * | 2001-11-02 | 2003-05-08 | Neng-Hui Yang | Oxygen-doped silicon carbide etch stop layer |
US6620956B2 (en) | 2001-11-16 | 2003-09-16 | Applied Materials, Inc. | Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing |
US6773507B2 (en) * | 2001-12-06 | 2004-08-10 | Applied Materials, Inc. | Apparatus and method for fast-cycle atomic layer deposition |
US7081271B2 (en) * | 2001-12-07 | 2006-07-25 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
US6729824B2 (en) * | 2001-12-14 | 2004-05-04 | Applied Materials, Inc. | Dual robot processing system |
US20030116087A1 (en) * | 2001-12-21 | 2003-06-26 | Nguyen Anh N. | Chamber hardware design for titanium nitride atomic layer deposition |
US7164165B2 (en) * | 2002-05-16 | 2007-01-16 | Micron Technology, Inc. | MIS capacitor |
US6838125B2 (en) * | 2002-07-10 | 2005-01-04 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
US20040013803A1 (en) * | 2002-07-16 | 2004-01-22 | Applied Materials, Inc. | Formation of titanium nitride films using a cyclical deposition process |
US6955211B2 (en) * | 2002-07-17 | 2005-10-18 | Applied Materials, Inc. | Method and apparatus for gas temperature control in a semiconductor processing system |
US7186385B2 (en) * | 2002-07-17 | 2007-03-06 | Applied Materials, Inc. | Apparatus for providing gas to a processing chamber |
KR100468852B1 (en) * | 2002-07-20 | 2005-01-29 | 삼성전자주식회사 | Manufacturing method of Capacitor Structure |
US6772072B2 (en) * | 2002-07-22 | 2004-08-03 | Applied Materials, Inc. | Method and apparatus for monitoring solid precursor delivery |
TWI230544B (en) * | 2002-07-25 | 2005-04-01 | Veutron Corp | Light source control method and apparatus of image scanner |
US6846756B2 (en) | 2002-07-30 | 2005-01-25 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for preventing low-k dielectric layer cracking in multi-layered dual damascene metallization layers |
KR100542736B1 (en) * | 2002-08-17 | 2006-01-11 | 삼성전자주식회사 | Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same |
US6958300B2 (en) * | 2002-08-28 | 2005-10-25 | Micron Technology, Inc. | Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides |
US7135408B2 (en) * | 2002-10-30 | 2006-11-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal barrier integrity via use of a novel two step PVD-ALD deposition procedure |
-
2003
- 2003-12-19 US US10/741,422 patent/US7244683B2/en active Active
- 2003-12-19 WO PCT/US2003/040857 patent/WO2004064147A2/en not_active Application Discontinuation
- 2003-12-23 TW TW092136608A patent/TWI333234B/en not_active IP Right Cessation
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20020060363A1 (en) * | 1997-05-14 | 2002-05-23 | Applied Materials, Inc. | Reliability barrier integration for Cu application |
EP1081751A2 (en) * | 1999-09-02 | 2001-03-07 | Applied Materials, Inc. | Methods of pre-cleaning dielectric layers of substrates |
US6495447B1 (en) * | 2001-06-26 | 2002-12-17 | Advanced Micro Devices, Inc. | Use of hydrogen doping for protection of low-k dielectric layers |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9139906B2 (en) | 2001-03-06 | 2015-09-22 | Asm America, Inc. | Doping with ALD technology |
Also Published As
Publication number | Publication date |
---|---|
WO2004064147A2 (en) | 2004-07-29 |
US7244683B2 (en) | 2007-07-17 |
TWI333234B (en) | 2010-11-11 |
TW200419642A (en) | 2004-10-01 |
US20040256351A1 (en) | 2004-12-23 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
WO2004064147A3 (en) | Integration of ald/cvd barriers with porous low k materials | |
WO2003029515A3 (en) | Formation of composite tungsten films | |
WO2004079796A3 (en) | Atomic layer deposited dielectric layers | |
WO2003043073A3 (en) | A method of depositing low k barrier layers | |
EP1916707A3 (en) | Methods for depositing metal films by CVD or ALD processes onto diffusion barrier layers | |
WO2006083769A3 (en) | N2-based plasma treatment for porous low-k dielectric films | |
WO2004020689A3 (en) | Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides | |
WO2004053947A3 (en) | Titanium silicon nitride (tisin) barrier layer for copper diffusion | |
TW200604093A (en) | Silicon nitride film with stress control | |
WO2006028573A3 (en) | Deposition of ruthenium and/or ruthenium oxide films | |
WO2006010451A3 (en) | Vacuum-coating installation and method | |
WO2002037538A3 (en) | Amorphous carbon layer for improved adhesion of photoresist | |
WO2004033752A3 (en) | Two-layer film for next generation damascene barrier application with good oxidation resistance | |
WO2005081933A3 (en) | Chemical vapor deposition of high conductivity, adherent thin films of ruthenium | |
WO2002012589A3 (en) | Barrier layer structure for copper metallization and method of forming the structure | |
AU3870899A (en) | Oxide/organic polymer multilayer thin films deposited by chemical vapor deposition | |
TW200616039A (en) | Processing system and method for chemically treating a TERA layer | |
WO2005034196A3 (en) | Atomic layer deposition of hafnium-based high-k dielectric | |
WO2005050716A3 (en) | High-temperature devices on insulator substrates | |
WO2003031679A3 (en) | Method for depositing metal layers employing sequential deposition techniques | |
WO2003028091A3 (en) | Copper interconnect barrier layer structure and formation method | |
WO2005038865A3 (en) | Amorphous carbon layer to improve photoresist adhesion | |
WO2005034195A3 (en) | Growth of high-k dielectrics by atomic layer deposition | |
WO2007005816A3 (en) | Low-temperature catalyzed formation of segmented nanowire of dielectric material | |
SG138523A1 (en) | Method of integrating triple gate oxide thickness |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AK | Designated states |
Kind code of ref document: A2 Designated state(s): CN JP KR SG |
|
NENP | Non-entry into the national phase |
Ref country code: JP |
|
WWW | Wipo information: withdrawn in national office |
Country of ref document: JP |