WO2004067800B1 - Method and apparatus for cleaning a cvd chamber - Google Patents

Method and apparatus for cleaning a cvd chamber

Info

Publication number
WO2004067800B1
WO2004067800B1 PCT/US2004/002171 US2004002171W WO2004067800B1 WO 2004067800 B1 WO2004067800 B1 WO 2004067800B1 US 2004002171 W US2004002171 W US 2004002171W WO 2004067800 B1 WO2004067800 B1 WO 2004067800B1
Authority
WO
WIPO (PCT)
Prior art keywords
chamber
radio
frequency power
cleaning gas
electrode
Prior art date
Application number
PCT/US2004/002171
Other languages
French (fr)
Other versions
WO2004067800A1 (en
Inventor
Maosheng Zhao
Juan Carlos Rocha-Alvarez
Inna Shmurun
Soova Sen
Mao D Lim
Shankar Venkataraman
Ju-Hyung Lee
Original Assignee
Applied Materials Inc
Maosheng Zhao
Juan Carlos Rocha-Alvarez
Inna Shmurun
Soova Sen
Mao D Lim
Shankar Venkataraman
Ju-Hyung Lee
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc, Maosheng Zhao, Juan Carlos Rocha-Alvarez, Inna Shmurun, Soova Sen, Mao D Lim, Shankar Venkataraman, Ju-Hyung Lee filed Critical Applied Materials Inc
Publication of WO2004067800A1 publication Critical patent/WO2004067800A1/en
Publication of WO2004067800B1 publication Critical patent/WO2004067800B1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Abstract

The present invention is a method and apparatus for cleaning a chemical vapor deposition (CVD) chamber using cleaning gas energized to a plasma in a gas mixing volume separated by an electrode from a reaction volume of the chamber. In one embodiment, a source of RF power is coupled to a lid of the chamber, while a switch is used to couple a showerhead to ground terminals or the source of RF power.

Claims

AMENDED CLAIMS[received by the International Bureau on 01 September04 (01.09.04); original claims 1-23 replaced by amended claims 1-35]
1. A method for plasma cleaning a chamber for processing a substrate, said chamber having a first portion comprising a substrate support pedestal, a second portion comprising a blocking plate electrode and a source of radio-frequency power, and a showerhead electrode electrically isolated from the first portion and the second portion, comprising: connecting the showerhead electrode to the first portion; supplying a cleaning gas into the chamber; and applying radio-frequency power from said source to energize the cleaning gas to a plasma within the second portion.
2. The method of claim 1 , wherein the chamber is a chamber for performing a chemical vapor deposition process or a plasma enhanced chemical vapor deposition process.
3. The method of claim 1 , wherein the first portion is further coupled to a ground reference of the chamber.
4. The method of claim 1 , wherein the blocking plate electrode and the showerhead electrode are electrically coupled.
5. The method of claim 1 , wherein the cleaning gas is supplied while applying the radio-frequency power.
6. The method of claim 5, comprising a plurality of cycles, wherein each cycle has a first period when supplying the cleaning gas and applying the radio-frequency power are active, and a second period when supplying the cleaning gas and applying the radio-frequency power are interrupted.
7. The method of claim 1 , wherein the plasma is provided between the blocking plate electrode and the showerhead electrode. 19
8. The method of claim 4, wherein the plasma is provided between a lid of the chamber and the blocking plate electrode.
9. The method of claim 1 , wherein the step of supplying the cleaning gas comprises: providing F3 and He at a flow ratio NF3:He in a range from 1 :θ to 1:6, and maintaining a total chamber pressure between 1 and 6 torr.
10. A semiconductor substrate processing system comprising a chamber for processing a substrate, said chamber comprises: a first portion comprising a substrate support pedestal; a second portion comprising a blocking plate electrode and a source of radio- frequency power; a showerhead electrode electrically isolated from the first portion and the second portion; and a switch that couples the showerhead electrode to the first portion or the second portion.
11. The system of claim 10, wherein the chamber is a chamber for performing a chemical vapor deposition process or a plasma enhanced chemical vapor deposition process.
12. The system of claim 10, wherein the first portion is further coupled to a ground reference of the chamber.
13. The system of claim 10, wherein blocking plate electrode and the showerhead electrode are electrically coupled.
14. The system of claim 10, wherein the showerhead electrode is electrically isolated using isolators formed from ceramic or polyimide.
15. The system of claim 14, wherein the isolators are formed from Abθ3- 20
16. The system of claim 10, wherein the switch couples the showerhead electrode to the first portion during plasma cleaning the chamber.
17. The system of claim 10, wherein the switch couples the showerhead electrode to the second portion during processing the substrate.
18. The system of claim 10, wherein the switch is a double-throw switch having a common terminal coupled to the showerhead electrode and selectable terminals coupled to the first portion and the second portion, respectively.
19. The system of claim 10, wherein the switch further comprises an actuator to operate the switch.
20. A computer-readable medium containing software that, when executed by a computer, causes a semiconductor substrate processing system to clean a chamber for processing a substrate, said chamber having a first portion comprising a substrate support pedestal, a second portion comprising a blocking plate electrode and a source of radio-frequency power, and a showerhead electrode electrically isolated from the first portion and the second portion, comprising: connecting the showerhead electrode to the first portion; supplying a cleaning gas into the chamber; and applying radio-frequency power from said source to energize the cleaning gas to a plasma within the second portion,
21. The computer-readable medium of claim 20, wherein the chamber is a chamber for performing a chemical vapor deposition process or a plasma enhanced chemical vapor deposition process.
22. The computer-readable medium of claim 20, wherein the first portion is further coupled to a ground reference of the chamber.
23. The computer-readable medium of claim 20, wherein the blocking plate electrode and the showerhead electrode are electrically coupled. 21
24. A method for plasma cleaning a chamber for processing a substrate, said chamber having a first portion comprising a substrate support pedestal, a second portion coupled to a source of radio-frequency power, and an electrode electrically isolated from the first portion and the second portion, comprising: connecting the electrode to the first portion; supplying a cleaning gas into the chamber; and applying radio-frequency power from said source to energize the cleaning gas to a plasma within the second portion, wherein the radio-frequency power is at least 500 W and about 50 kHz to 13.56MHz.
25. The method of claim 24, wherein the cleaning gas is supplied while applying the radio-frequency power and wherein the method comprises a plurality of cycles, wherein each cycle has a first period when supplying the cleaning gas and applying the radio-frequency power are active, and a second period when supplying the cleaning gas and applying the radio-frequency power are interrupted.
26. The method of claim 25, wherein the first period has a duration of about 2 to 6 minutes and the second period has a duration of about 0 to 6 minutes.
27. The method of claim 24, wherein the cleaning gas comprises at least one of NF3j F2, SF6s CzFs, C2F4, CCU. and C2CI6, and wherein the step of supplying the cleaning gas further comprises: providing NF3 and He at a flow ratio NF3:He in a range from 1:0 to 1:6, and maintaining a total chamber pressure between 1 and 6 torr.
28. A semiconductor substrate processing system comprising a chamber for processing a substrate, said chamber comprises: a first portion comprising a substrate support pedestal; a second portion coupled to a source of radio-frequency power; a showerhead electrically isolated from the first portion and the second portion; and a switch that couples the showerhead to the first portion or the second 22
portion, wherein said source is a source of at least 500 W and about 50 kHz to 13.56 MHz.
29. The system of claim 28, wherein the showerhead is electrically isolated using isolators from ceramic or polyimide.
30. The system of claim 29, wherein the isolators are formed from Al203.
31. The system of claim 28, wherein the switch couples the showerhead to the first portion during plasma cleaning the chamber.
32. The system of claim 28, wherein the switch couples the showerhead to the second portion during processing the substrate.
33. The system of claim 28, wherein the switch is a double-throw switch having a common terminal coupled to the showerhead and selectable terminals coupled to the first portion and the second portion, respectively.
34. The system of claim 28, wherein the switch further comprises an actuator to operate the switch.
35. A computer-readable medium containing software that, when executed by a computer, causes a semiconductor substrate processing system to clean a chamber for processing a substrate, said chamber having a first portion comprising a substrate support pedestal, a second portion coupled to a source of radio-frequency power, and an electrode electrically isolated from the first portion and the second portion, comprising: connecting the electrode to the first portion; supplying a cleaning gas into the chamber; and applying radio-frequency power from said source to energize the cleaning gas to a plasma within the second portion, wherein the radio-frequency power is at least 500 W and about 50 kHz to 13.56 MHz.
PCT/US2004/002171 2003-01-27 2004-01-27 Method and apparatus for cleaning a cvd chamber WO2004067800A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/354,214 2003-01-27
US10/354,214 US7500445B2 (en) 2003-01-27 2003-01-27 Method and apparatus for cleaning a CVD chamber

Publications (2)

Publication Number Publication Date
WO2004067800A1 WO2004067800A1 (en) 2004-08-12
WO2004067800B1 true WO2004067800B1 (en) 2004-11-25

Family

ID=32736290

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/002171 WO2004067800A1 (en) 2003-01-27 2004-01-27 Method and apparatus for cleaning a cvd chamber

Country Status (2)

Country Link
US (7) US7500445B2 (en)
WO (1) WO2004067800A1 (en)

Families Citing this family (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
JP4294976B2 (en) * 2003-02-27 2009-07-15 東京エレクトロン株式会社 Substrate processing equipment
US20060260544A1 (en) * 2003-03-04 2006-11-23 Hitachi Kokusai Electric Inc. Substrate processing and method of manufacturing device
JP2005033173A (en) * 2003-06-16 2005-02-03 Renesas Technology Corp Method for manufacturing semiconductor integrated circuit device
WO2005106936A1 (en) * 2004-04-30 2005-11-10 Ebara Corporation Apparatus for treating substrate
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US20060011582A1 (en) * 2004-07-14 2006-01-19 Savas Stephen E Fast isotropic etching system and process for large, non-circular substrates
JP5044931B2 (en) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 Gas supply apparatus and substrate processing apparatus
US8176871B2 (en) * 2006-03-28 2012-05-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus
EP2007923B1 (en) * 2006-04-10 2017-07-19 Solvay Fluor GmbH Etching process
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20080119055A1 (en) * 2006-11-21 2008-05-22 Lam Research Corporation Reducing twisting in ultra-high aspect ratio dielectric etch
US20090041925A1 (en) * 2007-06-13 2009-02-12 Advanced Refurbishment Technologies Llc System and Method for Endpoint Detection of a Process in a Chamber
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
JP5474291B2 (en) 2007-11-05 2014-04-16 株式会社アルバック Ashing equipment
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
JP2012506620A (en) * 2008-10-21 2012-03-15 アプライド マテリアルズ インコーポレイテッド Plasma source and process for cleaning the chamber
CN101736326B (en) * 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 Capacitively coupled plasma processing reactor
JP2010129666A (en) * 2008-11-26 2010-06-10 Hitachi Kokusai Electric Inc Substrate processing apparatus and method of manufacturing semiconductor device
EP2437850B1 (en) 2009-06-04 2014-11-19 Morgan Advanced Ceramics, Inc. Co-fired metal and ceramic composite feedthrough assemblies for use at least in implantable medical devices and methods for making the same
JP5442403B2 (en) * 2009-11-18 2014-03-12 東京エレクトロン株式会社 Substrate processing apparatus, cleaning method therefor, and recording medium recording program
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20130276820A1 (en) * 2010-08-25 2013-10-24 Jean-Charles Cigal Chemical vapor deposition chamber cleaning with molecular fluorine
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9396912B2 (en) 2011-10-31 2016-07-19 Lam Research Corporation Methods for mixed acid cleaning of showerhead electrodes
KR101495288B1 (en) * 2012-06-04 2015-02-24 피에스케이 주식회사 An apparatus and a method for treating a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10128118B2 (en) * 2012-09-26 2018-11-13 Applied Materials, Inc. Bottom and side plasma tuning having closed loop control
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140262031A1 (en) * 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
CN104233234A (en) * 2013-06-17 2014-12-24 沙嫣 PECVD (plasma enhanced chemical vapor deposition) furnace with fluorine cleaning device and fluorine cleaning method of furnace
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US20150111394A1 (en) * 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US20150361547A1 (en) * 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) * 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6434617B2 (en) * 2015-05-22 2018-12-05 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method using the same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10204795B2 (en) * 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN105834171B (en) * 2016-05-27 2019-01-22 山东华光光电子股份有限公司 A method of corrosion cleaning being carried out to graphite pallet using MOCVD device
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10240234B2 (en) 2017-02-22 2019-03-26 Applied Materials, Inc. Gas distribution apparatus for processing chambers
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
KR102366180B1 (en) * 2017-07-04 2022-02-22 세메스 주식회사 Apparatus for treating substrate
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US20190226087A1 (en) * 2018-01-24 2019-07-25 Applied Materials, Inc. Heated ceramic faceplate
US20190226088A1 (en) * 2018-01-24 2019-07-25 Applied Materials, Inc. High temperature faceplate with thermal choke and cooling
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
WO2020081235A1 (en) * 2018-10-16 2020-04-23 Lam Research Corporation Plasma enhanced wafer soak for thin film deposition
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
WO2020123119A1 (en) * 2018-12-10 2020-06-18 Applied Materials, Inc. Dome stress isolating layer
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2021021518A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Semiconductor processing chamber and methods for cleaning the same
US11666952B2 (en) * 2020-03-06 2023-06-06 Applied Materials, Inc. Condition selectable backside gas
CN111816541B (en) * 2020-07-22 2021-08-03 中国科学院长春光学精密机械与物理研究所 Thermal noise suppression system of low-temperature laser interferometry optical system

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4317844A (en) * 1975-07-28 1982-03-02 Rca Corporation Semiconductor device having a body of amorphous silicon and method of making the same
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
JP2681360B2 (en) * 1988-01-28 1997-11-26 富士通株式会社 Resist film remover
US5288146A (en) * 1990-03-23 1994-02-22 Baldwin Stephen P Rocking, rolling composters
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
JPH04129133A (en) * 1990-09-20 1992-04-30 Hitachi Ltd Ion source and plasma device
US5262610A (en) * 1991-03-29 1993-11-16 The United States Of America As Represented By The Air Force Low particulate reliability enhanced remote microwave plasma discharge device
JPH0521393A (en) * 1991-07-11 1993-01-29 Sony Corp Plasma processor
US5212118A (en) * 1991-08-09 1993-05-18 Saxena Arjun N Method for selective chemical vapor deposition of dielectric, semiconductor and conductive films on semiconductor and metallic substrates
US5292400A (en) * 1992-03-23 1994-03-08 Hughes Aircraft Company Method and apparatus for producing variable spatial frequency control in plasma assisted chemical etching
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
JPH0653176A (en) 1992-07-30 1994-02-25 Matsushita Electron Corp Dry etcher
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5531834A (en) * 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
JP3082624B2 (en) * 1994-12-28 2000-08-28 住友金属工業株式会社 How to use electrostatic chuck
JPH08279495A (en) * 1995-02-07 1996-10-22 Seiko Epson Corp Method and system for plasma processing
JPH09272979A (en) * 1996-04-09 1997-10-21 Citizen Watch Co Ltd Plasma film formation device and cleaning method therefor
US6626185B2 (en) * 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
US5882414A (en) * 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
US5935340A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
JPH10177993A (en) * 1996-12-18 1998-06-30 Hitachi Ltd Plasma processing device of parallel plate narrow electrode-type
US5882424A (en) * 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6125859A (en) * 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
JPH10280151A (en) 1997-04-08 1998-10-20 Fujitsu Ltd Cleaning of cvd system
US6149729A (en) * 1997-05-22 2000-11-21 Tokyo Electron Limited Film forming apparatus and method
US6077357A (en) * 1997-05-29 2000-06-20 Applied Materials, Inc. Orientless wafer processing on an electrostatic chuck
US6109206A (en) * 1997-05-29 2000-08-29 Applied Materials, Inc. Remote plasma source for chamber cleaning
TW452606B (en) * 1997-12-05 2001-09-01 Samsung Electronics Co Ltd Method for cleaning inside of chamber using RF plasma
US6110322A (en) * 1998-03-06 2000-08-29 Applied Materials, Inc. Prevention of ground fault interrupts in a semiconductor processing system
JP2000200779A (en) * 1998-10-30 2000-07-18 Toshiba Corp Etching method, chemical vapor deposition apparatus, cleaning method thereof and quartz member therefor
WO2000070117A1 (en) * 1999-05-14 2000-11-23 The Regents Of The University Of California Low-temperature compatible wide-pressure-range plasma flow device
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6199506B1 (en) * 1999-06-30 2001-03-13 Novellus Systems, Inc. Radio frequency supply circuit for in situ cleaning of plasma-enhanced chemical vapor deposition chamber using NF3 or NF3/He mixture
US6262610B1 (en) * 1999-08-25 2001-07-17 National Semiconductor Corporation Voltage sample and hold circuit for low leakage charge pump
CN1176055C (en) * 1999-12-02 2004-11-17 住友化学工业株式会社 Process for producing 4-methoxyl methyl-2,3,5.6-tetrachlorophenmethylol
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
JP4592867B2 (en) * 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 Parallel plate type plasma CVD apparatus and dry cleaning method
WO2001075188A2 (en) * 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
KR100434487B1 (en) * 2001-01-17 2004-06-05 삼성전자주식회사 Shower head & film forming apparatus having the same
JP4791637B2 (en) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 CVD apparatus and processing method using the same
CN1302152C (en) * 2001-03-19 2007-02-28 株式会社Ips Chemical vapor depositing apparatus
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6882414B2 (en) * 2002-06-19 2005-04-19 The Boeing Company Broadband infrared spectral surface spectroscopy
US6884464B2 (en) * 2002-11-04 2005-04-26 Applied Materials, Inc. Methods for forming silicon comprising films using hexachlorodisilane in a single-wafer deposion chamber
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor

Also Published As

Publication number Publication date
US7500445B2 (en) 2009-03-10
US20060231205A1 (en) 2006-10-19
US20170121813A1 (en) 2017-05-04
US20090145360A1 (en) 2009-06-11
US20140158048A1 (en) 2014-06-12
US20100095891A1 (en) 2010-04-22
US7465357B2 (en) 2008-12-16
US7464717B2 (en) 2008-12-16
US20060225767A1 (en) 2006-10-12
US20040144490A1 (en) 2004-07-29
US7654224B2 (en) 2010-02-02
WO2004067800A1 (en) 2004-08-12

Similar Documents

Publication Publication Date Title
WO2004067800B1 (en) Method and apparatus for cleaning a cvd chamber
US5882414A (en) Method and apparatus for self-cleaning a blocker plate
US5863339A (en) Chamber etching of plasma processing apparatus
KR102539151B1 (en) Substrate processing method
US6060397A (en) Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus
US20210130955A1 (en) Film forming apparatus and film forming method
US20210140044A1 (en) Film forming method and film forming apparatus
EP0680072A2 (en) A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling
US20080230008A1 (en) Plasma species and uniformity control through pulsed vhf operation
US20040139983A1 (en) Cleaning of CVD chambers using remote source with CXFYOZ based chemistry
WO2004102638A3 (en) Rf pulsing of a narrow gap capacitively coupled reactor
US20080305275A1 (en) CVD system and substrate cleaning method
WO1998001601B1 (en) Plasma chamber with separate process gas and cleaning gas injection ports
US20050082001A1 (en) Cleaning method and cleaning device
KR20210116260A (en) Inspection method, inspection apparatus, and plasma processing apparatus
US20040007247A1 (en) Plasma film-forming apparatus and cleaning method for the same
US20010051232A1 (en) Plasma processing method
JPH05125541A (en) Plasma treating device
CN106920727A (en) Plasma processing apparatus and its cleaning method
KR101108443B1 (en) Method of cleaning chamber using remote plasma
JPH06260428A (en) Plasma cvd device
US20010055552A1 (en) Plasma dry scrubber
KR200269266Y1 (en) plasma etching apparatus
JPH10242116A (en) Parallel flat plate type rie apparatus
KR20230104721A (en) Methods and apparatus for processing a substrate

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
B Later publication of amended claims

Effective date: 20040901

122 Ep: pct application non-entry in european phase