WO2004070788A3 - Method for depositing a low dielectric constant film - Google Patents

Method for depositing a low dielectric constant film Download PDF

Info

Publication number
WO2004070788A3
WO2004070788A3 PCT/US2004/002792 US2004002792W WO2004070788A3 WO 2004070788 A3 WO2004070788 A3 WO 2004070788A3 US 2004002792 W US2004002792 W US 2004002792W WO 2004070788 A3 WO2004070788 A3 WO 2004070788A3
Authority
WO
WIPO (PCT)
Prior art keywords
dielectric constant
low dielectric
constant film
depositing
silicon
Prior art date
Application number
PCT/US2004/002792
Other languages
French (fr)
Other versions
WO2004070788A2 (en
Inventor
Frederic Gaillard
Srinivas D Nemani
Original Assignee
Applied Materials Inc
Frederic Gaillard
Srinivas D Nemani
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc, Frederic Gaillard, Srinivas D Nemani filed Critical Applied Materials Inc
Priority to CN2004800002143A priority Critical patent/CN1698188B/en
Publication of WO2004070788A2 publication Critical patent/WO2004070788A2/en
Publication of WO2004070788A3 publication Critical patent/WO2004070788A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC

Abstract

A method for depositing a low dielectric constant film is provided. The low dielectric constant film includes at least one silicon oxycarbide layer and at least one substantially silicon-free layer comprising carbon and hydrogen. The layers are deposited from a gas mixture including an organosilicon compound and a silicon-free hydrocarbon-based compound. The low dielectric constant film is deposited by a plasma process than includes pulses of RF power.
PCT/US2004/002792 2003-01-31 2004-01-29 Method for depositing a low dielectric constant film WO2004070788A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN2004800002143A CN1698188B (en) 2003-01-31 2004-01-29 Method for depositing a low dielectric constant film

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/355,379 US6897163B2 (en) 2003-01-31 2003-01-31 Method for depositing a low dielectric constant film
US10/355,379 2003-01-31

Publications (2)

Publication Number Publication Date
WO2004070788A2 WO2004070788A2 (en) 2004-08-19
WO2004070788A3 true WO2004070788A3 (en) 2004-10-28

Family

ID=32770517

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/002792 WO2004070788A2 (en) 2003-01-31 2004-01-29 Method for depositing a low dielectric constant film

Country Status (3)

Country Link
US (1) US6897163B2 (en)
CN (1) CN1698188B (en)
WO (1) WO2004070788A2 (en)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7011890B2 (en) * 2003-03-03 2006-03-14 Applied Materials Inc. Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US7148154B2 (en) * 2003-08-20 2006-12-12 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and low film stress
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US7247582B2 (en) * 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US20070009673A1 (en) * 2005-07-06 2007-01-11 Asm Japan K.K. Insulation film and method for manufacturing same
JP4764155B2 (en) * 2005-12-06 2011-08-31 株式会社東芝 Insulating film forming method, semiconductor device manufacturing method, and program
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
WO2008094792A1 (en) * 2007-01-29 2008-08-07 Applied Materials, Inc. Novel air gap integration scheme
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
US7998536B2 (en) * 2007-07-12 2011-08-16 Applied Materials, Inc. Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
CN102034724B (en) * 2009-09-29 2012-05-30 中芯国际集成电路制造(上海)有限公司 Method for determining dielectric constant value of fluoride silicon glass in actual process
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US9514932B2 (en) * 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
CN103794491B (en) * 2012-10-29 2019-05-24 中芯国际集成电路制造(上海)有限公司 A kind of production method of low-dielectric constant layer
US9879340B2 (en) * 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
CN106433454A (en) * 2016-09-12 2017-02-22 上海至纯洁净系统科技股份有限公司 Method for forming protection layer on surface of object and product with protection layer formed on surface
US10553427B2 (en) * 2017-04-27 2020-02-04 Applied Materials, Inc. Low dielectric constant oxide and low resistance OP stack for 3D NAND application
CN108933088B (en) * 2017-05-25 2020-05-29 上海稷以科技有限公司 Packaging method and packaging structure
US11756786B2 (en) * 2019-01-18 2023-09-12 International Business Machines Corporation Forming high carbon content flowable dielectric film with low processing damage
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
US20210265158A1 (en) * 2020-02-25 2021-08-26 Asm Ip Holding B.V. Method of forming low-k material layer, structure including the layer, and system for forming same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0917184A2 (en) * 1997-10-31 1999-05-19 Dow Corning Corporation Electronic coatings having low dielectric constant
EP0960958A2 (en) * 1998-05-29 1999-12-01 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
EP1094506A2 (en) * 1999-10-18 2001-04-25 Applied Materials, Inc. Capping layer for extreme low dielectric constant films

Family Cites Families (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59128281A (en) * 1982-12-29 1984-07-24 信越化学工業株式会社 Manufacture of silicon carbide coated matter
US4845054A (en) * 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4981724A (en) * 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
US5003178A (en) * 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
JP2531906B2 (en) * 1991-09-13 1996-09-04 インターナショナル・ビジネス・マシーンズ・コーポレイション Foam polymer
JP2934353B2 (en) * 1992-06-24 1999-08-16 三菱電機株式会社 Semiconductor device and manufacturing method thereof
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
CA2137928C (en) * 1992-07-04 2002-01-29 Christopher David Dobson A method of treating a semiconductor wafer
US5389152A (en) 1992-10-09 1995-02-14 Avco Corporation Apparatus for densification of porous billets
US5753564A (en) * 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
JP2684942B2 (en) * 1992-11-30 1997-12-03 日本電気株式会社 Chemical vapor deposition method, chemical vapor deposition apparatus, and method for manufacturing multilayer wiring
US5668570A (en) * 1993-06-29 1997-09-16 Ditzik; Richard J. Desktop computer with adjustable flat panel screen
US5364666A (en) * 1993-09-23 1994-11-15 Becton, Dickinson And Company Process for barrier coating of plastic objects
JP2899600B2 (en) * 1994-01-25 1999-06-02 キヤノン販売 株式会社 Film formation method
US5888593A (en) * 1994-03-03 1999-03-30 Monsanto Company Ion beam process for deposition of highly wear-resistant optical coatings
US5618619A (en) * 1994-03-03 1997-04-08 Monsanto Company Highly abrasion-resistant, flexible coatings for soft substrates
US5858880A (en) * 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
JPH0855913A (en) * 1994-06-07 1996-02-27 Texas Instr Inc <Ti> Selective filling method for void in submicron interconnection
JPH08181210A (en) * 1994-12-26 1996-07-12 Toshiba Corp Manufacture of semiconductor device
JPH08181276A (en) * 1994-12-26 1996-07-12 Toshiba Corp Manufacture of semiconductor device
US6037274A (en) * 1995-02-17 2000-03-14 Fujitsu Limited Method for forming insulating film
US5637351A (en) * 1995-05-11 1997-06-10 Air Products And Chemicals, Inc. Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promoter
US6652922B1 (en) * 1995-06-15 2003-11-25 Alliedsignal Inc. Electron-beam processed films for microelectronics structures
JP3061255B2 (en) * 1995-08-18 2000-07-10 キヤノン販売株式会社 Film formation method
US5638251A (en) 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
JPH09116011A (en) * 1995-10-23 1997-05-02 Mitsubishi Electric Corp Semiconductor device and its manufacture
US5599740A (en) * 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5693563A (en) * 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5834162A (en) * 1996-10-28 1998-11-10 Regents Of The University Of California Process for 3D chip stacking
US6080526A (en) * 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
JPH1116904A (en) * 1997-06-26 1999-01-22 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US5891799A (en) * 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates
US6051321A (en) 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US7064088B2 (en) * 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
US6514880B2 (en) * 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6432846B1 (en) * 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6303047B1 (en) * 1999-03-22 2001-10-16 Lsi Logic Corporation Low dielectric constant multiple carbon-containing silicon oxide dielectric material for use in integrated circuit structures, and method of making same
US6524974B1 (en) * 1999-03-22 2003-02-25 Lsi Logic Corporation Formation of improved low dielectric constant carbon-containing silicon oxide dielectric material by reaction of carbon-containing silane with oxidizing agent in the presence of one or more reaction retardants
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6509259B1 (en) * 1999-06-09 2003-01-21 Alliedsignal Inc. Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6458720B1 (en) * 1999-07-23 2002-10-01 Matsushita Electric Industrial Co., Ltd. Method for forming interlayer dielectric film
US6316063B1 (en) * 1999-12-15 2001-11-13 Intel Corporation Method for preparing carbon doped oxide insulating layers
JP3348084B2 (en) * 1999-12-28 2002-11-20 キヤノン販売株式会社 Film forming method and semiconductor device
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
EP1123991A3 (en) * 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
JP3419745B2 (en) 2000-02-28 2003-06-23 キヤノン販売株式会社 Semiconductor device and manufacturing method thereof
US6444136B1 (en) * 2000-04-25 2002-09-03 Newport Fab, Llc Fabrication of improved low-k dielectric structures
CN1100162C (en) * 2000-09-26 2003-01-29 复旦大学 Alpha-SiCoF film as insulating dielectric with low dielectric constant and its preparation
US6756323B2 (en) 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6649540B2 (en) * 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
US6500773B1 (en) * 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
CN2477228Y (en) * 2001-03-29 2002-02-20 陈永祥 Handle structure of golf club
JP3505520B2 (en) * 2001-05-11 2004-03-08 松下電器産業株式会社 Interlayer insulating film
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
JP3749162B2 (en) * 2001-12-05 2006-02-22 キヤノン販売株式会社 Manufacturing method of semiconductor device
JP3701626B2 (en) * 2001-12-06 2005-10-05 キヤノン販売株式会社 Manufacturing method of semiconductor device
US7108771B2 (en) * 2001-12-13 2006-09-19 Advanced Technology Materials, Inc. Method for removal of impurities in cyclic siloxanes useful as precursors for low dielectric constant thin films
US7423166B2 (en) * 2001-12-13 2008-09-09 Advanced Technology Materials, Inc. Stabilized cyclosiloxanes for use as CVD precursors for low-dielectric constant thin films
US7196422B2 (en) * 2001-12-14 2007-03-27 Intel Corporation Low-dielectric constant structure with a multilayer stack of thin films with pores
US6818570B2 (en) * 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US6936309B2 (en) * 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US20040101632A1 (en) * 2002-11-22 2004-05-27 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
US7122880B2 (en) * 2002-05-30 2006-10-17 Air Products And Chemicals, Inc. Compositions for preparing low dielectric materials
US6734533B2 (en) * 2002-05-30 2004-05-11 Intel Corporation Electron-beam treated CDO films
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6737365B1 (en) * 2003-03-24 2004-05-18 Intel Corporation Forming a porous dielectric layer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0917184A2 (en) * 1997-10-31 1999-05-19 Dow Corning Corporation Electronic coatings having low dielectric constant
EP0960958A2 (en) * 1998-05-29 1999-12-01 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
EP1094506A2 (en) * 1999-10-18 2001-04-25 Applied Materials, Inc. Capping layer for extreme low dielectric constant films

Also Published As

Publication number Publication date
CN1698188A (en) 2005-11-16
CN1698188B (en) 2010-09-08
US6897163B2 (en) 2005-05-24
US20040152338A1 (en) 2004-08-05
WO2004070788A2 (en) 2004-08-19

Similar Documents

Publication Publication Date Title
WO2004070788A3 (en) Method for depositing a low dielectric constant film
US11282698B2 (en) Method of forming topology-controlled amorphous carbon polymer film
WO2005087974A3 (en) Cvd processes for the deposition of amorphous carbon films
CN103119695B (en) The plasma of conformal film activates deposition
KR101573299B1 (en) Flowable dielectric equipment and processes
US7779785B2 (en) Production method for semiconductor device and substrate processing apparatus
TW200605221A (en) Adhesion improvement for low k dielectrics
CN101796885B (en) Organic electronic device, organic electronic device manufacturing method, organic electronic device manufacturing apparatus, substrate processing system, protection film structure and storage medium with control program stored therein
WO2004063422A3 (en) Method for curing low dielectric constant film using direct current bias
EP0874391A3 (en) Process for depositing a Halogen-doped SiO2 layer
US20110165057A1 (en) Plasma cvd device, dlc film, and method for depositing thin film
US20030216027A1 (en) Method of forming insulating layer in semiconductor device
US20050032382A1 (en) Staggered in-situ deposition and etching of a dielectric layer for HDP CVD
WO2006078719A3 (en) Interface engineering to improve adhesion between low k stacks
JP2015521375A (en) Improved densification for flowable membranes
EP2422359A2 (en) Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls
WO2007111837A3 (en) Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
WO1999041423A3 (en) Plasma processes for depositing low dielectric constant films
WO2011109148A2 (en) Conformal layers by radical-component cvd
JPH1167746A (en) Control of oxygen/silane ratio in seasoning process for improving particle characteristic in hop-cvd device
WO2010077728A3 (en) Densification process for titanium nitride layer for submicron applications
WO2003095702A3 (en) Method for curing low dielectric constant film by electron beam
WO2004082003A3 (en) Apparatuses and methods for forming a substantially facet-free epitaxial film
EP0880166A3 (en) Method and apparatus for depositing an etch stop layer
CN104380440A (en) Pattern forming method and substrate processing system

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 20048002143

Country of ref document: CN

122 Ep: pct application non-entry in european phase