WO2004083495A3 - Ultra low k (ulk) sicoh film and method - Google Patents

Ultra low k (ulk) sicoh film and method Download PDF

Info

Publication number
WO2004083495A3
WO2004083495A3 PCT/US2004/008195 US2004008195W WO2004083495A3 WO 2004083495 A3 WO2004083495 A3 WO 2004083495A3 US 2004008195 W US2004008195 W US 2004008195W WO 2004083495 A3 WO2004083495 A3 WO 2004083495A3
Authority
WO
WIPO (PCT)
Prior art keywords
ultra low
hardness
elastic modulus
ulk
multiphase
Prior art date
Application number
PCT/US2004/008195
Other languages
French (fr)
Other versions
WO2004083495A2 (en
Inventor
Stephen M Gates
Alfred Grill
Original Assignee
Ibm
Stephen M Gates
Alfred Grill
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm, Stephen M Gates, Alfred Grill filed Critical Ibm
Priority to DE200460028922 priority Critical patent/DE602004028922D1/en
Priority to AT04757577T priority patent/ATE479729T1/en
Priority to EP20040757577 priority patent/EP1617957B1/en
Priority to CN200480012920XA priority patent/CN1787881B/en
Priority to JP2006507287A priority patent/JP2006521019A/en
Publication of WO2004083495A2 publication Critical patent/WO2004083495A2/en
Publication of WO2004083495A3 publication Critical patent/WO2004083495A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/02Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/06Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23BTURNING; BORING
    • B23B5/00Turning-machines or devices specially adapted for particular work; Accessories specially adapted therefor
    • B23B5/18Turning-machines or devices specially adapted for particular work; Accessories specially adapted therefor for turning crankshafts, eccentrics, or cams, e.g. crankpin lathes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249978Voids specified as micro

Abstract

The present invention provides a multiphase, ultra low k film exhibiting improved elastic modulus and hardness, and various methods for forming the same. The multiphase, ultra low k dielectric film includes atoms of Si, C, O and H, represented by (104), (103), (102) and (101) respectively, has a dielectric constant of about 2.4 or less, nanosized pores or voids, an elastic modulus of about 5 or greater and a hardness of about 0.7 or greater. A preferred film includes atoms of Si, C, O and H, has a dielectric constant of about 2.2 or less, nanosized pores or voids, an elastic modulus of about 3 or greater and a hardness of about 0.3 or greater. These films consist of a first phase (100) of “host” matrix that is a random network of hydrogenated oxidize silicon carbon material (SiCOH), and a second phase (105) consisting essentially of C and H atoms.
PCT/US2004/008195 2003-03-18 2004-03-17 Ultra low k (ulk) sicoh film and method WO2004083495A2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
DE200460028922 DE602004028922D1 (en) 2003-03-18 2004-03-17 A method of making a film of extremely low dielectric constant
AT04757577T ATE479729T1 (en) 2003-03-18 2004-03-17 METHOD FOR PRODUCING AN EXTREMELY LOW DILECTRIC CONSTANT FILM
EP20040757577 EP1617957B1 (en) 2003-03-18 2004-03-17 Method of forming an ultra low dielectric constant film
CN200480012920XA CN1787881B (en) 2003-03-18 2004-03-17 Ultra low k (ulk) SiCOH film and manufacture method thereof
JP2006507287A JP2006521019A (en) 2003-03-18 2004-03-17 Ultra-low-k (ULK) SiCOH film and method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/390,801 US7288292B2 (en) 2003-03-18 2003-03-18 Ultra low k (ULK) SiCOH film and method
US10/390,801 2003-03-18

Publications (2)

Publication Number Publication Date
WO2004083495A2 WO2004083495A2 (en) 2004-09-30
WO2004083495A3 true WO2004083495A3 (en) 2005-02-03

Family

ID=33029679

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/008195 WO2004083495A2 (en) 2003-03-18 2004-03-17 Ultra low k (ulk) sicoh film and method

Country Status (9)

Country Link
US (3) US7288292B2 (en)
EP (1) EP1617957B1 (en)
JP (3) JP2006521019A (en)
KR (1) KR100724508B1 (en)
CN (1) CN1787881B (en)
AT (1) ATE479729T1 (en)
DE (1) DE602004028922D1 (en)
TW (1) TWI281707B (en)
WO (1) WO2004083495A2 (en)

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7384471B2 (en) 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US8293001B2 (en) 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
TWI282124B (en) * 2002-11-28 2007-06-01 Tosoh Corp Insulating film material containing an organic silane compound, its production method and semiconductor device
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
TWI240959B (en) 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US8137764B2 (en) 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US7968471B2 (en) * 2003-11-28 2011-06-28 Nec Corporation Porous insulating film, method for producing the same, and semiconductor device using the same
US7341761B1 (en) * 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7547643B2 (en) * 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7491658B2 (en) * 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
DE102005007825B4 (en) 2005-01-10 2015-09-17 Interpane Entwicklungs-Und Beratungsgesellschaft Mbh Method for producing a reflection-reducing coating, reflection-reducing layer on a transparent substrate and use of such a layer
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7253105B2 (en) * 2005-02-22 2007-08-07 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US20080009141A1 (en) * 2006-07-05 2008-01-10 International Business Machines Corporation Methods to form SiCOH or SiCNH dielectrics and structures including the same
US8080282B2 (en) * 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
KR100845941B1 (en) * 2007-03-27 2008-07-14 성균관대학교산학협력단 Manufacturing method of low-k thin films and after annealing processes using rta, low-k thin films manufactured therefrom
CN101126148B (en) * 2007-07-27 2010-04-21 北京印刷学院 Nano thin film with separation and protection function and manufacturing method thereof
US20090061649A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
US20090061237A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
KR100909757B1 (en) * 2007-10-31 2009-07-29 주식회사 하이닉스반도체 Method of forming interlayer insulating film of semiconductor device
KR100962044B1 (en) 2007-12-06 2010-06-08 성균관대학교산학협력단 Plasma polymerized thin film and manufacturing method thereof
US20100143580A1 (en) * 2008-05-28 2010-06-10 American Air Liquide, Inc. Stabilization of Bicycloheptadiene
US20090324928A1 (en) * 2008-06-26 2009-12-31 Vijayakumar Ramachandrarao Forming ultra low dielectric constant porous dielectric films and structures formed thereby
CN101580410B (en) * 2008-08-29 2012-08-29 广东大众农业科技股份有限公司 Method for producing alkaline organic fertilizer by papermaking sludge
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
KR20110125651A (en) 2009-03-10 2011-11-21 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Cyclic amino compounds for low-k silylation
US8266503B2 (en) 2009-03-13 2012-09-11 Fusion-Io Apparatus, system, and method for using multi-level cell storage in a single-level cell mode
US8261158B2 (en) 2009-03-13 2012-09-04 Fusion-Io, Inc. Apparatus, system, and method for using multi-level cell solid-state storage as single level cell solid-state storage
JP5559775B2 (en) * 2009-04-30 2014-07-23 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
EP2319821A1 (en) 2009-11-06 2011-05-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Stabilization of bicycloheptadiene
US8481355B2 (en) * 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8380915B2 (en) 2010-01-27 2013-02-19 Fusion-Io, Inc. Apparatus, system, and method for managing solid-state storage media
US8492239B2 (en) 2010-01-27 2013-07-23 International Business Machines Corporation Homogeneous porous low dielectric constant materials
US8315092B2 (en) * 2010-01-27 2012-11-20 Fusion-Io, Inc. Apparatus, system, and method for determining a read voltage threshold for solid-state storage media
US8661184B2 (en) 2010-01-27 2014-02-25 Fusion-Io, Inc. Managing non-volatile media
US8854882B2 (en) 2010-01-27 2014-10-07 Intelligent Intellectual Property Holdings 2 Llc Configuring storage cells
US8314005B2 (en) 2010-01-27 2012-11-20 International Business Machines Corporation Homogeneous porous low dielectric constant materials
JP2013520030A (en) 2010-02-17 2013-05-30 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Deposition method of SiCOHLOW-K film
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) * 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8927430B2 (en) 2011-07-12 2015-01-06 International Business Machines Corporation Overburden removal for pore fill integration approach
US8541301B2 (en) 2011-07-12 2013-09-24 International Business Machines Corporation Reduction of pore fill material dewetting
US8828489B2 (en) 2011-08-19 2014-09-09 International Business Machines Corporation Homogeneous modification of porous films
JP6105204B2 (en) * 2012-02-10 2017-03-29 株式会社日立ハイテクサイエンス Sample preparation method for TEM observation
US8804415B2 (en) 2012-06-19 2014-08-12 Fusion-Io, Inc. Adaptive voltage range management in non-volatile memory
US8753449B2 (en) * 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
US9196849B2 (en) * 2013-01-09 2015-11-24 Research & Business Foundation Sungkyunkwan University Polymer/inorganic multi-layer encapsulation film
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US9058983B2 (en) 2013-06-17 2015-06-16 International Business Machines Corporation In-situ hardmask generation
KR101506801B1 (en) * 2013-08-19 2015-03-30 성균관대학교산학협력단 Plasma polymerized thin film having high hardness and low dielectric constant and manufacturing method thereof
US9371430B2 (en) 2013-08-19 2016-06-21 Research & Business Foundation Sungkyunkwan University Porous film with high hardness and a low dielectric constant and preparation method thereof
KR101836417B1 (en) 2014-01-29 2018-03-09 어플라이드 머티어리얼스, 인코포레이티드 Low temperature cure modulus enhancement
CN103996654B (en) * 2014-06-09 2017-01-25 苏州大学 Method for manufacturing multi-phase low-dielectric-constant material layer
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US11749563B2 (en) * 2018-06-27 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interlayer dielectric layer
CN110158052B (en) * 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 Low dielectric constant film and method for producing the same
TWI814856B (en) 2019-07-05 2023-09-11 聯華電子股份有限公司 Semiconductor device and method for fabricating the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6361837B2 (en) * 1999-01-15 2002-03-26 Advanced Micro Devices, Inc. Method and system for modifying and densifying a porous film
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US20020127416A1 (en) * 1999-10-18 2002-09-12 Honeywell International Inc. Deposition of fluorosilsesquioxane films
US20020142579A1 (en) * 2001-01-17 2002-10-03 Vincent Jean Louise Organosilicon precursors for interlayer dielectric films with low dielectric constants

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2917490A1 (en) * 1979-04-30 1980-11-13 Basf Ag METHOD FOR PRODUCING ALIPHATIC AND CYCLOALIPHATIC DI- AND POLYURETHANES
US4473516A (en) * 1983-01-03 1984-09-25 Hoover Universal, Inc. Method and apparatus for injection molding plastic articles having solid exterior surfaces and porous interior cores
US4569814A (en) * 1984-07-03 1986-02-11 Motorola, Inc. Preforming of preheated plastic pellets for use in transfer molding
US4814137A (en) * 1988-02-16 1989-03-21 Westinghouse Electric Corp. High performance reliability fuel pellet
US5043199A (en) * 1988-10-31 1991-08-27 Fujitsu Limited Resin tablet for plastic encapsulation and method of manufacturing of plastic encapsulation using the resin tablet
NL9200127A (en) * 1992-01-23 1993-08-16 Ireneus Johannes Theodorus Mar METHOD FOR PRESSING IN A MOLDING SECTION OF A PLASTIC CURED BY A REACTION, A PILLARED PRESSURE MATERIAL TO BE USED THEREIN AND A HOLDER OF SUCH MATERIALS
JPH06312793A (en) * 1993-04-26 1994-11-08 Mk Seiko Co Ltd Engine-oil changer
EP0742586A3 (en) * 1995-05-02 1998-03-11 Texas Instruments Incorporated Improvements in or relating to integrated circuits
US5888443A (en) * 1996-05-02 1999-03-30 Texas Instruments Incorporated Method for manufacturing prepackaged molding compound for component encapsulation
US6091157A (en) * 1997-12-05 2000-07-18 Advanced Micro Devices, Inc. Method to improve internal package delamination and wire bond reliability using non-homogeneous molding compound pellets
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6071457A (en) * 1998-09-24 2000-06-06 Texas Instruments Incorporated Bellows container packaging system and method
JP2001115021A (en) * 1999-10-18 2001-04-24 Asahi Kasei Corp Silica precursor/organic polymer composition
US6203319B1 (en) * 1999-12-01 2001-03-20 Edward Stanley Lee Pellet-forming mold for dental filling materials
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6270846B1 (en) * 2000-03-02 2001-08-07 Sandia Corporation Method for making surfactant-templated, high-porosity thin films
US6576568B2 (en) 2000-04-04 2003-06-10 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
US6573131B2 (en) * 2000-07-13 2003-06-03 The Regents Of The University Of California Silica zeolite low-k dielectric thin films and methods for their production
CN1257547C (en) * 2000-08-02 2006-05-24 国际商业机器公司 Multiphase low dielectric constant material and method of deposition
US6790789B2 (en) * 2000-10-25 2004-09-14 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
SG137695A1 (en) * 2000-10-25 2007-12-28 Ibm Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dieletric in a semiconductor device
US6756323B2 (en) * 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6649540B2 (en) * 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
KR100343938B1 (en) * 2000-11-29 2002-07-20 Samsung Electronics Co Ltd Preparation method of interlayer insulation membrane of semiconductor
US6572923B2 (en) * 2001-01-12 2003-06-03 The Boc Group, Inc. Asymmetric organocyclosiloxanes and their use for making organosilicon polymer low-k dielectric film
SG98468A1 (en) * 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
US20020132496A1 (en) * 2001-02-12 2002-09-19 Ball Ian J. Ultra low-k dielectric materials
US6677680B2 (en) * 2001-02-28 2004-01-13 International Business Machines Corporation Hybrid low-k interconnect structure comprised of 2 spin-on dielectric materials
JP2002280379A (en) * 2001-03-15 2002-09-27 Asahi Kasei Corp Porous silica thin film for insulating thin film
US6780499B2 (en) * 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
JP2003142476A (en) * 2001-11-01 2003-05-16 Asahi Kasei Corp Porous silica thin film for insulation thin film
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307343B2 (en) * 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US7122880B2 (en) * 2002-05-30 2006-10-17 Air Products And Chemicals, Inc. Compositions for preparing low dielectric materials
AU2003302222A1 (en) * 2002-07-22 2004-06-30 Massachusetts Institute Of Technolgoy Porous material formation by chemical vapor deposition onto colloidal crystal templates
TWI273090B (en) * 2002-09-09 2007-02-11 Mitsui Chemicals Inc Method for modifying porous film, modified porous film and use of same
JP4231996B2 (en) 2002-11-14 2009-03-04 信越化学工業株式会社 Silicone rubber composition for air bag sealant
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US7011890B2 (en) * 2003-03-03 2006-03-14 Applied Materials Inc. Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US7479327B2 (en) * 2003-05-27 2009-01-20 Exxonmobil Chemical Patents Inc. Tie-layer materials for use with ionomer-based films and sheets as skins on other materials
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US7968471B2 (en) * 2003-11-28 2011-06-28 Nec Corporation Porous insulating film, method for producing the same, and semiconductor device using the same
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050161060A1 (en) * 2004-01-23 2005-07-28 Johnson Andrew D. Cleaning CVD chambers following deposition of porogen-containing materials
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7229934B2 (en) * 2004-10-18 2007-06-12 International Business Machines Corporation Porous organosilicates with improved mechanical properties
US7202564B2 (en) * 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US20070009673A1 (en) * 2005-07-06 2007-01-11 Asm Japan K.K. Insulation film and method for manufacturing same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6361837B2 (en) * 1999-01-15 2002-03-26 Advanced Micro Devices, Inc. Method and system for modifying and densifying a porous film
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6437443B1 (en) * 1999-05-26 2002-08-20 International Business Machines Corporation Multiphase low dielectric constant material and method of deposition
US20020127416A1 (en) * 1999-10-18 2002-09-12 Honeywell International Inc. Deposition of fluorosilsesquioxane films
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US20020142579A1 (en) * 2001-01-17 2002-10-03 Vincent Jean Louise Organosilicon precursors for interlayer dielectric films with low dielectric constants

Also Published As

Publication number Publication date
EP1617957A2 (en) 2006-01-25
ATE479729T1 (en) 2010-09-15
KR20050110649A (en) 2005-11-23
US20050276930A1 (en) 2005-12-15
US7288292B2 (en) 2007-10-30
JP2006521019A (en) 2006-09-14
EP1617957A4 (en) 2007-03-28
US20090297823A1 (en) 2009-12-03
US20080026203A1 (en) 2008-01-31
CN1787881B (en) 2012-12-26
TW200428493A (en) 2004-12-16
EP1617957B1 (en) 2010-09-01
DE602004028922D1 (en) 2010-10-14
TWI281707B (en) 2007-05-21
JP2011082540A (en) 2011-04-21
WO2004083495A2 (en) 2004-09-30
KR100724508B1 (en) 2007-06-07
JP2012109589A (en) 2012-06-07
CN1787881A (en) 2006-06-14
JP5511781B2 (en) 2014-06-04

Similar Documents

Publication Publication Date Title
WO2004083495A3 (en) Ultra low k (ulk) sicoh film and method
EP1837086A3 (en) Low dielectric materials and methods for making same
AU2002303576A1 (en) Ordered two-phase dielectric film, and semiconductor device containing the same
AU2002247089A1 (en) Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
EP0730313A3 (en) Article comprising alpha-hexathienyl
WO2003058390A3 (en) Apparatus, method and system for generating a unique hardware adaptation for content
EP1278353A3 (en) Dynamic jitter buffering for voice-over-ip and other packet-based communication systems
CA2248801A1 (en) Improved piezoelectric ceramic-polymer composites
WO2005074449A3 (en) Structure comprising amorphous carbon film and method of forming thereof
WO2004021926A3 (en) Embolic protection filter assembly
EP1192945A3 (en) Use of an estrogen agonist/antagonist for treating osteoarthritis
WO2003041185A3 (en) Organic thin film transistor with polymeric interface
EP1182275A3 (en) Method of forming an interlayer insulating film
AU2001271308A1 (en) Polishing composition for metal cmp
AU2002365508A1 (en) Apparatus and method for modification of magnetically immobilized biomolecules
AU2003230692A1 (en) Nanoparticulate compositions of map kinase inhibitors
WO2002060112A3 (en) Apparatus, method and system for multiple resolution affecting information access
WO2003095701A8 (en) Volatile copper(ii) complexes for deposition of copper films by atomic layer deposition
WO2001041973A3 (en) Chemical-mechanical polishing method
WO2007117320A3 (en) A method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
AU2003266410A1 (en) High-k dielectric film, method of forming the same and related semiconductor device
AU2002365488A1 (en) Method for the fabrication of silicon nitride, silicon oxynitride, and silicon oxide films by chemical vapor deposition
WO2003021651A1 (en) Polishing fluid for metallic film and method for producing semiconductor substrate using the same
HUP0202739A2 (en) R 22 replacement refrigerant
WO2005046993A3 (en) Printing surface preparation methods and apparatus incorporating same

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DPEN Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed from 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020057016233

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2006507287

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2004757577

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2733/CHENP/2005

Country of ref document: IN

WWE Wipo information: entry into national phase

Ref document number: 2004812920X

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 1020057016233

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2004757577

Country of ref document: EP

WWG Wipo information: grant in national office

Ref document number: 1020057016233

Country of ref document: KR