WO2004085491A3 - Removal of cmp and post-cmp residue from semiconductors using supercritical carbon dioxide process - Google Patents

Removal of cmp and post-cmp residue from semiconductors using supercritical carbon dioxide process Download PDF

Info

Publication number
WO2004085491A3
WO2004085491A3 PCT/US2004/009223 US2004009223W WO2004085491A3 WO 2004085491 A3 WO2004085491 A3 WO 2004085491A3 US 2004009223 W US2004009223 W US 2004009223W WO 2004085491 A3 WO2004085491 A3 WO 2004085491A3
Authority
WO
WIPO (PCT)
Prior art keywords
cmp
carbon dioxide
supercritical carbon
post
semiconductors
Prior art date
Application number
PCT/US2004/009223
Other languages
French (fr)
Other versions
WO2004085491A2 (en
Inventor
William H Mullee
Leeuwe Marc De
Glenn A Roberson Jr
Bentley J Palmer
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of WO2004085491A2 publication Critical patent/WO2004085491A2/en
Publication of WO2004085491A3 publication Critical patent/WO2004085491A3/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/10Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration
    • B08B3/12Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration by sonic or ultrasonic vibrations
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • C11D2111/22

Abstract

A method of post chemical mechanical polishing (CMP) cleaning to remove a CMP residue from a surface of an object is disclosed. The object is placed within a pressure chamber. The pressure chamber is pressurized. A supercritical carbon dioxide process is performed to remove a residual CMP residue from the surface of the object. The pressure chamber is vented.
PCT/US2004/009223 2003-03-24 2004-03-24 Removal of cmp and post-cmp residue from semiconductors using supercritical carbon dioxide process WO2004085491A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/396,612 US7064070B2 (en) 1998-09-28 2003-03-24 Removal of CMP and post-CMP residue from semiconductors using supercritical carbon dioxide process
US10/396,612 2003-03-24

Publications (2)

Publication Number Publication Date
WO2004085491A2 WO2004085491A2 (en) 2004-10-07
WO2004085491A3 true WO2004085491A3 (en) 2004-11-04

Family

ID=33096796

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/009223 WO2004085491A2 (en) 2003-03-24 2004-03-24 Removal of cmp and post-cmp residue from semiconductors using supercritical carbon dioxide process

Country Status (3)

Country Link
US (1) US7064070B2 (en)
TW (1) TWI283900B (en)
WO (1) WO2004085491A2 (en)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7326673B2 (en) * 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
TWI302950B (en) * 2002-01-28 2008-11-11 Mitsubishi Chem Corp Cleaning solution and method of cleanimg board of semiconductor device
US7645344B2 (en) * 2003-10-08 2010-01-12 Micron Technology, Inc. Method of cleaning semiconductor surfaces
KR100534103B1 (en) * 2004-01-14 2005-12-06 삼성전자주식회사 Method of fabricating a microelectronic device using supercritical fluid
DE602005024772D1 (en) * 2004-03-01 2010-12-30 Mallinckrodt Baker Inc NANOELECTRONIC AND MICROELECTRONIC CLEANING AGENTS
US7387973B2 (en) * 2004-09-30 2008-06-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving low-K dielectrics by supercritical fluid treatments
US20060280027A1 (en) * 2005-06-10 2006-12-14 Battelle Memorial Institute Method and apparatus for mixing fluids
US20090301996A1 (en) * 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
DE102006015382A1 (en) 2006-04-03 2007-10-04 Robert Bosch Gmbh Process to treat surgical implant having nano-scale pores with carbon dioxide in supercritical condition
US20070240740A1 (en) * 2006-04-13 2007-10-18 Mcdermott Wayne T Cleaning of contaminated articles by aqueous supercritical oxidation
US20070240734A1 (en) * 2006-04-14 2007-10-18 Ching-Wen Teng Method of cleaning post-cmp wafer
SG136823A1 (en) * 2006-04-19 2007-11-29 United Microelectronics Corp Method of cleaning post-cmp wafer
US8205625B2 (en) * 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
US8309468B1 (en) * 2011-04-28 2012-11-13 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method for polishing germanium-antimony-tellurium alloys
US8790160B2 (en) * 2011-04-28 2014-07-29 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method for polishing phase change alloys
US8629063B2 (en) * 2011-06-08 2014-01-14 International Business Machines Corporation Forming features on a substrate having varying feature densities
EP2839503A4 (en) * 2012-04-17 2016-03-23 Praxair Technology Inc System for delivery of purified multiple phases of carbon dioxide to a process tool
US9937536B2 (en) * 2012-07-12 2018-04-10 Taiwan Semiconductor Manufacturing Company Limited Air purge cleaning for semiconductor polishing apparatus
US10832917B2 (en) 2017-06-09 2020-11-10 International Business Machines Corporation Low oxygen cleaning for CMP equipment
US10170343B1 (en) * 2017-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Post-CMP cleaning apparatus and method with brush self-cleaning function
US10695804B2 (en) * 2018-01-25 2020-06-30 Applied Materials, Inc. Equipment cleaning apparatus and method
US20220310404A1 (en) * 2021-03-25 2022-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing tool and methods of operation
CN113436998B (en) * 2021-07-02 2022-02-18 江苏鑫华半导体材料科技有限公司 Supercritical carbon dioxide silicon block cleaning device, silicon block processing system and method
CN117490268B (en) * 2023-12-29 2024-03-26 广州广钢气体能源股份有限公司 Carbon dioxide cooling system for chip cleaning and conveying system

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4944837A (en) * 1988-02-29 1990-07-31 Masaru Nishikawa Method of processing an article in a supercritical atmosphere
US5679169A (en) * 1995-12-19 1997-10-21 Micron Technology, Inc. Method for post chemical-mechanical planarization cleaning of semiconductor wafers
US6021791A (en) * 1998-06-29 2000-02-08 Speedfam-Ipec Corporation Method and apparatus for immersion cleaning of semiconductor devices

Family Cites Families (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2439689A (en) 1948-04-13 Method of rendering glass
US2617719A (en) 1950-12-29 1952-11-11 Stanolind Oil & Gas Co Cleaning porous media
US2993449A (en) 1959-03-09 1961-07-25 Hydratomic Engineering Corp Motor-pump
US3135211A (en) 1960-09-28 1964-06-02 Integral Motor Pump Corp Motor and pump assembly
US3642020A (en) 1969-11-17 1972-02-15 Cameron Iron Works Inc Pressure operated{13 positive displacement shuttle valve
GB1392822A (en) 1971-03-02 1975-04-30 Comitato Nazionale Per Lenergi Extraction of metals from solutions
US3890176A (en) 1972-08-18 1975-06-17 Gen Electric Method for removing photoresist from substrate
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4219333A (en) 1978-07-03 1980-08-26 Harris Robert D Carbonated cleaning solution
US4349415A (en) 1979-09-28 1982-09-14 Critical Fluid Systems, Inc. Process for separating organic liquid solutes from their solvent mixtures
US4475993A (en) 1983-08-15 1984-10-09 The United States Of America As Represented By The United States Department Of Energy Extraction of trace metals from fly ash
US4877530A (en) 1984-04-25 1989-10-31 Cf Systems Corporation Liquid CO2 /cosolvent extraction
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4925790A (en) 1985-08-30 1990-05-15 The Regents Of The University Of California Method of producing products by enzyme-catalyzed reactions in supercritical fluids
EP0290098B1 (en) 1987-05-07 1990-11-14 Micafil Ag Process and apparatus for extracting oil or polychlorinated biphenyls from impregnated electrical components by means of a solvating agent, and distillation of the solvent
DE3725565A1 (en) 1987-08-01 1989-02-16 Peter Weil METHOD AND SYSTEM FOR DE-PAINTING OBJECTS WITH A SUBMERSIBLE CONTAINER WITH SOLVENT
US5105556A (en) 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
US4933404A (en) 1987-11-27 1990-06-12 Battelle Memorial Institute Processes for microemulsion polymerization employing novel microemulsion systems
DE3887681T2 (en) 1987-11-27 1994-05-11 Battelle Memorial Institute Supercritical micelle separation in the reverse liquid phase.
US5266205A (en) 1988-02-04 1993-11-30 Battelle Memorial Institute Supercritical fluid reverse micelle separation
US5185296A (en) 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
CA2027550C (en) 1989-02-16 1995-12-26 Janusz B. Pawliszyn Apparatus and method for delivering supercritical fluid
US5068040A (en) 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5288333A (en) 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US4923828A (en) 1989-07-07 1990-05-08 Eastman Kodak Company Gaseous cleaning method for silicon devices
JP2888253B2 (en) 1989-07-20 1999-05-10 富士通株式会社 Chemical vapor deposition and apparatus for its implementation
US5213619A (en) 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5269850A (en) 1989-12-20 1993-12-14 Hughes Aircraft Company Method of removing organic flux using peroxide composition
US5196134A (en) 1989-12-20 1993-03-23 Hughes Aircraft Company Peroxide composition for removing organic contaminants and method of using same
US5370741A (en) 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
US5071485A (en) 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
US5285332A (en) * 1990-09-28 1994-02-08 Matsushita Electric Industrial Co., Ltd. Tape loading device with reduced tape running load and simplified construction for magnetic recording and/or reproducing apparatus
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP2782560B2 (en) 1990-12-12 1998-08-06 富士写真フイルム株式会社 Stabilizing processing solution and method for processing silver halide color photographic light-sensitive material
US5306350A (en) 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
EP0496605B1 (en) 1991-01-24 2001-08-01 Wako Pure Chemical Industries Ltd Surface treating solutions for semiconductors
US5185058A (en) 1991-01-29 1993-02-09 Micron Technology, Inc. Process for etching semiconductor devices
US5201960A (en) 1991-02-04 1993-04-13 Applied Photonics Research, Inc. Method for removing photoresist and other adherent materials from substrates
DE59204395D1 (en) 1991-05-17 1996-01-04 Ciba Geigy Ag Process for dyeing hydrophobic textile material with disperse dyes from supercritical CO2.
US5730874A (en) 1991-06-12 1998-03-24 Idaho Research Foundation, Inc. Extraction of metals using supercritical fluid and chelate forming legand
US5356538A (en) 1991-06-12 1994-10-18 Idaho Research Foundation, Inc. Supercritical fluid extraction
US5225173A (en) 1991-06-12 1993-07-06 Idaho Research Foundation, Inc. Methods and devices for the separation of radioactive rare earth metal isotopes from their alkaline earth metal precursors
US5274129A (en) 1991-06-12 1993-12-28 Idaho Research Foundation, Inc. Hydroxamic acid crown ethers
US5174917A (en) 1991-07-19 1992-12-29 Monsanto Company Compositions containing n-ethyl hydroxamic acid chelants
US5320742A (en) 1991-08-15 1994-06-14 Mobil Oil Corporation Gasoline upgrading process
US5431843A (en) 1991-09-04 1995-07-11 The Clorox Company Cleaning through perhydrolysis conducted in dense fluid medium
GB2259525B (en) 1991-09-11 1995-06-28 Ciba Geigy Ag Process for dyeing cellulosic textile material with disperse dyes
EP0543779A1 (en) 1991-11-20 1993-05-26 Ciba-Geigy Ag Process for optical bleaching of hydrophobic textile material with disperse optical brightness in supercritical CO2
KR930019861A (en) 1991-12-12 1993-10-19 완다 케이. 덴슨-로우 Coating method using dense gas
US5550211A (en) 1991-12-18 1996-08-27 Schering Corporation Method for removing residual additives from elastomeric articles
US5474812A (en) 1992-01-10 1995-12-12 Amann & Sohne Gmbh & Co. Method for the application of a lubricant on a sewing yarn
US5688879A (en) 1992-03-27 1997-11-18 The University Of North Carolina At Chapel Hill Method of making fluoropolymers
JPH0613361A (en) 1992-06-26 1994-01-21 Tokyo Electron Ltd Processing apparatus
US5401322A (en) 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5352327A (en) 1992-07-10 1994-10-04 Harris Corporation Reduced temperature suppression of volatilization of photoexcited halogen reaction products from surface of silicon wafer
US5370742A (en) 1992-07-13 1994-12-06 The Clorox Company Liquid/supercritical cleaning with decreased polymer damage
US5285352A (en) 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
US5316591A (en) 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5456759A (en) 1992-08-10 1995-10-10 Hughes Aircraft Company Method using megasonic energy in liquefied gases
US5261965A (en) 1992-08-28 1993-11-16 Texas Instruments Incorporated Semiconductor wafer cleaning using condensed-phase processing
EP0591595A1 (en) 1992-10-08 1994-04-13 International Business Machines Corporation Molecular recording/reproducing method and recording medium
US5294261A (en) 1992-11-02 1994-03-15 Air Products And Chemicals, Inc. Surface cleaning using an argon or nitrogen aerosol
US5328722A (en) 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
US5514220A (en) 1992-12-09 1996-05-07 Wetmore; Paula M. Pressure pulse cleaning
AU5869994A (en) 1992-12-11 1994-07-04 Regents Of The University Of California, The Microelectromechanical signal processors
JP3356480B2 (en) 1993-03-18 2002-12-16 株式会社日本触媒 Leakless pump
US5403665A (en) 1993-06-18 1995-04-04 Regents Of The University Of California Method of applying a monolayer lubricant to micromachines
US5312882A (en) 1993-07-30 1994-05-17 The University Of North Carolina At Chapel Hill Heterogeneous polymerization in carbon dioxide
JP3338134B2 (en) 1993-08-02 2002-10-28 株式会社東芝 Semiconductor wafer processing method
US5364497A (en) 1993-08-04 1994-11-15 Analog Devices, Inc. Method for fabricating microstructures using temporary bridges
US5370740A (en) 1993-10-01 1994-12-06 Hughes Aircraft Company Chemical decomposition by sonication in liquid carbon dioxide
US5656097A (en) 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
TW274630B (en) 1994-01-28 1996-04-21 Wako Zunyaku Kogyo Kk
US5641887A (en) 1994-04-01 1997-06-24 University Of Pittsburgh Extraction of metals in carbon dioxide and chelating agents therefor
EP0681317B1 (en) 1994-04-08 2001-10-17 Texas Instruments Incorporated Method for cleaning semiconductor wafers using liquefied gases
JP3320549B2 (en) 1994-04-26 2002-09-03 岩手東芝エレクトロニクス株式会社 Film removing method and film removing agent
US5482564A (en) 1994-06-21 1996-01-09 Texas Instruments Incorporated Method of unsticking components of micro-mechanical devices
US5637151A (en) 1994-06-27 1997-06-10 Siemens Components, Inc. Method for reducing metal contamination of silicon wafers during semiconductor manufacturing
US5522938A (en) 1994-08-08 1996-06-04 Texas Instruments Incorporated Particle removal in supercritical liquids using single frequency acoustic waves
US6262510B1 (en) * 1994-09-22 2001-07-17 Iancu Lungu Electronically switched reluctance motor
US5501761A (en) 1994-10-18 1996-03-26 At&T Corp. Method for stripping conformal coatings from circuit boards
US5629918A (en) 1995-01-20 1997-05-13 The Regents Of The University Of California Electromagnetically actuated micromachined flap
JP3277114B2 (en) 1995-02-17 2002-04-22 インターナショナル・ビジネス・マシーンズ・コーポレーション Method of producing negative tone resist image
DE19506404C1 (en) 1995-02-23 1996-03-14 Siemens Ag Separating and drying micro-mechanical elements without sticking
US5676705A (en) 1995-03-06 1997-10-14 Lever Brothers Company, Division Of Conopco, Inc. Method of dry cleaning fabrics using densified carbon dioxide
US5683977A (en) 1995-03-06 1997-11-04 Lever Brothers Company, Division Of Conopco, Inc. Dry cleaning system using densified carbon dioxide and a surfactant adjunct
US5681398A (en) 1995-03-17 1997-10-28 Purex Co., Ltd. Silicone wafer cleaning method
JPH08264500A (en) 1995-03-27 1996-10-11 Sony Corp Cleaning of substrate
US5726211A (en) 1996-03-21 1998-03-10 International Business Machines Corporation Process for making a foamed elastometric polymer
US5725987A (en) 1996-11-01 1998-03-10 Xerox Corporation Supercritical processes
US5714299A (en) 1996-11-04 1998-02-03 Xerox Corporation Processes for toner additives with liquid carbon dioxide
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
KR100610387B1 (en) * 1998-05-18 2006-08-09 말린크로트 베이커, 인코포레이티드 Silicate-containing alkaline compositions for cleaning microelectronic substrates
US6277753B1 (en) * 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
US6673521B2 (en) * 2000-12-12 2004-01-06 Lnternational Business Machines Corporation Supercritical fluid(SCF) silylation process
JP2002237481A (en) * 2001-02-09 2002-08-23 Kobe Steel Ltd Method of cleaning microscopic structure
US6635565B2 (en) * 2001-02-20 2003-10-21 United Microelectronics Corp. Method of cleaning a dual damascene structure
US6958123B2 (en) * 2001-06-15 2005-10-25 Reflectivity, Inc Method for removing a sacrificial material with a compressed fluid
US6509136B1 (en) * 2001-06-27 2003-01-21 International Business Machines Corporation Process of drying a cast polymeric film disposed on a workpiece
US6979654B2 (en) * 2001-07-03 2005-12-27 United Microelectronics Corp. Method of avoiding dielectric layer deterioation with a low dielectric constant during a stripping process
US6583067B2 (en) * 2001-07-03 2003-06-24 United Microelectronics Corp. Method of avoiding dielectric layer deterioration with a low dielectric constant
JP3978023B2 (en) * 2001-12-03 2007-09-19 株式会社神戸製鋼所 High pressure processing method
US7326673B2 (en) * 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
JP2003224099A (en) * 2002-01-30 2003-08-08 Sony Corp Surface treatment method
AU2003220039A1 (en) * 2002-03-04 2003-09-22 Supercritical Systems Inc. Method of passivating of low dielectric materials in wafer processing
US6764552B1 (en) * 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US20030217764A1 (en) * 2002-05-23 2003-11-27 Kaoru Masuda Process and composition for removing residues from the microstructure of an object
US20040011386A1 (en) * 2002-07-17 2004-01-22 Scp Global Technologies Inc. Composition and method for removing photoresist and/or resist residue using supercritical fluids
US6989358B2 (en) * 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US20040112409A1 (en) * 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US20040177867A1 (en) * 2002-12-16 2004-09-16 Supercritical Systems, Inc. Tetra-organic ammonium fluoride and HF in supercritical fluid for photoresist and residue removal

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4944837A (en) * 1988-02-29 1990-07-31 Masaru Nishikawa Method of processing an article in a supercritical atmosphere
US5679169A (en) * 1995-12-19 1997-10-21 Micron Technology, Inc. Method for post chemical-mechanical planarization cleaning of semiconductor wafers
US6021791A (en) * 1998-06-29 2000-02-08 Speedfam-Ipec Corporation Method and apparatus for immersion cleaning of semiconductor devices

Also Published As

Publication number Publication date
WO2004085491A2 (en) 2004-10-07
US20040142564A1 (en) 2004-07-22
TW200507121A (en) 2005-02-16
TWI283900B (en) 2007-07-11
US7064070B2 (en) 2006-06-20

Similar Documents

Publication Publication Date Title
WO2004085491A3 (en) Removal of cmp and post-cmp residue from semiconductors using supercritical carbon dioxide process
WO2005081289A3 (en) Process and apparatus for removing residues from semiconductor substrates
WO2008105255A1 (en) Method of cleaning plasma-treating apparatus, plasma-treating apparatus where the cleaning method is practiced, and memory medium memorizing program executing the cleaning method
TW200501254A (en) Method for removing silicon oxide film and processing apparatus
HK1050957A1 (en) Removal of photoresist and residue from substrate using supercritical carbon dioxide process.
EP2175046A3 (en) Fluorine process for cleaning semiconductor process chamber
CA2139952A1 (en) Liquid/supercritical cleaning with decreased polymer damage
TW200636857A (en) Low-pressure removal of photoresist and etch residue
TW200520150A (en) A method for forming dummy structures for improved CMP and reduced capacitance
EP2246129A3 (en) Cleaning assembly
TW200718802A (en) Method of using NF3 for removing surface deposits
WO2004040039A3 (en) Process for removing deposits from a compressor system in methanol to olefin conversion reactor
WO2004114376A3 (en) Automated dense phase fluid cleaning system
TW200744763A (en) A process for producing cleaning water containing dissolved gas, an apparatus for the process and an apparatus for cleaning
MY148396A (en) Aqueous solution for removing post-etch residue
EP1065708A3 (en) Silicon wafer cleaning process for post-chemical mechanical polishing using immersion
TW200717628A (en) Wafer edge cleaning process
TW200502718A (en) Methods of removing photoresist from substrates
TW200512823A (en) Methods for cleaning processing chambers
EP1375066A3 (en) Wafer polishing apparatus and cleaning method using inert gas
EP1441043A3 (en) Supply of gas to semiconductor process chamber
SG132691A1 (en) Wafer processing method and wafer processing apparatus
WO2005066325A3 (en) Cleaner compositions containing free radical quenchers
WO2007044048A3 (en) Method and system for treating a substrate with a high pressure fluid using a peroxide-based process chemistry in conjunction with an initiator
WO2002039489A3 (en) Method for removing etch residue resulting from a process for forming a via

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase