WO2004093144A3 - Partial response receiver - Google Patents

Partial response receiver Download PDF

Info

Publication number
WO2004093144A3
WO2004093144A3 PCT/US2004/010980 US2004010980W WO2004093144A3 WO 2004093144 A3 WO2004093144 A3 WO 2004093144A3 US 2004010980 W US2004010980 W US 2004010980W WO 2004093144 A3 WO2004093144 A3 WO 2004093144A3
Authority
WO
WIPO (PCT)
Prior art keywords
sample value
partial response
response receiver
threshold level
indicates whether
Prior art date
Application number
PCT/US2004/010980
Other languages
French (fr)
Other versions
WO2004093144A2 (en
Inventor
Vladimir M Stojanovic
Mark A Horowitz
Jared L Zerbe
Anthony Bessios
Andrew C C Ho
Jason C Wei
Grace Tsang
Bruno W Garlepp
Original Assignee
Rambus Inc
Vladimir M Stojanovic
Mark A Horowitz
Jared L Zerbe
Anthony Bessios
Andrew C C Ho
Jason C Wei
Grace Tsang
Bruno W Garlepp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rambus Inc, Vladimir M Stojanovic, Mark A Horowitz, Jared L Zerbe, Anthony Bessios, Andrew C C Ho, Jason C Wei, Grace Tsang, Bruno W Garlepp filed Critical Rambus Inc
Priority to EP04759335A priority Critical patent/EP1618597B1/en
Priority to DE602004020209T priority patent/DE602004020209D1/en
Priority to JP2006509855A priority patent/JP4718451B2/en
Publication of WO2004093144A2 publication Critical patent/WO2004093144A2/en
Publication of WO2004093144A3 publication Critical patent/WO2004093144A3/en

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/03Shaping networks in transmitter or receiver, e.g. adaptive shaping networks
    • H04L25/03006Arrangements for removing intersymbol interference
    • H04L25/03012Arrangements for removing intersymbol interference operating in the time domain
    • H04L25/03019Arrangements for removing intersymbol interference operating in the time domain adaptive, i.e. capable of adjustment during data reception
    • H04L25/03057Arrangements for removing intersymbol interference operating in the time domain adaptive, i.e. capable of adjustment during data reception with a recursive structure
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/03Shaping networks in transmitter or receiver, e.g. adaptive shaping networks
    • H04L25/03878Line equalisers; line build-out devices
    • H04L25/03885Line equalisers; line build-out devices adaptive
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/06Dc level restoring means; Bias distortion correction ; Decision circuits providing symbol by symbol detection
    • H04L25/061Dc level restoring means; Bias distortion correction ; Decision circuits providing symbol by symbol detection providing hard decisions only; arrangements for tracking or suppressing unwanted low frequency components, e.g. removal of dc offset
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/06Dc level restoring means; Bias distortion correction ; Decision circuits providing symbol by symbol detection
    • H04L25/061Dc level restoring means; Bias distortion correction ; Decision circuits providing symbol by symbol detection providing hard decisions only; arrangements for tracking or suppressing unwanted low frequency components, e.g. removal of dc offset
    • H04L25/063Setting decision thresholds using feedback techniques only
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/38Synchronous or start-stop systems, e.g. for Baudot code
    • H04L25/40Transmitting circuits; Receiving circuits
    • H04L25/49Transmitting circuits; Receiving circuits using code conversion at the transmitter; using predistortion; using insertion of idle bits for obtaining a desired frequency spectrum; using three or more amplitude levels ; Baseband coding techniques specific to data transmission systems
    • H04L25/497Transmitting circuits; Receiving circuits using code conversion at the transmitter; using predistortion; using insertion of idle bits for obtaining a desired frequency spectrum; using three or more amplitude levels ; Baseband coding techniques specific to data transmission systems by correlative coding, e.g. partial response coding or echo modulation coding transmitters and receivers for partial response systems
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L7/00Arrangements for synchronising receiver with transmitter
    • H04L7/02Speed or phase control by the received code signals, the signals containing no special synchronisation information
    • H04L7/027Speed or phase control by the received code signals, the signals containing no special synchronisation information extracting the synchronising or clock signal from the received signal spectrum, e.g. by using a resonant or bandpass circuit
    • H04L7/0276Self-sustaining, e.g. by tuned delay line and a feedback path to a logical gate
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/03Shaping networks in transmitter or receiver, e.g. adaptive shaping networks
    • H04L25/03006Arrangements for removing intersymbol interference
    • H04L2025/0335Arrangements for removing intersymbol interference characterised by the type of transmission
    • H04L2025/03356Baseband transmission
    • H04L2025/03369Partial response
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/03Shaping networks in transmitter or receiver, e.g. adaptive shaping networks
    • H04L25/03006Arrangements for removing intersymbol interference
    • H04L2025/03433Arrangements for removing intersymbol interference characterised by equaliser structure
    • H04L2025/03439Fixed structures
    • H04L2025/03445Time domain
    • H04L2025/03471Tapped delay lines
    • H04L2025/03484Tapped delay lines time-recursive
    • H04L2025/03503Tapped delay lines time-recursive as a combination of feedback and prediction filters

Abstract

A receive circuit for receiving a signal transmitted via an electrical signal conductor. A first sampling circuit generates a first sample value that indicates whether the signal exceeds a first threshold level, and a second sampling circuit generates a second sample value that indicates whether the signal exceeds a second threshold level. A first select circuit receives the first and second sample values from the first and second sampling circuits and selects, according to a previously generated sample value, either the first sample value or the second sample value to be output as a selected sample value.
PCT/US2004/010980 2003-04-09 2004-04-09 Partial response receiver WO2004093144A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP04759335A EP1618597B1 (en) 2003-04-09 2004-04-09 Partial response receiver
DE602004020209T DE602004020209D1 (en) 2003-04-09 2004-04-09 Partialantwortempfänger
JP2006509855A JP4718451B2 (en) 2003-04-09 2004-04-09 Partial response receiver

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US46172903P 2003-04-09 2003-04-09
US60/461,729 2003-04-09
US10/662,872 2003-09-16
US10/662,872 US7397848B2 (en) 2003-04-09 2003-09-16 Partial response receiver

Publications (2)

Publication Number Publication Date
WO2004093144A2 WO2004093144A2 (en) 2004-10-28
WO2004093144A3 true WO2004093144A3 (en) 2006-10-12

Family

ID=33135210

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/010980 WO2004093144A2 (en) 2003-04-09 2004-04-09 Partial response receiver

Country Status (6)

Country Link
US (14) US7397848B2 (en)
EP (4) EP1618597B1 (en)
JP (1) JP4718451B2 (en)
AT (1) ATE426984T1 (en)
DE (2) DE602004020209D1 (en)
WO (1) WO2004093144A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994398B2 (en) 2003-12-17 2015-03-31 Rambus Inc. High speed signaling system with adaptive transmit pre-emphasis
US9025678B2 (en) 2003-04-09 2015-05-05 Rambus Inc. Partial response receiver

Families Citing this family (203)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100555498B1 (en) * 2003-04-18 2006-03-03 삼성전자주식회사 Transmitter, receiver and data interface system for improving data transmission speed
US7805083B2 (en) * 2003-04-28 2010-09-28 Alcatel-Lucent Usa Inc. Method and apparatus for data recovery in an optical transmission system
US7590175B2 (en) 2003-05-20 2009-09-15 Rambus Inc. DFE margin test methods and circuits that decouple sample and feedback timing
US7627029B2 (en) 2003-05-20 2009-12-01 Rambus Inc. Margin test methods and circuits
US7447278B2 (en) * 2003-05-21 2008-11-04 International Business Machines Corporation Apparatus for transmitting and receiving data
TWI243980B (en) * 2003-10-09 2005-11-21 Via Tech Inc Switch circuit for switching clock signals
US7277516B2 (en) * 2003-10-14 2007-10-02 Realtek Semiconductor Corp Adaptive equalization system for a signal receiver
US7940877B1 (en) * 2003-11-26 2011-05-10 Altera Corporation Signal edge detection circuitry and methods
US7233164B2 (en) 2003-12-17 2007-06-19 Rambus Inc. Offset cancellation in a multi-level signaling system
DE09165754T1 (en) * 2003-12-17 2014-07-03 Rambus Inc. Fast signaling system with adaptive predistortion, cancellation of reflections and suppression of DC offsets
US7246018B1 (en) * 2003-12-22 2007-07-17 Marvell International Ltd. Interpolator testing circuit
KR100520224B1 (en) * 2003-12-23 2005-10-11 삼성전자주식회사 Apparatus for minimizing residual intersymbol interference in semiconductor memory device and method thereof
US7443913B2 (en) * 2004-02-12 2008-10-28 Texas Instruments Incorporated High speed decision feedback equalizer
US7545898B2 (en) * 2004-02-13 2009-06-09 Broadcom Corporation System and method for clock rate determination
US7308048B2 (en) * 2004-03-09 2007-12-11 Rambus Inc. System and method for selecting optimal data transition types for clock and data recovery
US7453967B2 (en) * 2004-05-05 2008-11-18 Cisco Technology, Inc. Serial self-adaptable transmission line
US7639736B2 (en) 2004-05-21 2009-12-29 Rambus Inc. Adaptive receive-side equalization
GB0413142D0 (en) * 2004-06-12 2004-07-14 Texas Instruments Ltd Improvements in, or relating to testing
US7065135B2 (en) * 2004-06-16 2006-06-20 Fujitsu Limited System and method for equalizing high-speed data transmission
KR100574619B1 (en) * 2004-08-04 2006-04-27 삼성전자주식회사 Quarter-rate clock data recovery circuit and clock data recoverying method using the same
US7643583B1 (en) 2004-08-06 2010-01-05 Marvell International Ltd. High-precision signal detection for high-speed receiver
US7555091B1 (en) * 2004-10-26 2009-06-30 National Semiconductor Corporation System and method for providing a clock and data recovery circuit with a self test capability
US20060095222A1 (en) * 2004-11-04 2006-05-04 Mindspeed Technologies, Inc. Optic module calibration
US8085880B2 (en) * 2004-12-23 2011-12-27 Rambus Inc. Amplitude monitor for high-speed signals
EP2367330B1 (en) * 2005-01-20 2017-08-09 Rambus Inc. High-speed signaling systems with adaptable pre-emphasis and equalization
US7602869B2 (en) * 2005-07-29 2009-10-13 International Business Machines Corporation Methods and apparatus for clock synchronization and data recovery in a receiver
US7221704B2 (en) 2005-08-01 2007-05-22 Marvell World Trade Ltd. All digital implementation of clock spectrum spreading (dither) for low power/die area
US7734866B2 (en) * 2005-08-04 2010-06-08 Rambus Inc. Memory with address-differentiated refresh rate to accommodate low-retention storage rows
US7813460B2 (en) * 2005-09-30 2010-10-12 Slt Logic, Llc High-speed data sampler with input threshold adjustment
US7697647B1 (en) * 2005-10-03 2010-04-13 Avaya Inc. Method and system for switching between two (or more) reference signals for clock synchronization
KR100714382B1 (en) * 2005-10-06 2007-05-04 삼성전자주식회사 Apparatus and method for recovering clock and data
ATE387042T1 (en) * 2005-10-21 2008-03-15 Alcatel Lucent METHOD AND DEVICE FOR CONTROLLING THE THRESHOLD VALUE IN A RECEIVER FOR DIGITAL MESSAGE SIGNALS
US20070098020A1 (en) * 2005-10-27 2007-05-03 Yee Ja Methods and arrangements to model an asynchronous interface
US8121237B2 (en) 2006-03-16 2012-02-21 Rambus Inc. Signaling system with adaptive timing calibration
WO2007114944A2 (en) 2006-04-04 2007-10-11 Rambus, Inc. Phase control block for managing multiple clock domains in systems with frequency offsets
US7639737B2 (en) 2006-04-27 2009-12-29 Rambus Inc. Adaptive equalization using correlation of edge samples with data patterns
US7839958B2 (en) * 2006-05-30 2010-11-23 Fujitsu Limited System and method for the adjustment of compensation applied to a signal
US7640463B2 (en) * 2006-06-30 2009-12-29 Lsi Corporation On-chip receiver eye finder circuit for high-speed serial link
US7606302B2 (en) * 2006-09-29 2009-10-20 Agere Systems Inc. Method and apparatus for non-linear decision-feedback equalization in the presence of asymmetric channel
KR100801055B1 (en) * 2006-10-16 2008-02-04 삼성전자주식회사 Data receiver and semiconductor including the receiver
JP5259074B2 (en) * 2006-11-10 2013-08-07 株式会社日立製作所 Semiconductor integrated circuit device
WO2008063431A2 (en) 2006-11-16 2008-05-29 Rambus, Inc. Partial response decision-feedback equalization with adaptation based on edge samples
US7949041B2 (en) 2006-12-05 2011-05-24 Rambus Inc. Methods and circuits for asymmetric distribution of channel equalization between devices
EP2119156B1 (en) 2007-01-08 2015-03-11 Rambus Inc. Adaptive continuous-time equalizer for correcting the first post-cursor isi
US8362642B2 (en) 2007-03-01 2013-01-29 Rambus Inc. Optimized power supply for an electronic system
EP2130055B1 (en) 2007-03-20 2011-05-18 Rambus Inc. Integrated circuit having receiver jitter tolerance ("jtol") measurement
US8325704B1 (en) * 2007-05-16 2012-12-04 Dust Networks, Inc. Time correction and distance measurement in wireless mesh networks
WO2008150321A1 (en) 2007-05-25 2008-12-11 Rambus Inc. Methods and systems for transmitting auxiliary data by modulating pre-emphasis filter coefficients
US7936812B2 (en) * 2007-07-02 2011-05-03 Micron Technology, Inc. Fractional-rate decision feedback equalization useful in a data transmission system
US8005444B2 (en) * 2007-08-02 2011-08-23 Broadcom Corporation Multiple die integrated circuit assembly
US7885359B2 (en) * 2007-08-15 2011-02-08 Seiko Epson Corporation Sampling demodulator for amplitude shift keying (ASK) radio receiver
US7703063B2 (en) * 2007-08-17 2010-04-20 International Business Machines Corporation Implementing memory read data eye stretcher
US7661084B2 (en) * 2007-08-17 2010-02-09 International Business Machines Corporation Implementing memory read data eye stretcher
CN101388665B (en) * 2007-09-14 2011-11-09 瑞昱半导体股份有限公司 Time-interleaved clock-data recovery device and method thereof
KR101368413B1 (en) 2007-10-31 2014-03-04 삼성전자 주식회사 DFE circuits for use in semiconductor memory device and method for initializing the same
CN101889402A (en) * 2007-12-06 2010-11-17 拉姆伯斯公司 The loss of signal based on the edge detects
US8139697B2 (en) * 2008-01-29 2012-03-20 United Microelectronics Corp. Sampling method and data recovery circuit using the same
WO2009099595A1 (en) 2008-02-01 2009-08-13 Rambus, Inc. Receiver with enhanced clock and data recovery
US8638125B2 (en) * 2008-03-14 2014-01-28 Texas Instruments Incorporated Low voltage differential signal driver with reduced power consumption
US7899649B1 (en) 2008-03-24 2011-03-01 Altera Corporation Signal detect for high-speed serial interface
US8823417B2 (en) * 2008-07-09 2014-09-02 Siemens Industry, Inc. Combination AC/DC peak detector and signal type discriminator
KR100965767B1 (en) * 2008-09-08 2010-06-24 주식회사 하이닉스반도체 Decision feedback equalizer having a clock recovery circuit and method thereof
WO2010078384A2 (en) * 2008-12-31 2010-07-08 Rambus Inc. Method and apparatus for correcting phase errors during transient events in high-speed signaling systems
US7863941B1 (en) 2009-02-04 2011-01-04 Altera Corporation Techniques for canceling offsets in differential circuits
US8345738B2 (en) 2009-07-24 2013-01-01 Rambus Inc. Partial response receiver and related method
US9843309B2 (en) 2009-11-19 2017-12-12 Rambus Inc. Receiver with time-varying threshold voltage
KR101114698B1 (en) * 2010-01-29 2012-02-29 삼성전자주식회사 Apparatus and method for edge enhancement according to image characteristics
US8401135B2 (en) * 2010-02-02 2013-03-19 International Business Machines Corporation Post-equalization amplitude latch-based channel characteristic measurement
US9215112B2 (en) * 2010-02-23 2015-12-15 Rambus Inc. Decision feedback equalizer
US8942319B2 (en) 2010-04-08 2015-01-27 Rambus Inc. Partial response equalizer and related method
US8589717B1 (en) * 2010-04-16 2013-11-19 Tabula, Inc. Serial peripheral interface
WO2011133333A2 (en) * 2010-04-23 2011-10-27 Rambus Inc. Partial response decision feedback equalizer with distributed control
US8996906B1 (en) 2010-05-13 2015-03-31 Tabula, Inc. Clock management block
US9077386B1 (en) 2010-05-20 2015-07-07 Kandou Labs, S.A. Methods and systems for selection of unions of vector signaling codes for power and pin efficient chip-to-chip communication
US9288082B1 (en) 2010-05-20 2016-03-15 Kandou Labs, S.A. Circuits for efficient detection of vector signaling codes for chip-to-chip communication using sums of differences
US9251873B1 (en) 2010-05-20 2016-02-02 Kandou Labs, S.A. Methods and systems for pin-efficient memory controller interface using vector signaling codes for chip-to-chip communications
US9985634B2 (en) 2010-05-20 2018-05-29 Kandou Labs, S.A. Data-driven voltage regulator
US8594262B2 (en) 2010-06-17 2013-11-26 Transwitch Corporation Apparatus and method thereof for clock and data recovery of N-PAM encoded signals using a conventional 2-PAM CDR circuit
JP5649864B2 (en) * 2010-08-12 2015-01-07 ラピスセミコンダクタ株式会社 Semiconductor circuit and signal acquisition method of semiconductor circuit
US8559582B2 (en) * 2010-09-13 2013-10-15 Altera Corporation Techniques for varying a periodic signal based on changes in a data rate
TWI423588B (en) * 2010-12-23 2014-01-11 Ind Tech Res Inst Level transition determination circuit and method for using the same
US8451969B2 (en) 2011-03-15 2013-05-28 Intel Corporation Apparatus, system, and method for timing recovery
JPWO2012131920A1 (en) * 2011-03-29 2014-07-24 富士通株式会社 Phase correction circuit and phase correction method
WO2012139646A1 (en) * 2011-04-13 2012-10-18 Siemens Aktiengesellschaft Method for the simultaneous transfer of digital process values, evaluation unit for use in such a method and automation device having such an evaluation unit
US9071478B2 (en) * 2011-05-24 2015-06-30 Mediatek Inc. Methods for performing adaptive equalization and associated apparatus
WO2013039624A1 (en) 2011-09-12 2013-03-21 Rambus Inc. Offset and decision feedback equalization calibration
US8693531B2 (en) * 2011-10-21 2014-04-08 Texas Instruments Incorporated Method and apparatus for performing speculative decision feedback equalization
WO2013085923A1 (en) * 2011-12-06 2013-06-13 The Board Of Trustees Of The Leland Stanford Junior University Time-based digitizer for pet photodetector
JP5937229B2 (en) 2011-12-21 2016-06-22 インテル コーポレイション Low power high speed digital receiver
CN104025527A (en) * 2011-12-30 2014-09-03 中兴通讯(美国)公司 Digital filter, partial response equalizer, and digital coherent receiver device and method
US9507756B2 (en) 2012-01-18 2016-11-29 Marvell Israel (M.I.S.L) Ltd. Space efficient counters in network devices
US9020087B2 (en) * 2012-05-15 2015-04-28 Exar Corporation All digital burst-mode clock and data recovery (CDR)
US8937994B2 (en) 2012-06-25 2015-01-20 Rambus Inc. Partial response decision feedback equalizer with selection circuitry having hold state
US8873606B2 (en) * 2012-11-07 2014-10-28 Broadcom Corporation Transceiver including a high latency communication channel and a low latency communication channel
US9166844B2 (en) * 2012-11-16 2015-10-20 Rambus Inc. Receiver with duobinary mode of operation
US9235543B2 (en) * 2012-11-26 2016-01-12 International Business Machines Corporation Systems for signal detection
US9397868B1 (en) 2012-12-11 2016-07-19 Rambus Inc. Split-path equalizer and related methods, devices and systems
US9000801B1 (en) 2013-02-27 2015-04-07 Tabula, Inc. Implementation of related clocks
US9363071B2 (en) 2013-03-07 2016-06-07 Qualcomm Incorporated Circuit to recover a clock signal from multiple wire data signals that changes state every state cycle and is immune to data inter-lane skew as well as data state transition glitches
US9374216B2 (en) 2013-03-20 2016-06-21 Qualcomm Incorporated Multi-wire open-drain link with data symbol transition based clocking
US9178690B2 (en) 2013-10-03 2015-11-03 Qualcomm Incorporated N factorial dual data rate clock and data recovery
US9313058B2 (en) 2013-03-07 2016-04-12 Qualcomm Incorporated Compact and fast N-factorial single data rate clock and data recovery circuits
US10020912B2 (en) 2013-03-13 2018-07-10 Sans R&D, Llc Method and a system for a receiver design in bandwidth constrained communication systems
US9237045B2 (en) 2013-03-15 2016-01-12 Avago Technologies General Ip (Singapore) Pte. Ltd. System and method for internal AC coupling with active DC restore and adjustable high-pass filter for a PAM 2/4 receiver
JP6032080B2 (en) * 2013-03-22 2016-11-24 富士通株式会社 Receiving circuit and control method of receiving circuit
US8884655B2 (en) 2013-04-11 2014-11-11 Texas Instruments Incorporated Low-power voltage mode high speed driver
KR102241045B1 (en) 2013-04-16 2021-04-19 칸도우 랩스 에스에이 Methods and systems for high bandwidth communications interface
KR102044478B1 (en) * 2013-04-22 2019-11-13 삼성전자주식회사 Driver and memory controller having the same
WO2014188277A2 (en) 2013-05-03 2014-11-27 Marvell World Trade Ltd. Time efficient counters and meters architecture
TW201445321A (en) * 2013-05-20 2014-12-01 C Media Electronics Inc Method for auto determining sampling rate and device therefor
JP6102533B2 (en) * 2013-06-05 2017-03-29 富士通株式会社 Receiver circuit
WO2014210074A1 (en) 2013-06-25 2014-12-31 Kandou Labs SA Vector signaling with reduced receiver complexity
WO2015016831A1 (en) * 2013-07-30 2015-02-05 Hewlett-Packard Development Company, L.P. Process partial response channel
US8902091B1 (en) 2013-09-03 2014-12-02 Avago Technologies General Ip (Singapore) Pte. Ltd. System and method for high speed data parallelization for an N-phase receiver
US9755818B2 (en) 2013-10-03 2017-09-05 Qualcomm Incorporated Method to enhance MIPI D-PHY link rate with minimal PHY changes and no protocol changes
US9203599B2 (en) 2014-04-10 2015-12-01 Qualcomm Incorporated Multi-lane N-factorial (N!) and other multi-wire communication systems
US9735948B2 (en) 2013-10-03 2017-08-15 Qualcomm Incorporated Multi-lane N-factorial (N!) and other multi-wire communication systems
US9071477B2 (en) * 2013-10-09 2015-06-30 Global Unichip Corporation Method and associated processing module for interconnection system
JP6032247B2 (en) 2013-10-09 2016-11-24 株式会社デンソー Distortion compensation system and communication apparatus
US9806761B1 (en) 2014-01-31 2017-10-31 Kandou Labs, S.A. Methods and systems for reduction of nearest-neighbor crosstalk
JP6317474B2 (en) 2014-02-02 2018-04-25 カンドウ ラボズ ソシエテ アノニム Method and apparatus for low power chip-to-chip communication using constrained ISI ratio
EP3672176B1 (en) 2014-02-28 2022-05-11 Kandou Labs, S.A. Clock-embedded vector signaling codes
US9160582B1 (en) * 2014-03-31 2015-10-13 Cadence Design Systems, Inc. System and method for phase recovery with selective mitigation of timing corruption due to digital receiver equalization
US9787468B2 (en) * 2014-04-22 2017-10-10 Capital Microelectronics Co., Ltd. LVDS data recovery method and circuit
US9509437B2 (en) 2014-05-13 2016-11-29 Kandou Labs, S.A. Vector signaling code with improved noise margin
US8942315B1 (en) * 2014-05-29 2015-01-27 Cypress Semiconductor Corporation Systems, methods, and devices for frequency calibration of transmitters
US9112550B1 (en) 2014-06-25 2015-08-18 Kandou Labs, SA Multilevel driver for high speed chip-to-chip communications
US9143316B1 (en) * 2014-07-03 2015-09-22 Xilinx, Inc. Non-disruptive eye scan for data recovery units based on oversampling
KR102288337B1 (en) 2014-07-10 2021-08-11 칸도우 랩스 에스에이 Vector signaling codes with increased signal to noise characteristics
US9432082B2 (en) 2014-07-17 2016-08-30 Kandou Labs, S.A. Bus reversable orthogonal differential vector signaling codes
US9444654B2 (en) 2014-07-21 2016-09-13 Kandou Labs, S.A. Multidrop data transfer
US9461862B2 (en) 2014-08-01 2016-10-04 Kandou Labs, S.A. Orthogonal differential vector signaling codes with embedded clock
US9479365B2 (en) * 2014-08-28 2016-10-25 Mediatek Inc. Method for performing loop unrolled decision feedback equalization in an electronic device with aid of voltage feedforward, and associated apparatus
US9674014B2 (en) 2014-10-22 2017-06-06 Kandou Labs, S.A. Method and apparatus for high speed chip-to-chip communications
US9979571B2 (en) 2014-12-17 2018-05-22 Rambus Inc. Sampler reference level, DC offset, and AFE gain adaptation for PAM-N receiver
US9378843B1 (en) * 2015-01-26 2016-06-28 9011579 Canada Incorporee Collaborative analog-to-digital and time-to-delay conversion based on signal prediction
US9455846B2 (en) * 2015-02-19 2016-09-27 Microsoft Technology Licensing, Llc Decision feedback equalization
CN108353053B (en) 2015-06-26 2021-04-16 康杜实验室公司 High speed communication system
US9832009B2 (en) * 2015-07-28 2017-11-28 Rambus Inc. Collaborative clock and data recovery
EP3329593B1 (en) 2015-07-28 2021-03-03 Rambus Inc. Burst-tolerant decision feedback equalization
JP6631089B2 (en) * 2015-08-21 2020-01-15 富士通株式会社 Decision feedback type equalizer and receiver
WO2017091188A1 (en) * 2015-11-23 2017-06-01 Intel Corporation Electrical systems and methods for performing out-of-band communications
US10055372B2 (en) 2015-11-25 2018-08-21 Kandou Labs, S.A. Orthogonal differential vector signaling codes with embedded clock
EP3408935B1 (en) 2016-01-25 2023-09-27 Kandou Labs S.A. Voltage sampler driver with enhanced high-frequency gain
WO2017131708A1 (en) * 2016-01-28 2017-08-03 Hewlett Packard Enterprise Development Lp Phase delay difference-based channel compensation
DE102016122451B3 (en) * 2016-02-18 2017-05-11 Elmos Semiconductor Aktiengesellschaft Device for increasing the signal-to-noise ratio for common mode interference on a two-wire data bus
US10135642B2 (en) 2016-02-29 2018-11-20 Rambus Inc. Serial link receiver with improved bandwidth and accurate eye monitor
US9559880B1 (en) * 2016-03-04 2017-01-31 Inphi Corporation Eye modulation for pulse-amplitude modulation communication systems
EP3440813B1 (en) * 2016-04-21 2021-01-13 Huawei Technologies Co., Ltd. Apparatus and method for recovering clock data from an m-level signal
US10242749B2 (en) 2016-04-22 2019-03-26 Kandou Labs, S.A. Calibration apparatus and method for sampler with adjustable high frequency gain
US10003454B2 (en) 2016-04-22 2018-06-19 Kandou Labs, S.A. Sampler with low input kickback
CN115051705A (en) 2016-04-22 2022-09-13 康杜实验室公司 High performance phase locked loop
CN109417521B (en) 2016-04-28 2022-03-18 康杜实验室公司 Low power multi-level driver
US10153591B2 (en) 2016-04-28 2018-12-11 Kandou Labs, S.A. Skew-resistant multi-wire channel
US10193716B2 (en) 2016-04-28 2019-01-29 Kandou Labs, S.A. Clock data recovery with decision feedback equalization
WO2017189931A1 (en) 2016-04-28 2017-11-02 Kandou Labs, S.A. Vector signaling codes for densely-routed wire groups
JPWO2018003650A1 (en) * 2016-06-29 2019-05-30 日本精機株式会社 Head-up display
JP6700566B2 (en) * 2016-06-30 2020-05-27 株式会社ソシオネクスト Equalization circuit, reception circuit, and semiconductor integrated circuit
US9699009B1 (en) * 2016-06-30 2017-07-04 International Business Machines Corporation Dual-mode non-return-to-zero (NRZ)/ four-level pulse amplitude modulation (PAM4) receiver with digitally enhanced NRZ sensitivity
US9906358B1 (en) 2016-08-31 2018-02-27 Kandou Labs, S.A. Lock detector for phase lock loop
US10411922B2 (en) 2016-09-16 2019-09-10 Kandou Labs, S.A. Data-driven phase detector element for phase locked loops
US9866326B1 (en) * 2016-10-12 2018-01-09 Arista Networks, Inc. Method for self-calibration of an electrical and/or optical channel
US10200188B2 (en) 2016-10-21 2019-02-05 Kandou Labs, S.A. Quadrature and duty cycle error correction in matrix phase lock loop
US10372665B2 (en) 2016-10-24 2019-08-06 Kandou Labs, S.A. Multiphase data receiver with distributed DFE
US10200218B2 (en) 2016-10-24 2019-02-05 Kandou Labs, S.A. Multi-stage sampler with increased gain
US10142024B2 (en) * 2016-12-14 2018-11-27 Futurewei Technologies, Inc. Higher-level clock and data recovery (CDR) in passive optical networks (PONs)
US10002650B1 (en) * 2016-12-21 2018-06-19 Mediatek Inc. Signal quality detection circuit for generating signal quality detection result according to two-dimensional nominal sampling point pattern and associated signal quality detection method
US10116268B2 (en) * 2017-01-09 2018-10-30 Analog Devices Global Operational amplifier
WO2018133920A1 (en) * 2017-01-17 2018-07-26 Vacon Oy Integrated serial communication
US10326620B2 (en) 2017-05-31 2019-06-18 Kandou Labs, S.A. Methods and systems for background calibration of multi-phase parallel receivers
US10116468B1 (en) 2017-06-28 2018-10-30 Kandou Labs, S.A. Low power chip-to-chip bidirectional communications
US10686583B2 (en) 2017-07-04 2020-06-16 Kandou Labs, S.A. Method for measuring and correcting multi-wire skew
KR102349415B1 (en) * 2017-08-07 2022-01-11 삼성전자주식회사 Pulse amplitude modulation transmitter and pulse amplitude modulation receiver
US10203226B1 (en) 2017-08-11 2019-02-12 Kandou Labs, S.A. Phase interpolation circuit
US10373674B2 (en) * 2017-08-31 2019-08-06 Micron Technology, Inc. Apparatuses and methods for data transmission offset values in burst transmissions
US10347283B2 (en) 2017-11-02 2019-07-09 Kandou Labs, S.A. Clock data recovery in multilane data receiver
CN111713029B (en) 2017-12-07 2022-02-25 康杜实验室公司 Decision feedback equalization correction of eye pattern measurements
US10326623B1 (en) 2017-12-08 2019-06-18 Kandou Labs, S.A. Methods and systems for providing multi-stage distributed decision feedback equalization
CN107943205B (en) * 2017-12-15 2020-12-29 四川长虹电器股份有限公司 Circuit and method for calculating clock period by using delay chain in DDR (double data rate) comprehensive physical layer
US10554380B2 (en) 2018-01-26 2020-02-04 Kandou Labs, S.A. Dynamically weighted exclusive or gate having weighted output segments for phase detection and phase interpolation
US11113212B2 (en) 2018-10-23 2021-09-07 Micron Technology, Inc. Multi-level receiver with termination-off mode
US10484002B1 (en) * 2018-12-27 2019-11-19 Keithley Instruments, Llc High-speed high-resolution digital-to-analog converter
US10547475B1 (en) * 2019-02-22 2020-01-28 Cadence Design Systems, Inc. System and method for measurement and adaptation of pulse response cursors to non zero values
US10887137B2 (en) * 2019-03-15 2021-01-05 Rambus Inc. Edge enhancement for signal transmitter
US10721106B1 (en) 2019-04-08 2020-07-21 Kandou Labs, S.A. Adaptive continuous time linear equalization and channel bandwidth control
US10574487B1 (en) 2019-04-08 2020-02-25 Kandou Labs, S.A. Sampler offset calibration during operation
US10700846B1 (en) * 2019-04-16 2020-06-30 Nvidia Corp. Clock data recovery convergence using signed timing injection
US10972107B2 (en) 2019-07-31 2021-04-06 Apple Inc. Serial data receiver with sampling clock skew compensation
US10999051B2 (en) * 2019-09-18 2021-05-04 Nvidia Corp. Reference noise compensation for single-ended signaling
US11018656B1 (en) 2019-11-21 2021-05-25 Credo Technology Group Limited Multi-function level finder for serdes
US11165416B2 (en) 2019-12-03 2021-11-02 Apple Inc. Duty cycle and skew measurement and correction for differential and single-ended clock signals
US20210175968A1 (en) * 2019-12-04 2021-06-10 Donghong Wu System, Method, and Program Product for Characterizing Multilevel PAMn Eye Diagrams in Communication Systems
US11217298B2 (en) * 2020-03-12 2022-01-04 Micron Technology, Inc. Delay-locked loop clock sharing
US11031939B1 (en) * 2020-03-19 2021-06-08 Mellanox Technologies, Ltd. Phase detector command propagation between lanes in MCM USR serdes
KR20210123683A (en) 2020-04-03 2021-10-14 삼성전자주식회사 Signal receiver and operation method thereof
US11251760B2 (en) 2020-05-20 2022-02-15 Analog Devices, Inc. Amplifiers with wide input range and low input capacitance
US11239872B2 (en) * 2020-05-21 2022-02-01 SK Hynix Inc. Signal receiver and method of measuring offset of signal receiver
KR20220106789A (en) 2020-09-24 2022-07-29 창신 메모리 테크놀로지즈 아이엔씨 Dual reference voltage generator, equalization circuit and memory
KR20220107005A (en) * 2020-09-24 2022-08-01 창신 메모리 테크놀로지즈 아이엔씨 Equalization Circuits, Data Acquisition Methods and Memory
US11115251B1 (en) * 2021-01-22 2021-09-07 Litrinium, Inc. PAM4 equalization DSM
US11477004B1 (en) * 2021-03-23 2022-10-18 Nvidia Corp. Clock data recovery convergence in modulated partial response systems
US11526453B1 (en) * 2021-08-13 2022-12-13 Micron Technology, Inc. Apparatus including parallel pipelines and methods of manufacturing the same
US11770274B1 (en) 2022-05-24 2023-09-26 Apple Inc. Receiver with half-rate sampler circuits

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3582879A (en) * 1969-04-25 1971-06-01 Computer Mode Corp Communication channel equalization system and equalizer
US5191462A (en) * 1990-05-11 1993-03-02 At&T Bell Laboratories Fiber optic transmission distortion compensation
US5402378A (en) * 1992-02-19 1995-03-28 Samsung Electronics Co., Ltd. Circuit for generating a clock signal to separate bit lines in a semiconductor memory device
US5448200A (en) * 1991-12-18 1995-09-05 At&T Corp. Differential comparator with differential threshold for local area networks or the like
US6118824A (en) * 1991-12-16 2000-09-12 Omnipoint Corporation Spread-spectrum data publishing system
US6262591B1 (en) * 2000-05-30 2001-07-17 International Business Machines Corporation SOI small signal terminated receiver
US6304071B1 (en) * 1998-01-09 2001-10-16 Neomagic Corp. Phase detector that samples a read signal at sampling points and delay

Family Cites Families (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3534273A (en) * 1967-12-18 1970-10-13 Bell Telephone Labor Inc Automatic threshold level selection and eye tracking in digital transmission systems
JPS518777B1 (en) 1971-03-25 1976-03-19
US3992616A (en) 1975-06-24 1976-11-16 Honeywell Inc. Receiver equalizer apparatus
US7137048B2 (en) 2001-02-02 2006-11-14 Rambus Inc. Method and apparatus for evaluating and optimizing a signaling system
JPS60176324A (en) * 1984-02-22 1985-09-10 Pioneer Electronic Corp Waveform shaping circuit
JPS60176324U (en) 1984-04-27 1985-11-22 株式会社ターダ Gas burner mixing pipe connection structure
US4615038A (en) 1984-06-06 1986-09-30 At&T Information Systems Inc. Equalization of modulated data signals utilizing tentative and final decisions and replication of non-linear channel distortion
US4584559A (en) 1984-06-13 1986-04-22 Tektronix, Inc. Dual rank sample and hold circuit and method
NO853772L (en) 1984-11-02 1986-05-05 Universal Data Systems Inc PROCEDURE FOR ESTABLISHING A COMMUNICATION CHANNEL, MODEM COMMUNICATION SYSTEM AND MICROPROCESSORED MODEM.
EP0193332B1 (en) 1985-02-22 1992-07-22 Nec Corporation Received signal processing apparatus
US4719369A (en) 1985-08-14 1988-01-12 Hitachi, Ltd. Output circuit having transistor monitor for matching output impedance to load impedance
DE3685536T2 (en) 1985-08-28 1993-01-21 Nec Corp DECISION-COUPLED EQUALIZER WITH PATTERN DETECTOR.
US5036525A (en) 1987-10-14 1991-07-30 National Semiconductor Corp. Adaptive equalizer
CA1268271A (en) 1987-11-06 1990-04-24 David M. Makow Electro-optic animated displays and indicators
US4992677A (en) 1988-03-23 1991-02-12 Hitachi, Ltd. High speed MOSFET output buffer with low noise
US5014226A (en) 1988-09-29 1991-05-07 Lsi Logic Corporation Method and apparatus for predicting the metastable behavior of logic circuits
US4970609A (en) 1988-10-17 1990-11-13 International Business Machines Corporation Clocking method and apparatus for use with partial response coded binary data
US5194462A (en) 1989-04-27 1993-03-16 Nkk Corporation Fiber reinforced plastic sheet and producing the same
US5122690A (en) 1990-10-16 1992-06-16 General Electric Company Interface circuits including driver circuits with switching noise reduction
US5265125A (en) * 1990-11-16 1993-11-23 Matsushita Electric Industrial Co., Ltd. Signal detection apparatus for detecting digital information from PCM signal
JP3025068B2 (en) 1991-09-12 2000-03-27 富士通株式会社 ATM switch path test method
US5268930A (en) 1991-12-19 1993-12-07 Novatel Communications Ltd. Decision feedback equalizer
GB2263849B (en) 1992-01-31 1995-02-15 Northern Telecom Ltd Error detection
US5254883A (en) 1992-04-22 1993-10-19 Rambus, Inc. Electrical current source circuitry for a bus
DE69330219T2 (en) 1992-06-15 2001-08-30 Fujitsu Ltd Integrated semiconductor circuit with input / output interface adapted for operation with low amplitude
JP3357956B2 (en) 1992-08-06 2002-12-16 日本電気エンジニアリング株式会社 Decision feedback equalizer
JP2945805B2 (en) 1992-10-01 1999-09-06 松下電器産業株式会社 A / D converter
JP2649766B2 (en) 1993-01-20 1997-09-03 国際電信電話株式会社 Selective diversity reception after equalization
US5490169A (en) 1993-06-16 1996-02-06 Universal Data Systems, Inc. Decision feedback equalizer method and apparatus
US5546424A (en) 1993-06-30 1996-08-13 Casio Computer Co., Ltd. Spread spectrum communication system
FR2709217B1 (en) 1993-08-19 1995-09-15 Bull Sa Method and device for impedance adaptation for a transmitter and / or receiver, integrated circuit and transmission system using them.
JPH0795166A (en) 1993-09-20 1995-04-07 Fujitsu Ltd Test equipment for transmission system
US5533072A (en) 1993-11-12 1996-07-02 International Business Machines Corporation Digital phase alignment and integrated multichannel transceiver employing same
JP2702073B2 (en) * 1994-07-15 1998-01-21 東洋水産株式会社 Production method of raw type packed Chinese noodles
US5757857A (en) 1994-07-21 1998-05-26 The Regents Of The University Of California High speed self-adjusting clock recovery circuit with frequency detection
US5459762A (en) * 1994-09-16 1995-10-17 Rockwell International Corporation Variable multi-threshold detection for 0.3-GMSK
US5802105A (en) 1994-11-30 1998-09-01 Qualcomm Incorporated Method and apparatus for testing a digital communication channel
US5659581A (en) 1994-12-13 1997-08-19 Paradyne Corporation Modem receiver pre-emphasis
KR0144294B1 (en) 1994-12-14 1998-07-15 배순훈 Equalizer for improving a convergence characteristics
US20010026578A1 (en) 1994-12-19 2001-10-04 Takeshi Ando Code division multiple access transmitter and receiver
US5877647A (en) 1995-10-16 1999-03-02 Texas Instruments Incorporated CMOS output buffer with slew rate control
US5778217A (en) 1996-04-02 1998-07-07 Lite-On Communications Corp. Parallel signal processing device for high-speed timing
US5757297A (en) 1996-06-07 1998-05-26 International Business Machines Corporation Method and apparatus for recovering a serial data stream using a local clock
US5742798A (en) 1996-08-09 1998-04-21 International Business Machines Corporation Compensation of chip to chip clock skew
EP0831483B1 (en) 1996-09-24 2002-08-28 Hewlett-Packard Company, A Delaware Corporation Data processing apparatus and methods
US5978379A (en) 1997-01-23 1999-11-02 Gadzoox Networks, Inc. Fiber channel learning bridge, learning half bridge, and protocol
US5940442A (en) 1997-01-30 1999-08-17 National Semioonductor Corporation High speed data receiver
WO1998037671A1 (en) * 1997-02-25 1998-08-27 Globespan Semiconductor Inc. An adaptive pre-equalizer for use in data communications equipment
US5898321A (en) 1997-03-24 1999-04-27 Intel Corporation Method and apparatus for slew rate and impedance compensating buffer circuits
US6122757A (en) 1997-06-27 2000-09-19 Agilent Technologies, Inc Code generating system for improved pattern matching in a protocol analyzer
US5852630A (en) 1997-07-17 1998-12-22 Globespan Semiconductor, Inc. Method and apparatus for a RADSL transceiver warm start activation procedure with precoding
US5990814A (en) * 1997-09-05 1999-11-23 Cirrus Logic, Inc. Method and circuit for calibration of flash analog to digital converters
US5917340A (en) * 1997-10-08 1999-06-29 Pericom Semiconductor Corp. Twisted-pair driver with staggered differential drivers and glitch free binary to multi level transmit encoder
EP0912020A3 (en) 1997-10-25 2002-07-03 Alcatel Decision method with adaptive thresholds
JP3439979B2 (en) 1998-03-13 2003-08-25 富士通株式会社 Data reading method, data reading device, and recording medium
US6760389B1 (en) 1998-06-01 2004-07-06 Agere Systems Inc. Data recovery for non-uniformly spaced edges
GB9813889D0 (en) 1998-06-27 1998-08-26 Secr Defence Apparatus for detecting metals
JP2000035831A (en) 1998-07-21 2000-02-02 Nec Corp Low skew clock tree circuit using variable threshold voltage transistor
US6108801A (en) 1998-08-11 2000-08-22 Cisco Systems, Inc. Using profiles to perform Bit Error Rate Testing
US6178213B1 (en) 1998-08-25 2001-01-23 Vitesse Semiconductor Corporation Adaptive data recovery system and methods
JP2000076805A (en) * 1998-09-02 2000-03-14 Fujitsu Ltd Phase synchronizing method for extended partial response, phase synchronous circuit using the method and read channel circuit
US6288563B1 (en) 1998-12-31 2001-09-11 Intel Corporation Slew rate control
US6618451B1 (en) 1999-02-13 2003-09-09 Altocom Inc Efficient reduced state maximum likelihood sequence estimator
JP3296330B2 (en) 1999-05-20 2002-06-24 日本電気株式会社 Adaptive filter, control method of adaptive filter, and storage medium storing program
US6885691B1 (en) 1999-08-02 2005-04-26 Lg Information & Communications, Ltd. Scrambling codes and channelization codes for multiple chip rate signals in CDMA cellular mobile radio communication system
US6643787B1 (en) 1999-10-19 2003-11-04 Rambus Inc. Bus system optimization
US6628621B1 (en) 1999-11-02 2003-09-30 Adtran Inc. Multichannel-capable bit error rate test system
US6541996B1 (en) 1999-12-21 2003-04-01 Ati International Srl Dynamic impedance compensation circuit and method
US6377076B1 (en) 2000-02-15 2002-04-23 Sun Microsystems, Inc. Circuitry to support a power/area efficient method for high-frequency pre-emphasis for chip to chip signaling
US6717985B1 (en) 2000-03-08 2004-04-06 Telefonaktiebolaget Lm Ericsson (Publ) Technique for efficiently equalizing a transmission channel in a data transmission system
US6574758B1 (en) 2000-03-10 2003-06-03 Cisco Technology, Inc. Testing a bus coupled between two electronic devices
DE10015115A1 (en) 2000-03-28 2001-10-04 Alcatel Sa Process for the recovery of digital optical signals and feedback decision makers
US6509756B1 (en) 2000-03-31 2003-01-21 Rambus Inc. Method and apparatus for low capacitance, high output impedance driver
AU2001257348A1 (en) 2000-04-28 2001-11-12 Broadcom Corporation Methods and systems for adaptive receiver equalization
US7167517B2 (en) 2000-05-22 2007-01-23 The Board Of Trustees Of The Leland Stanford Junior University Analog N-tap FIR receiver equalizer
US6380758B1 (en) 2000-09-29 2002-04-30 Intel Corporation Impedance control for wide range loaded signals using distributed methodology
US6417700B1 (en) * 2000-10-23 2002-07-09 Matsushita Electric Industrial Co., Ltd. Voltage level detection circuit and voltage level detection method
JP2005504446A (en) 2000-11-13 2005-02-10 プリマリオン, インコーポレイテッド Pre-emphasis for data communication
EP1152530B1 (en) 2000-11-24 2003-04-02 Agilent Technologies, Inc. (a Delaware corporation) Circuit for providing a logical output signal in accordance with crossing points of differential signals
US6920191B2 (en) 2001-02-02 2005-07-19 Telefonaktiebolaget Lm Ericsson (Publ) Estimation and compensation of the pulse-shape response in wireless terminals
WO2002071713A2 (en) * 2001-03-01 2002-09-12 Broadcom Corporation Compensation of distortion due to channel and to receiver, in a parallel transmission system
US6760574B1 (en) 2001-04-17 2004-07-06 Centillium Communications, Inc. Two-dimensional signal detector with dynamic timing phase compensation
DE10121757B4 (en) 2001-05-04 2006-04-13 Siemens Ag Data regenerator with adjustable decision threshold and adjustable sampling time
US6587917B2 (en) 2001-05-29 2003-07-01 Agilent Technologies, Inc. Memory architecture for supporting concurrent access of different types
US6690753B2 (en) 2001-06-08 2004-02-10 Broadcom Corporation Receiver having decisional feedback equalizer with remodulation and related methods
US6614296B2 (en) 2001-06-29 2003-09-02 Intel Corporation Equalization of a transmission line signal using a variable offset comparator
US6839861B2 (en) 2001-07-30 2005-01-04 International Business Machines Corporation Method and system for selecting data sampling phase for self timed interface logic
US7016445B2 (en) 2001-08-02 2006-03-21 Texas Instruments Incorporated Apparatus for and method of clock recovery from a serial data stream
US7162672B2 (en) 2001-09-14 2007-01-09 Rambus Inc Multilevel signal interface testing with binary test apparatus by emulation of multilevel signals
US20030070126A1 (en) 2001-09-14 2003-04-10 Werner Carl W. Built-in self-testing of multilevel signal interfaces
WO2003025599A1 (en) 2001-09-14 2003-03-27 Rambus Inc. Built-in self-testing of multilevel signal interfaces
US20030063664A1 (en) 2001-10-02 2003-04-03 Bodenschatz John S. Adaptive thresholding for adaptive equalization
US6970681B2 (en) * 2001-11-14 2005-11-29 Broadcom, Corp. Integrated multimode radio and components thereof
US7024599B2 (en) 2001-12-07 2006-04-04 Applied Micro Circuits Corporation System and method for non-causal channel equalization
US7206341B2 (en) 2001-12-11 2007-04-17 Agilent Technologies, Inc. System and method for providing equalization in a multiphase communications receiver
US6941483B2 (en) 2001-12-31 2005-09-06 Hewlett-Packard Development Company, L.P. Bus capability voting mechanism
US7792235B2 (en) 2002-01-25 2010-09-07 Integrated Device Technology, Inc. Dynamic phase tracking using edge detection
EP1331779B1 (en) 2002-01-28 2007-04-04 Lucent Technologies Inc. Setting of decision thresholds and sampling phase based on previous bit values
US7051252B2 (en) 2002-02-15 2006-05-23 Sun Microsystems, Inc. Ibist identification loopback scheme
US6724329B2 (en) 2002-04-24 2004-04-20 Intel Corporation Decision feedback equalization employing a lookup table
WO2004008490A2 (en) 2002-07-12 2004-01-22 Rambus Inc. A selectable-tap equalizer, auto-configured equalizer, receiving circuit having an equalizer calibration function, and system having grouped reflection characteristics
US7292629B2 (en) 2002-07-12 2007-11-06 Rambus Inc. Selectable-tap equalizer
US7386053B2 (en) 2002-10-11 2008-06-10 Synopsys, Inc System and method of equalization of high speed signals
US6897683B2 (en) 2002-11-14 2005-05-24 Fyre Storm, Inc. Driver including first and second buffers for driving an external coil or first and second transistors
US7099400B2 (en) 2003-01-22 2006-08-29 Agere Systems Inc. Multi-level pulse amplitude modulation receiver
US7339990B2 (en) 2003-02-07 2008-03-04 Fujitsu Limited Processing a received signal at a detection circuit
US7092472B2 (en) 2003-09-16 2006-08-15 Rambus Inc. Data-level clock recovery
US7397848B2 (en) * 2003-04-09 2008-07-08 Rambus Inc. Partial response receiver
US6897712B2 (en) 2003-08-18 2005-05-24 International Business Machines Corporation Apparatus and method for detecting loss of high-speed signal
US7281079B2 (en) 2003-12-31 2007-10-09 Intel Corporation Method and apparatus to counter mismatched burst lengths
KR100787214B1 (en) 2005-08-25 2007-12-21 삼성전자주식회사 Analog viterbi decoder
KR100711547B1 (en) 2005-08-29 2007-04-27 주식회사 하이닉스반도체 Delay Locked Loop

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3582879A (en) * 1969-04-25 1971-06-01 Computer Mode Corp Communication channel equalization system and equalizer
US5191462A (en) * 1990-05-11 1993-03-02 At&T Bell Laboratories Fiber optic transmission distortion compensation
US6118824A (en) * 1991-12-16 2000-09-12 Omnipoint Corporation Spread-spectrum data publishing system
US5448200A (en) * 1991-12-18 1995-09-05 At&T Corp. Differential comparator with differential threshold for local area networks or the like
US5402378A (en) * 1992-02-19 1995-03-28 Samsung Electronics Co., Ltd. Circuit for generating a clock signal to separate bit lines in a semiconductor memory device
US6304071B1 (en) * 1998-01-09 2001-10-16 Neomagic Corp. Phase detector that samples a read signal at sampling points and delay
US6262591B1 (en) * 2000-05-30 2001-07-17 International Business Machines Corporation SOI small signal terminated receiver

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
KASTURIA ET AL.: "Techniques for High-Speed Implementation of Nonlinear Cancellation", IEEE JOURNAL ON SELECTED AREAS IN COMMUNICATION, vol. 9, no. 3, June 1991 (1991-06-01), pages 711 - 717, XP002179197 *
WINTERS ET AL.: "Adaptive Nonlinear Cancellation for High-Speed Fiber-Optic Systems", IEEE JOURNAL OF LIGHTWAVE TECHNOLOGY, vol. 10, no. 7, July 1992 (1992-07-01), pages 971 - 977, XP000301488 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9025678B2 (en) 2003-04-09 2015-05-05 Rambus Inc. Partial response receiver
US8994398B2 (en) 2003-12-17 2015-03-31 Rambus Inc. High speed signaling system with adaptive transmit pre-emphasis
US9000803B2 (en) 2003-12-17 2015-04-07 Rambus Inc. High speed signaling system with adaptive transmit pre-emphasis

Also Published As

Publication number Publication date
US7706480B2 (en) 2010-04-27
EP1618597A2 (en) 2006-01-25
JP2007525061A (en) 2007-08-30
US9407473B2 (en) 2016-08-02
US20100142610A1 (en) 2010-06-10
DE602004020209D1 (en) 2009-05-07
US20120213267A1 (en) 2012-08-23
US8170163B2 (en) 2012-05-01
US20060233291A1 (en) 2006-10-19
US10225111B2 (en) 2019-03-05
US20150319016A1 (en) 2015-11-05
JP4718451B2 (en) 2011-07-06
EP2259519A3 (en) 2012-04-04
ATE426984T1 (en) 2009-04-15
US9917708B2 (en) 2018-03-13
US20230140420A1 (en) 2023-05-04
EP2945335A1 (en) 2015-11-18
EP2259519A2 (en) 2010-12-08
US20090285272A1 (en) 2009-11-19
US20160308693A1 (en) 2016-10-20
EP1618597B1 (en) 2009-03-25
WO2004093144A2 (en) 2004-10-28
US20180287829A1 (en) 2018-10-04
US20120224621A1 (en) 2012-09-06
US11502878B2 (en) 2022-11-15
EP2945335B1 (en) 2017-06-07
US20050111585A1 (en) 2005-05-26
US20210075651A1 (en) 2021-03-11
US7397848B2 (en) 2008-07-08
US7715509B2 (en) 2010-05-11
EP1618597A4 (en) 2007-06-20
US9025678B2 (en) 2015-05-05
US20190268187A1 (en) 2019-08-29
EP2063593A2 (en) 2009-05-27
US7715501B2 (en) 2010-05-11
US7433397B2 (en) 2008-10-07
EP2063593A3 (en) 2010-04-14
EP2063593B1 (en) 2015-06-10
DE602004023833D1 (en) 2009-12-10
US20090175326A1 (en) 2009-07-09
EP2259519B1 (en) 2015-06-03
US20040203559A1 (en) 2004-10-14
US10764094B2 (en) 2020-09-01
US8428196B2 (en) 2013-04-23

Similar Documents

Publication Publication Date Title
WO2004093144A3 (en) Partial response receiver
GB2364865B (en) Receiver circuit
EP1760879A3 (en) High-frequency receiver
WO2005002032A3 (en) Integrated circuit devices having on-chip adaptive bandwidth buses and related methods
EP1700002A4 (en) High temperature environment tool system and method
WO2009131866A3 (en) Programmable channel circuit
EP1702554A4 (en) Receiver apparatus, transmitter apparatus, and transmitting/receiving system
WO2008030782A3 (en) Wideband receiver based on photonics technology
TW200644420A (en) Apparatus and methods for adaptive trip point detection
WO2007032944A3 (en) Arc fault circuit interrupter system
WO2006044211A3 (en) Ultra-wideband communication apparatus and methods
WO2002029545A3 (en) Asynchronously controlling data transfers within a circuit
TW200636273A (en) Signal transmission system, signal output circuit substrate, signal reception circuit substrate, signal output method and signal reception method
WO2008096531A1 (en) Receiver and receiving system using the same
EP2045885A3 (en) Apparatus for providing electrical power to electrical device and method of use
WO2004109935A3 (en) Method and apparatus for mitigating interference in a satellite signal receiver
EP1283604A3 (en) Antenna switching receiver apparatus
EP1394938A4 (en) Circuit and method for switching gains of preamplifier
TW200701584A (en) Short circuit protection for complementary circuits
EP1507336A4 (en) Communication terminal device and spread code estimation method
WO2004008490A3 (en) A selectable-tap equalizer, auto-configured equalizer, receiving circuit having an equalizer calibration function, and system having grouped reflection characteristics
WO2000028335A3 (en) Connection detection circuit apparatus and method
WO2004019480A3 (en) Receivers for controlled frequency signals
EP1209447A3 (en) Light detector and light detecting IC therefor
DE502004004902D1 (en) INFRARED (IR) RECEIVING DEVICE

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2006509855

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2004759335

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2004759335

Country of ref document: EP