WO2004094696A1 - Microelectronic device manufacturing in coordinated carbon dioxide processing chambers - Google Patents

Microelectronic device manufacturing in coordinated carbon dioxide processing chambers Download PDF

Info

Publication number
WO2004094696A1
WO2004094696A1 PCT/US2004/005220 US2004005220W WO2004094696A1 WO 2004094696 A1 WO2004094696 A1 WO 2004094696A1 US 2004005220 W US2004005220 W US 2004005220W WO 2004094696 A1 WO2004094696 A1 WO 2004094696A1
Authority
WO
WIPO (PCT)
Prior art keywords
carbon dioxide
operatively associated
processing chambers
vessel
supply vessel
Prior art date
Application number
PCT/US2004/005220
Other languages
French (fr)
Inventor
James P. Deyoung
James B. Mcclain
Original Assignee
Micell Technologies Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micell Technologies Inc. filed Critical Micell Technologies Inc.
Publication of WO2004094696A1 publication Critical patent/WO2004094696A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Definitions

  • the present invention concerns methods of manufacturing microelectronic devices, and particularly concerns methods of manufacturing microelectronic devices in a plurality of integrated or cooperating carbon dioxide processing chambers.
  • the present invention provides systems and methods in which a plurality of different carbon dioxide processing chambers are coordinated through a common controller in the processing of microelectronic devices or substrates.
  • the amount of waste material generated by non-carbon dioxide processing steps is advantageously reduced.
  • the processing time required to manufacture the devices is advantageously reduced.
  • a first aspect of the present invention is, accordingly, a system for processing microelectronic substrates in a liquid or supercritical carbon dioxide process media, comprising:
  • a controller operatively associated with the plurality of processing chambers, the carbon dioxide supply vessel, the vacuum pump if present, the first process chemical supply vessel, and the waste collection vessel, the controller configured to independently process microelectronic substrates in each of the processing chambers.
  • the present invention provides a system for processing microelectronic substrates in a liquid or supercritical carbon dioxide process media, comprising: (a) a transfer module;
  • a plurality of processing chambers said plurality including at least one, and preferably a plurality (e.g., at least two or three) of carbon dioxide processing chambers, operatively associated with the transfer module so that microelectronic substrates in one of the plurality of processing chambers may be processed therein and then transferred to another of the plurality of processing chambers through the transfer module for further processing therein;
  • a controller operatively associated with the transfer module, the plurality of processing chambers, the carbon dioxide supply vessel, the vacuum pump if present, the first process chemical supply vessel, and the waste collection vessel, the controller configured to independently process microelectronic substrates in each of the carbon dioxide processing chambers.
  • Figure 1 is an illustrative embodiment of a system of the present invention in which a cluster tool is used as the transfer module.
  • Figure 2 is a schematic diagram of a second embodiment of the present invention.
  • Figure 3 is a flow chart illustrating an example process which may be carried out with an apparatus of the invention, and the sequence of chambers used to carry out that process.
  • Figure 4 is a flow chart illustrating one embodiment of the photoresist etch step of Figure 3.
  • Figure 5 is a flow chart illustrating another embodiment of the photoresist etch step of Figure 3.
  • microelectronic substrate should be interpreted broadly to include semiconductor substrates, semiconductor substrates on which one or more layer resides, integrated circuits, microelectronic workpieces, microelectronic devices, compound semiconductors, memory devices such as thin-film read-write heads, MEM's, MEOM's and opto-electronic devices.
  • a “carbon dioxide processing chamber” as used herein refers to a processing chamber for microelectronic devices which contains or includes a sealing assembly so that liquid, or supercritical carbon dioxide may be contained therein to participate in the processing of a microelectronic device or substrate therein.
  • a carbon dioxide processing chamber will be operatively associated with a carbon dioxide source or supply.
  • non-carbon dioxide processing chamber refers to a processing chamber for microelectronic devices which is not operatively associated with a carbon dioxide source or supply (e.g., by the nature of the processing chamber operating under low-pressure gas, vacuum or alternative liquid, or by cutting off such a supply through a valve or pipe reconfiguration when a particular process does not require carbon dioxide processing within that particular chamber).
  • a carbon dioxide source or supply e.g., by the nature of the processing chamber operating under low-pressure gas, vacuum or alternative liquid, or by cutting off such a supply through a valve or pipe reconfiguration when a particular process does not require carbon dioxide processing within that particular chamber.
  • Such a chamber may contain other solvent systems, such as aqueous or organic solvents, or may be used to carry out other processes such as irradiation or metrology.
  • a "transfer module” as used herein may be any suitable transfer module or apparatus, including a single robot, a pod of robots, etc.
  • the transfer module may be in any suitable geometry, including stacked transfer modules, track transfer modules, cluster transfer modules, etc.
  • processing time means the time elapsed during a given process.
  • the process time is measured from the time a microelectronic substrate completely crosses the threshold of the process module (e.g., the module's pressure chamber) when placed into the module until the time the microelectronic substrate completely crosses the threshold of the process module when removed from the module.
  • FIG. 1 A first embodiment of the apparatus of the invention is given in Figure 1.
  • the apparatus comprises a transfer module 11 and a plurality of associated processing chambers 12, 13. Two such chambers are shown for simplicity, but many more could be included. As illustrated, both chambers are carbon dioxide processing chambers, but non-carbon dioxide processing chambers may be included within the system as desired.
  • a vacuum pump 25 is illustrated as the preferred embodiment, those skilled in the art will appreciate that the vacuum pump is not essential, and if necessary alternatives to the vacuum pump may be provided.
  • Chambers 12, 13 may for example be spin coating and/or spin cleaning chambers, such as described in U.S. Patent No. 6,001,48 to DeSimone and Carbonell. (the disclosure of this and all other United States patents cited herein are to be incorporated by reference herein in their entirety).
  • Carbon dioxide may be delivered to the system in any form.
  • the carbon dioxide is delivered to bulk storage tank 21 located on site at the processing plant, which storage tank contains the carbon dioxide as a cryogenic liquid (saturated liquid, pressure less than 350 psig, temperature preferably from -10 to 10 °F). This facilitates delivery of carbon dioxide solvent from existing distributors, such as those in place for the beverage industry.
  • cryogenic liquid saturated liquid, pressure less than 350 psig, temperature preferably from -10 to 10 °F.
  • Carbon dioxide from the bulk storage supply may then be transferred by pumping into carbon dioxide supply system 22, which may comprise a working vessel in which the carbon dioxide is maintained at a desired pressure and temperature, and optionally includes other ingredients added to the system, for use in the processing system, and optionally includes process capabilities such as distillation, filtration, absorption and membrane separation to purify the CO 2 .
  • carbon dioxide supply system 22 may comprise a working vessel in which the carbon dioxide is maintained at a desired pressure and temperature, and optionally includes other ingredients added to the system, for use in the processing system, and optionally includes process capabilities such as distillation, filtration, absorption and membrane separation to purify the CO 2 .
  • Process chemical supply systems 23, 24 are illustrated as separate from the carbon dioxide supply system 22, but in practice these two systems may be interrelated, depending upon how process chemicals are to be combined with carbon dioxide (if they are to be so combined).
  • process chemicals can be added to the carbon dioxide under low pressure, for example in a carrier solution or co- solvent, or added to the carbon dioxide under high pressure, for example by injection into a carbon dioxide supply line under conditions that impart turbulent flow, etc.
  • suitable techniques for adding chemicals to the carbon dioxide include but are not limited to those given in US Patent No. 6,148,645 to De Young et al. (the disclosures of all patent references cited herein are to be incorporated herein by reference in their entirety).
  • the carbon dioxide liquid When used as a carrier for a compound to be distributed and deposited on the top surface portion of the substrate, the carbon dioxide liquid is a mixture that contains carbon dioxide, optionally one or more cosolvents, and one or more compounds to be carried.
  • Exemplary compounds that may be carried by the carbon dioxide liquid include, but are not limited to, polymers (including polymer precursors or monomers that polymerize or are polymerized after deposition), resists (e.g., photoresists, electron resists, x-ray resists), adhesion promoters, antireflective coatings, sol-gel precursors, metals and metal layer precursors, and CMP chemical components.
  • Resists such as photoresists may also contain additives to improve lithographic performance including dissolution inhibitors, photo acid generators, and the like.
  • the photo acid generators are present to allow for chemically amplified resist technology.
  • the mixture may be in any physical form, including solutions, dispersions, and emulsions, but preferably the mixture is homogeneously distributed, more preferably a solution.
  • the mixture is comprised of carbon dioxide and a fluoropolymer, and more preferably a fluoroacrylate polymer. Examples of such mixtures are disclosed as the polymerization product described in U.S. Pat. No. 5,496,901 to DeSimone, the disclosure of which is incorporated herein by reference.
  • such mixtures are applied to the top surface portion of a semiconductor (e.g., silicon) substrate substrate to serve as a photoresist.
  • the carbon dioxide liquid may contain a viscosity modifier such as an associative polymer to increase the viscosity thereof and alter the thicknesss of the surface coating.
  • the viscosity modifier may, for example, be included in an amount sufficient to increase the viscosity of the carbon dioxide liquid up to about 1, 10 or 100 centipoise.
  • the carbon dioxide liquid may contain a surface tension modifier (e.g., a surfactant) to increase or decrease the surface tension by an amount up to about plus or minus 5 dynes per centimeter.
  • a surface tension modifier e.g., a surfactant
  • Surface tension modifiers may be included to increase or decrease droplet formation at the boundary of the carbon dioxide liquid coating formed on the substrate during spinning thereof.
  • Surfactants used as such surface tension modifiers should include a CO.sub.2 -philic group and a CO.sub.2 - phobic group and are known in the art. See, e.g., U.S. Pat. No. 5,312,882 to DeSimone et al.; U.S. Pat. No. 5,683,977 to Jureller et al.
  • the carbon dioxide liquid may contain a co-solvent that evaporates more slowly than does carbon dioxide (e.g., alcohols, ketones such as cyclopentanone, butyl acetate, xylene). Substrates coated with such a carbon dioxide liquid may then be removed from the pressure vessel and dryed (e.g., in a separate drying oven, as described in U.S. Pat. No. 4,794,021 to Potter). Such a technique may be employed to reduce pin holes in the coating formed on the substrate.
  • a co-solvent e.g., alcohols, ketones such as cyclopentanone, butyl acetate, xylene.
  • Carbon dioxide compositions may be added to processing chambers and/or applied to the substrate in any suitable form and by any suitable technique such as dipping, roller coating, doctor blading, spin coating, spraying, etc., but are preferably provided as a dense phase carbon dioxide (i.e., liquid or supercritical fluid). It may be desired to maintain an essentially constant atmosphere within a chamber as carbon dioxide liquid is applied during one (or more) application steps. In this case, an atmosphere of carbon dioxide and one or more additional gasses or inert gasses (e.g., helium, nitrogen, argon, oxygen) can be passed into and out off the chamber above in a controlled manner, so that the composition of the atmosphere within the chamber remains consistent as material is added to the chamber.
  • additional gasses or inert gasses e.g., helium, nitrogen, argon, oxygen
  • a vacuum pump 25 is provided to evacuate carbon dioxide chambers prior to the addition of carbon dioxide thereto.
  • Other suitable control elements such as compressors, condensers, liquid pumps, storage vessels such as liquid, fluid, or vapor storage vessels, supply vessels such as liquid, fluid or gas supply vessels and the like may be included in the system in any of a variety of configurations to facilitate the movement of gases, liquids and supercritical fluids within the system, as described in U.S. Patent No. 6,332,342 to McClain et al.
  • the chamber can be flushed with carbon dioxide from 22 or 21 to remove ambient air in the chamber prior to addition of the carbon dioxide composition.
  • a controller or control system 31 may be used to carry out the present invention.
  • lines from the controller 31 to the remaining apparatus are not shown for the sake of clarity, but may be implemented as electrical, mechanical, pneumatic, or any other suitable control mechanism or device.
  • a programmable logic controller may serves as a control means to provide the valve configurations needed to achieve the cycles described below.
  • PLC programmable logic controller
  • the particular control means used is not critical, and can be implemented with a any of a variety of different hardware, software, and combination hardware/software systems, including a variety of different computers, interface boards, or program languages, numerous of which are known to persons skilled in the art.
  • the system includes a waste collection system 26, which may include a purifier (e.g., a still, adsorption, sublimation, single or multi-stage distillation, or other such purifying apparatus or combination thereof) and associated vessels for purifying contaminated carbon dioxide liquid to provide carbon dioxide (which may be returned to the carbon dioxide supply 22 for re-use) and purified waste, which purified waste may be collected and removed from the system for subsequent disposal.
  • a purifier e.g., a still, adsorption, sublimation, single or multi-stage distillation, or other such purifying apparatus or combination thereof
  • associated vessels for purifying contaminated carbon dioxide liquid to provide carbon dioxide (which may be returned to the carbon dioxide supply 22 for re-use) and purified waste, which purified waste may be collected and removed from the system for subsequent disposal.
  • the system may advantageously provide for coordinated waste removal from processes carried out in a variety of chambers.
  • the transfer module and associated chambers may be located within a clean room on one side of line 32-32, the bulk carbon dioxide supply 21 may be located exterior or separate from other system components outside line 33-33, and some or all of the remaining system components 23, 24, 25, 26, 31 located in a common support room or area outside of the clean room in which transfer modules 11, and chambers 12, 13 are located.
  • a system of the present invention may be implemented with a plurality of processing stations 41, 42, 43 located within a clean room, all of which are supported by common carbon dioxide, chemical supply, optional vacuum supply, and control, and waste collection outside of that clean room, along with bulk carbon dioxide located elsewhere (preferably in near proximity, within about 300 feet, and preferably outside the building in which the remainder of the system is housed).
  • Each processing chamber comprises a transfer module and a plurality of associated chambers as described above, with at least one, and preferably at least two, of the chambers on each processing chamber being carbon dioxide processing chambers.
  • FIG. 3 illustrates one example of a process that may be carried out with the instant invention.
  • the process involves the step of depositing a photoresist 51 in a first chamber, which is preferably a carbon dioxide chamber such as a spin coating chamber as described above.
  • the next step is a photoresist exposure step 52, which is carried out in a chamber in which the photoresist is exposed to radiant energy such as light (e.g., ultraviolet light) to develop the photoresist, in conjunction with a suitable mask in accordance with known techniques (note that photoresist exposure is preferably not a carbon dioxide processing step).
  • radiant energy such as light (e.g., ultraviolet light)
  • the next step involves developing the photoresist 53, which may be carried out in a carbon dioxide chamber by contacting the exposed substrate to an appropriate development solution, such as carbon dioxide as described in US Patent Application 2002/0119398 to DeSimone et al.
  • the final step or set of steps may involve etching of the substrate 54 to remove undesired oxide layers from bare silicon and/or metal.
  • the etch step may be carried out as shown in Figure 4 by first ashing the photoresist by plasma etching, heating in an oven or the like in chamber 54a and then cleaning the ashed photoresist in a cleaning chamber 54b.
  • the etch step may be carried out as shown in Figure 5 by first stripping the photoresist in a chamber 54e (e.g., with suitable chemical treatments which may be carried by carbon dioxide) and then cleaning the substrate in cleaning step 54f (which may optionally be the same chamber in which the stripping step 54e is carried out).
  • Cleaning chambers 54b, 54f may be carbon dioxide cleaning chambers, and may involve the use of a carbon dioxide liquid containing surfactants, co-solvents or other cleaning adjuncts, and/or may utilize a final cleaning step with pure carbon dioxide.

Abstract

A system for processing microelectronic substrates in a liquid or supercritical carbon dioxide process media comprises (a) a plurality of carbon dioxide processing chambers; (b) a carbon dioxide supply vessel operatively associated with each of the carbon dioxide processing chambers; (c) a first process chemical supply vessel operatively associated with at least one of the carbon dioxide processing chambers; (d) a waste collection vessel operatively associated with at least one of the carbon dioxide processing chambers; and (e) a controller operatively associated with the plurality of processing chambers, the carbon dioxide supply vessel, the vacuum pump if present, the first process chemical supply vessel, and the waste collection vessel, the controller configured to independently process microelectronic substrates in each of the processing chambers.

Description

MICROELECTRONIC DEVICE MANUFACTURING IN COORDINATED CARBON DIOXIDE PROCESSING CHAMBERS
Field of the Invention
The present invention concerns methods of manufacturing microelectronic devices, and particularly concerns methods of manufacturing microelectronic devices in a plurality of integrated or cooperating carbon dioxide processing chambers.
Background of the Invention
Production of integrated circuits, microelectronic devices, and micro-electo mechanical devices, (MEM's) involve multiple processing steps many of which incorporate water as either a carrier of chemistry, or a media to facilitate the removal of process byproducts. The evolution of materials and processes has been lead by a drive toward smaller feature sizes and more complex microdevices. In some cases, the use of water in these evolving processes has resulted in challenges whereby deleterious effects of water and byproducts carried by water have been seen. The unique physical properties of dense carbon dioxide in a liquid or supercritical state are of particular interest in preventing certain of these pitfalls.
Methods for the cleaning of microelectronic devices with carbon dioxide are described in US Patent No. 5,783,082 to DeSimone et al.
Methods for the spin-coating and spin cleaning of microelectronic devices are described in US Patent No. 6,001,418 to DeSimone and Carbonell. Methods for the coating of substrate with a carbon dioxide solvent system are set forth in, among others, US Patent No. 6,165,559 to McClain et al.
Methods for the chemical mechanical planarization of microelectronic devices with carbon dioxide are set forth in PCT Application WO 02/38335 (16 May 2002) to McClain and DeSimone. To obtain the maximum benefit from various carbon-dioxide processing steps, there remains a need for microelectronic processing systems and methods which provide a coordinated processing of devices or substrates in a plurality of different carbon dioxide processing chambers. Summary of the Invention
The present invention provides systems and methods in which a plurality of different carbon dioxide processing chambers are coordinated through a common controller in the processing of microelectronic devices or substrates. In some embodiments, the amount of waste material generated by non-carbon dioxide processing steps is advantageously reduced. In some embodiments, the processing time required to manufacture the devices is advantageously reduced.
A first aspect of the present invention is, accordingly, a system for processing microelectronic substrates in a liquid or supercritical carbon dioxide process media, comprising:
(a) at least one, and preferably a plurality of (e.g., at least two or three) carbon dioxide processing chambers;
(b) a carbon dioxide supply vessel operatively associated with each of the carbon dioxide processing chambers;
(c) optionally but preferably a vacuum pump operatively associated with at least one of, or each of, the carbon dioxide processing chambers;
(d) a first process chemical supply vessel operatively associated with at least one of the carbon dioxide processing chambers; (e) a waste collection vessel operatively associated with at least one of the carbon dioxide processing chambers; and
(f) a controller operatively associated with the plurality of processing chambers, the carbon dioxide supply vessel, the vacuum pump if present, the first process chemical supply vessel, and the waste collection vessel, the controller configured to independently process microelectronic substrates in each of the processing chambers.
In another respect, the present invention provides a system for processing microelectronic substrates in a liquid or supercritical carbon dioxide process media, comprising: (a) a transfer module;
(b) a plurality of processing chambers, said plurality including at least one, and preferably a plurality (e.g., at least two or three) of carbon dioxide processing chambers, operatively associated with the transfer module so that microelectronic substrates in one of the plurality of processing chambers may be processed therein and then transferred to another of the plurality of processing chambers through the transfer module for further processing therein;
(c) a carbon dioxide supply vessel operatively associated with each of the carbon dioxide processing chambers;
(d) optionally but preferably a vacuum pump operatively associated with at least one of, or each, of the carbon dioxide processing chambers;
(e) a first process chemical supply vessel operatively associated with at least one of the carbon dioxide processing chambers; a waste collection vessel operatively associated with at least one of the carbon dioxide processing chambers; and
(g) a controller operatively associated with the transfer module, the plurality of processing chambers, the carbon dioxide supply vessel, the vacuum pump if present, the first process chemical supply vessel, and the waste collection vessel, the controller configured to independently process microelectronic substrates in each of the carbon dioxide processing chambers.
The foregoing and other objects and aspects of the present invention are explained in greater detail in the drawings herein and the specification set forth below..
Brief Description of the Drawings
Figure 1 is an illustrative embodiment of a system of the present invention in which a cluster tool is used as the transfer module.
Figure 2 is a schematic diagram of a second embodiment of the present invention. Figure 3 is a flow chart illustrating an example process which may be carried out with an apparatus of the invention, and the sequence of chambers used to carry out that process.
Figure 4 is a flow chart illustrating one embodiment of the photoresist etch step of Figure 3. Figure 5 is a flow chart illustrating another embodiment of the photoresist etch step of Figure 3. Detailed Description of the Preferred Embodiments
The present invention is explained in greater detail below. This description is not intended to be a detailed catalog of all the different ways in which the invention may be implemented, or all the features that may be added to the instant invention. For example, features illustrated with respect to one embodiment may be incorporated into other embodiments, and features illustrated with respect to a particular embodiment may be deleted from that embodiment. In addition, numerous variations and additions to the various embodiments suggested herein will be apparent to those skilled in the art in light of the instant disclosure which do not depart from the instant invention. Hence, the following specification is intended to illustrate some particular embodiments of the invention, and not to exhaustively specify all permutations, combinations and variations thereof.
As used herein, the term "microelectronic substrate" should be interpreted broadly to include semiconductor substrates, semiconductor substrates on which one or more layer resides, integrated circuits, microelectronic workpieces, microelectronic devices, compound semiconductors, memory devices such as thin-film read-write heads, MEM's, MEOM's and opto-electronic devices.
A "carbon dioxide processing chamber" as used herein refers to a processing chamber for microelectronic devices which contains or includes a sealing assembly so that liquid, or supercritical carbon dioxide may be contained therein to participate in the processing of a microelectronic device or substrate therein. A carbon dioxide processing chamber will be operatively associated with a carbon dioxide source or supply.
A "non-carbon dioxide processing chamber" as used herein refers to a processing chamber for microelectronic devices which is not operatively associated with a carbon dioxide source or supply (e.g., by the nature of the processing chamber operating under low-pressure gas, vacuum or alternative liquid, or by cutting off such a supply through a valve or pipe reconfiguration when a particular process does not require carbon dioxide processing within that particular chamber). Such a chamber may contain other solvent systems, such as aqueous or organic solvents, or may be used to carry out other processes such as irradiation or metrology.
A "transfer module" as used herein may be any suitable transfer module or apparatus, including a single robot, a pod of robots, etc. The transfer module may be in any suitable geometry, including stacked transfer modules, track transfer modules, cluster transfer modules, etc.
As used herein, the term "processing time" means the time elapsed during a given process. For a process module, the process time is measured from the time a microelectronic substrate completely crosses the threshold of the process module (e.g., the module's pressure chamber) when placed into the module until the time the microelectronic substrate completely crosses the threshold of the process module when removed from the module.
A first embodiment of the apparatus of the invention is given in Figure 1. The apparatus comprises a transfer module 11 and a plurality of associated processing chambers 12, 13. Two such chambers are shown for simplicity, but many more could be included. As illustrated, both chambers are carbon dioxide processing chambers, but non-carbon dioxide processing chambers may be included within the system as desired. Note that while a vacuum pump 25 is illustrated as the preferred embodiment, those skilled in the art will appreciate that the vacuum pump is not essential, and if necessary alternatives to the vacuum pump may be provided.
Chambers 12, 13 may for example be spin coating and/or spin cleaning chambers, such as described in U.S. Patent No. 6,001,48 to DeSimone and Carbonell. (the disclosure of this and all other United States patents cited herein are to be incorporated by reference herein in their entirety).
Associated with the transfer module 11 and chambers 12, 13 is bulk carbon dioxide supply 21, carbon dioxide supply 22, first process chemical supply 23, second process chemical supply 24, vacuum pump 25, waste collection system 26, and controller 31. Carbon dioxide may be delivered to the system in any form. In a preferred embodiment, the carbon dioxide is delivered to bulk storage tank 21 located on site at the processing plant, which storage tank contains the carbon dioxide as a cryogenic liquid (saturated liquid, pressure less than 350 psig, temperature preferably from -10 to 10 °F). This facilitates delivery of carbon dioxide solvent from existing distributors, such as those in place for the beverage industry. Carbon dioxide from the bulk storage supply may then be transferred by pumping into carbon dioxide supply system 22, which may comprise a working vessel in which the carbon dioxide is maintained at a desired pressure and temperature, and optionally includes other ingredients added to the system, for use in the processing system, and optionally includes process capabilities such as distillation, filtration, absorption and membrane separation to purify the CO2.
Process chemical supply systems 23, 24 are illustrated as separate from the carbon dioxide supply system 22, but in practice these two systems may be interrelated, depending upon how process chemicals are to be combined with carbon dioxide (if they are to be so combined). For example, process chemicals can be added to the carbon dioxide under low pressure, for example in a carrier solution or co- solvent, or added to the carbon dioxide under high pressure, for example by injection into a carbon dioxide supply line under conditions that impart turbulent flow, etc. Examples of suitable techniques for adding chemicals to the carbon dioxide include but are not limited to those given in US Patent No. 6,148,645 to De Young et al. (the disclosures of all patent references cited herein are to be incorporated herein by reference in their entirety). When used as a carrier for a compound to be distributed and deposited on the top surface portion of the substrate, the carbon dioxide liquid is a mixture that contains carbon dioxide, optionally one or more cosolvents, and one or more compounds to be carried. Exemplary compounds that may be carried by the carbon dioxide liquid include, but are not limited to, polymers (including polymer precursors or monomers that polymerize or are polymerized after deposition), resists (e.g., photoresists, electron resists, x-ray resists), adhesion promoters, antireflective coatings, sol-gel precursors, metals and metal layer precursors, and CMP chemical components. Resists such as photoresists may also contain additives to improve lithographic performance including dissolution inhibitors, photo acid generators, and the like. The photo acid generators are present to allow for chemically amplified resist technology. The mixture may be in any physical form, including solutions, dispersions, and emulsions, but preferably the mixture is homogeneously distributed, more preferably a solution. In a preferred embodiment, the mixture is comprised of carbon dioxide and a fluoropolymer, and more preferably a fluoroacrylate polymer. Examples of such mixtures are disclosed as the polymerization product described in U.S. Pat. No. 5,496,901 to DeSimone, the disclosure of which is incorporated herein by reference. In a preferred embodiment, such mixtures are applied to the top surface portion of a semiconductor (e.g., silicon) substrate substrate to serve as a photoresist. The carbon dioxide liquid may contain a viscosity modifier such as an associative polymer to increase the viscosity thereof and alter the thicknesss of the surface coating. The viscosity modifier may, for example, be included in an amount sufficient to increase the viscosity of the carbon dioxide liquid up to about 1, 10 or 100 centipoise.
The carbon dioxide liquid may contain a surface tension modifier (e.g., a surfactant) to increase or decrease the surface tension by an amount up to about plus or minus 5 dynes per centimeter. Surface tension modifiers may be included to increase or decrease droplet formation at the boundary of the carbon dioxide liquid coating formed on the substrate during spinning thereof. Surfactants used as such surface tension modifiers should include a CO.sub.2 -philic group and a CO.sub.2 - phobic group and are known in the art. See, e.g., U.S. Pat. No. 5,312,882 to DeSimone et al.; U.S. Pat. No. 5,683,977 to Jureller et al. (the disclosures of which are incorporated by reference herein in their entirety). If desired, the carbon dioxide liquid may contain a co-solvent that evaporates more slowly than does carbon dioxide (e.g., alcohols, ketones such as cyclopentanone, butyl acetate, xylene). Substrates coated with such a carbon dioxide liquid may then be removed from the pressure vessel and dryed (e.g., in a separate drying oven, as described in U.S. Pat. No. 4,794,021 to Potter). Such a technique may be employed to reduce pin holes in the coating formed on the substrate.
Carbon dioxide compositions may be added to processing chambers and/or applied to the substrate in any suitable form and by any suitable technique such as dipping, roller coating, doctor blading, spin coating, spraying, etc., but are preferably provided as a dense phase carbon dioxide (i.e., liquid or supercritical fluid). It may be desired to maintain an essentially constant atmosphere within a chamber as carbon dioxide liquid is applied during one (or more) application steps. In this case, an atmosphere of carbon dioxide and one or more additional gasses or inert gasses (e.g., helium, nitrogen, argon, oxygen) can be passed into and out off the chamber above in a controlled manner, so that the composition of the atmosphere within the chamber remains consistent as material is added to the chamber.
A vacuum pump 25 is provided to evacuate carbon dioxide chambers prior to the addition of carbon dioxide thereto. Other suitable control elements such as compressors, condensers, liquid pumps, storage vessels such as liquid, fluid, or vapor storage vessels, supply vessels such as liquid, fluid or gas supply vessels and the like may be included in the system in any of a variety of configurations to facilitate the movement of gases, liquids and supercritical fluids within the system, as described in U.S. Patent No. 6,332,342 to McClain et al. In an alternative embodiment the chamber can be flushed with carbon dioxide from 22 or 21 to remove ambient air in the chamber prior to addition of the carbon dioxide composition. In still another embodiment one may forego control of air by the vacuum pump, unless one is recycling the carbon dioxide for subsequent use in the process and it is desired to avoid contamination of the carbon dioxide with other gases found in air. Any suitable controller or control system 31 may be used to carry out the present invention. In Figure 1, lines from the controller 31 to the remaining apparatus are not shown for the sake of clarity, but may be implemented as electrical, mechanical, pneumatic, or any other suitable control mechanism or device. A programmable logic controller may serves as a control means to provide the valve configurations needed to achieve the cycles described below. On example is an Allen Bradley SLC500 programmable logic controller (PLC), which is programmed using the A/B programming language in accordance with known techniques. The particular control means used is not critical, and can be implemented with a any of a variety of different hardware, software, and combination hardware/software systems, including a variety of different computers, interface boards, or program languages, numerous of which are known to persons skilled in the art.
The system includes a waste collection system 26, which may include a purifier (e.g., a still, adsorption, sublimation, single or multi-stage distillation, or other such purifying apparatus or combination thereof) and associated vessels for purifying contaminated carbon dioxide liquid to provide carbon dioxide (which may be returned to the carbon dioxide supply 22 for re-use) and purified waste, which purified waste may be collected and removed from the system for subsequent disposal. The system may advantageously provide for coordinated waste removal from processes carried out in a variety of chambers. The transfer module and associated chambers may be located within a clean room on one side of line 32-32, the bulk carbon dioxide supply 21 may be located exterior or separate from other system components outside line 33-33, and some or all of the remaining system components 23, 24, 25, 26, 31 located in a common support room or area outside of the clean room in which transfer modules 11, and chambers 12, 13 are located.
As illustrated in Figure 2, a system of the present invention may be implemented with a plurality of processing stations 41, 42, 43 located within a clean room, all of which are supported by common carbon dioxide, chemical supply, optional vacuum supply, and control, and waste collection outside of that clean room, along with bulk carbon dioxide located elsewhere (preferably in near proximity, within about 300 feet, and preferably outside the building in which the remainder of the system is housed). Each processing chamber comprises a transfer module and a plurality of associated chambers as described above, with at least one, and preferably at least two, of the chambers on each processing chamber being carbon dioxide processing chambers.
Figure 3 illustrates one example of a process that may be carried out with the instant invention. The process involves the step of depositing a photoresist 51 in a first chamber, which is preferably a carbon dioxide chamber such as a spin coating chamber as described above. The next step is a photoresist exposure step 52, which is carried out in a chamber in which the photoresist is exposed to radiant energy such as light (e.g., ultraviolet light) to develop the photoresist, in conjunction with a suitable mask in accordance with known techniques (note that photoresist exposure is preferably not a carbon dioxide processing step). The next step involves developing the photoresist 53, which may be carried out in a carbon dioxide chamber by contacting the exposed substrate to an appropriate development solution, such as carbon dioxide as described in US Patent Application 2002/0119398 to DeSimone et al. The final step or set of steps may involve etching of the substrate 54 to remove undesired oxide layers from bare silicon and/or metal. The etch step may be carried out as shown in Figure 4 by first ashing the photoresist by plasma etching, heating in an oven or the like in chamber 54a and then cleaning the ashed photoresist in a cleaning chamber 54b. In another embodiment, the etch step may be carried out as shown in Figure 5 by first stripping the photoresist in a chamber 54e (e.g., with suitable chemical treatments which may be carried by carbon dioxide) and then cleaning the substrate in cleaning step 54f (which may optionally be the same chamber in which the stripping step 54e is carried out). Cleaning chambers 54b, 54f may be carbon dioxide cleaning chambers, and may involve the use of a carbon dioxide liquid containing surfactants, co-solvents or other cleaning adjuncts, and/or may utilize a final cleaning step with pure carbon dioxide.
The foregoing is illustrative of the present invention, and is not to be construed as limiting thereof. The invention is defined by the following claims, with equivalents of the claims to be included therein.

Claims

THAT WHICH IS CLAIMED IS:
1. A system for processing microelectronic substrates in a liquid or supercritical carbon dioxide process media, comprising:
(a) at least one carbon dioxide processing chamber; (b) a carbon dioxide supply vessel operatively associated with each of said carbon dioxide processing chambers;
(c) a first process chemical supply vessel operatively associated with at least one of said carbon dioxide processing chambers;
(d) a waste collection vessel operatively associated with at least one of said carbon dioxide processing chambers; and
(e) a controller operatively associated with said plurality of processing chambers, said carbon dioxide supply vessel, said first process chemical supply vessel, and said waste collection vessel, said controller configured to independently process microelectronic substrates in each of said processing chambers.
2. A system according to claim 1, further comprising a storage vessel operatively associated with said controller and each of said carbon dioxide processing chambers.
3. A system according to claim 1, further comprising a second process chemical supply vessel operatively associated with said controller and at least one of said carbon dioxide processing chambers.
4. A system according to claim 1, further comprising a supply vessel operatively associated with said controller and at least one of said carbon dioxide processing chambers.
5. A system according to claim 1, wherein said at least one carbon dioxide processing chambers comprises at least two separate processing chambers.
6. A system according to claim 1, further comprising a bulk carbon dioxide storage vessel operatively associated with said carbon dioxide supply vessel.
7. A system according to claim 1, further comprising: an abatement chemical supply vessel operatively associated with said waste collection vessel for adding detoxification or neutralization chemicals to the contents of said waste collection vessel.
8. A system according to claim 1, further comprising: a purifier operatively associated with said waste collection vessel for separating carbon dioxide from waste chemicals.
9. A system according to claim 8, further comprising: a return line connecting said purifier to said carbon dioxide supply vessel for returning said carbon dioxide from said purifier to said carbon dioxide supply vessel.
10. A system according to claim 8, further comprising: an abatement chemical supply vessel operatively associated with said purifier for adding detoxification or neutralization chemicals to said waste chemicals.
11. A system according to claim 1, further comprising: a clean room containing said plurality of carbon dioxide processing chambers; and a control room containing said carbon dioxide supply vessel, said vacuum apparatus, and said first process chemical supply vessel.
12. A system according to claim 11, said control room further containing. said controller and said waste collection vessel.
13. A system according to claim 11, further comprising a bulk carbon dioxide storage vessel operatively associated with said carbon dioxide supply vessel, with said bulk carbon dioxide storage vessel positioned outside said control room.
14. A system according to claim 1, further comprising at least one non-carbon dioxide processing chamber operatively associated with said controller.
15. A system according to claim 1, further comprising a vacuum pump operatively associated with at least one of said carbon dioxide processing chambers and said controller.
16. A system for processing microelectronic substrates in a liquid or supercritical carbon dioxide process media, comprising:
(a) a transfer module;
(b) a plurality of processing chamber including at least one carbon dioxide processing chamber operatively associated with said transfer module so that microelectronic substrates in one of said plurality of processing chambers may be processed therein and then transferred to another of said plurality of processing chambers through said transfer module for further processing therein;
(c) a carbon dioxide supply vessel operatively associated with each of said carbon dioxide processing chambers; (d) a first process chemical supply vessel operatively associated with at least one of said carbon dioxide processing chambers;
(e) a waste collection vessel operatively associated with at least one of said carbon dioxide processing chambers; and
(f) a controller operatively associated with said transfer module, said plurality of processing chambers, said carbon dioxide supply vessel, said vacuum apparatus, said first process chemical supply vessel, and said waste collection vessel, said controller configured to independently process microelectronic substrates in each of said processing chambers.
17. A system according to claim 16, comprising, in operative association with said transfer module, and sequentially operatively associated with one another: a carbon dioxide photoresist deposition chamber; a photoresist exposure chamber; a carbon dioxide photoresist development chamber; and a photoresist etch chamber.
18. A system according to claim 17, wherein: said photoresist etch chamber is an ash chamber; said system further comprising a carbon dioxide cleaning chamber associated with said ash chamber.
19. A system according to claim 17, wherein: said photoresist etch chamber is a photoresist strip chamber, said system further comprising a carbon dioxide cleaning chamber associated with said strip chamber.
20. A system according to claim 16, further comprising a storage vessel operatively associated with said controller and each of said carbon dioxide processing chambers.
21. A system according to claim 16, further comprising a second process chemical supply vessel operatively associated with said controller and at least one of said carbon dioxide processing chambers.
22. A system according to claim 16, further comprising a gas supply vessel operatively associated with said controller and at least one of said carbon dioxide processing chambers.
23. A system according to claim 16, wherein said plurality of carbon dioxide processing chambers comprises at least three separate processing chambers.
24. A system according to claim 16, further comprising a bulk carbon dioxide storage vessel operatively associated with said carbon dioxide supply vessel.
25. A system according to claim 16, further comprising: an abatement chemical supply vessel operatively associated with said waste collection vessel for adding detoxification or neutralization chemicals to the contents of said waste collection vessel.
27. A system according to claim 16, further comprising: a purifier operatively associated with said waste collection vessel for separating carbon dioxide and waste chemicals.
28. A system according to claim 27, further comprising: a return line connecting said purifier to said carbon dioxide supply vessel for returning said carbon dioxide from said purifier to said carbon dioxide supply vessel.
29. A system according to claim 27, further comprising: an abatement chemical supply vessel operatively associated with said purifier for adding detoxification or neutralization chemicals to said waste chemicals.
30. A system according to claim 16, further comprising: a clean room containing said plurality of carbon dioxide processing chambers; and a control room containing said carbon dioxide supply vessel, said vacuum apparatus, and said first process chemical supply vessel.
31. A system according to claim 30, said control room further containing said controller and said waste collection vessel.
32. A system according to claim 30, further comprising a bulk carbon dioxide storage vessel operatively associated with said carbon dioxide supply vessel, with said bulk carbon dioxide storage vessel positioned outside said control room.
33. A system according to claim 16, further comprising at least one non- carbon dioxide processing chamber operatively associated with said controller.
34. A system according to claim 16, further comprising a vacuum pump operatively associated with at least one of said carbon dioxide processing chambers and said controller.
PCT/US2004/005220 2003-04-01 2004-02-23 Microelectronic device manufacturing in coordinated carbon dioxide processing chambers WO2004094696A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/404,406 2003-04-01
US10/404,406 US20040194886A1 (en) 2003-04-01 2003-04-01 Microelectronic device manufacturing in coordinated carbon dioxide processing chambers

Publications (1)

Publication Number Publication Date
WO2004094696A1 true WO2004094696A1 (en) 2004-11-04

Family

ID=33096922

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/005220 WO2004094696A1 (en) 2003-04-01 2004-02-23 Microelectronic device manufacturing in coordinated carbon dioxide processing chambers

Country Status (3)

Country Link
US (1) US20040194886A1 (en)
TW (1) TW200505588A (en)
WO (1) WO2004094696A1 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5214925A (en) * 1991-09-30 1993-06-01 Union Carbide Chemicals & Plastics Technology Corporation Use of liquified compressed gases as a refrigerant to suppress cavitation and compressibility when pumping liquified compressed gases
US6040585A (en) * 1999-08-20 2000-03-21 Taiwan Semiconductor Manufacturing Co., Ltd Method for detecting wafer orientation during transport
WO2001033615A2 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
US20020111045A1 (en) * 2001-02-09 2002-08-15 Arno Jose I. Atmospheric pressure plasma enhanced abatement of semiconductor process effluent species
US20030133864A1 (en) * 2001-10-17 2003-07-17 Praxair Technology, Inc. Central carbon dioxide purifier

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960002534A (en) * 1994-06-07 1996-01-26 이노우에 아키라 Pressure reducing and atmospheric pressure treatment device
US5908510A (en) * 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6165560A (en) * 1997-05-30 2000-12-26 Micell Technologies Surface treatment
US6001418A (en) * 1997-12-16 1999-12-14 The University Of North Carolina At Chapel Hill Spin coating method and apparatus for liquid carbon dioxide systems
US6612317B2 (en) * 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6314601B1 (en) * 1999-09-24 2001-11-13 Mcclain James B. System for the control of a carbon dioxide cleaning apparatus
US6248136B1 (en) * 2000-02-03 2001-06-19 Micell Technologies, Inc. Methods for carbon dioxide dry cleaning with integrated distribution
WO2002031596A1 (en) * 2000-10-12 2002-04-18 University Of North Carolina At Chapel Hill Co2-processes photoresists, polymers, and photoactive compounds for microlithography
JP2002147811A (en) * 2000-11-08 2002-05-22 Sharp Corp Cleanroom
US6763840B2 (en) * 2001-09-14 2004-07-20 Micell Technologies, Inc. Method and apparatus for cleaning substrates using liquid carbon dioxide

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5214925A (en) * 1991-09-30 1993-06-01 Union Carbide Chemicals & Plastics Technology Corporation Use of liquified compressed gases as a refrigerant to suppress cavitation and compressibility when pumping liquified compressed gases
US6040585A (en) * 1999-08-20 2000-03-21 Taiwan Semiconductor Manufacturing Co., Ltd Method for detecting wafer orientation during transport
WO2001033615A2 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
US20030136514A1 (en) * 1999-11-02 2003-07-24 Biberger Maximilian Albert Method of supercritical processing of a workpiece
US20020111045A1 (en) * 2001-02-09 2002-08-15 Arno Jose I. Atmospheric pressure plasma enhanced abatement of semiconductor process effluent species
US20030133864A1 (en) * 2001-10-17 2003-07-17 Praxair Technology, Inc. Central carbon dioxide purifier

Also Published As

Publication number Publication date
US20040194886A1 (en) 2004-10-07
TW200505588A (en) 2005-02-16

Similar Documents

Publication Publication Date Title
JP6929981B2 (en) Stiction-free drying process with contaminant removal for high aspect ratio semiconductor device construction
US6403544B1 (en) Composition and method for removing photoresist materials from electronic components
US5294568A (en) Method of selective etching native oxide
US9466511B2 (en) Systems and methods for drying high aspect ratio structures without collapse using stimuli-responsive sacrificial bracing material
US6328809B1 (en) Vapor drying system and method
KR101506203B1 (en) Substrate processing apparatus, substrate processing method and storage medium
US20040003828A1 (en) Precision surface treatments using dense fluids and a plasma
KR101350052B1 (en) Substrate processing method and substrate processing apparatus
EP1272288A1 (en) Processes and apparatus for treating electronic components
KR20220025885A (en) Dry chamber cleaning of photoresist films
WO2016007874A1 (en) Supercritical carbon dioxide process for low-k thin films
US20230314954A1 (en) Dry backside and bevel edge clean of photoresist
US7279431B2 (en) Vapor phase etching MEMS devices
US6743300B2 (en) Multistep single chamber parts proceeding method
CN1234472C (en) Method of removing organic materials from substrates
TWI261290B (en) Removal of contaminants using supercritical processing
JP2007142335A (en) High-pressure treatment method
US20030234029A1 (en) Cleaning and drying a substrate
US20030136429A1 (en) Vapor cleaning and liquid rinsing process vessel
US20040194886A1 (en) Microelectronic device manufacturing in coordinated carbon dioxide processing chambers
KR100557247B1 (en) Apparatus and method for providing pulsed fluids
US20050034745A1 (en) Processing a workpiece with ozone and a halogenated additive
US6846789B2 (en) Composition and method for removing photoresist materials from electronic components
US7044662B2 (en) Developing photoresist with supercritical fluid and developer
WO2000007220A2 (en) Wet processing methods for the manufacture of electronic components using ozonated process fluids

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase