WO2004114398A1 - Integration of ald tantalum nitride for copper metallization - Google Patents

Integration of ald tantalum nitride for copper metallization Download PDF

Info

Publication number
WO2004114398A1
WO2004114398A1 PCT/US2004/020008 US2004020008W WO2004114398A1 WO 2004114398 A1 WO2004114398 A1 WO 2004114398A1 US 2004020008 W US2004020008 W US 2004020008W WO 2004114398 A1 WO2004114398 A1 WO 2004114398A1
Authority
WO
WIPO (PCT)
Prior art keywords
tantalum
layer
chamber
deposition
tantalum nitride
Prior art date
Application number
PCT/US2004/020008
Other languages
French (fr)
Inventor
Hua Chung
Nirmalya Maity
Jick Yu
Roderick Craig Mosely
Mei Chang
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to KR1020057023971A priority Critical patent/KR101177576B1/en
Priority to JP2006533817A priority patent/JP2007502551A/en
Publication of WO2004114398A1 publication Critical patent/WO2004114398A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition

Definitions

  • Embodiments of the present invention relate to a method for manufacturing integrated circuit devices. More particularly, embodiments of the invention relate to a system and process of utilizing ALD tantalum nitride layer in the formation of metal interconnect structures.
  • Multilevel interconnect technology provides the conductive paths throughout an IC device, and are formed in high aspect ratio features including contacts, plugs, vias, lines, wires, and other features.
  • a typical process for forming an interconnect on a substrate includes depositing one or more layers, etching at least one of the layer(s) to form one or more features, depositing a barrier layer in the feature(s) and depositing one or more layers to fill the feature.
  • a feature is formed within a dielectric material disposed between a lower conductive layer and an upper conductive layer.
  • the interconnect is formed within the feature to link the upper and lower conductive layers. Reliable formation of these interconnect features is important to the production of the circuits and the continued effort to increase circuit density and quality on individual substrates.
  • Copper is a choice metal for filling sub-micron high aspect ratio interconnect features because copper and its alloys have lower resistivities than aluminum.
  • copper diffuses more readily into surrounding materials and can alter the electronic device characteristics of the adjacent layers. The diffused copper can form a conductive path between layers thereby reducing the reliability of the overall circuit and may even result in device failure.
  • barrier layers are deposited prior to copper metallization to prevent or impede the diffusion of copper atoms.
  • Barrier layers typically are refractory metals such as tungsten, titanium, tantalum, and nitrides thereof, which all have a greater resistivity than copper.
  • the barrier layer is typically deposited on the bottom of the feature as well as the sidewalls thereof. Adequate deposition of the barrier layer on sidewalls typically results in excess deposition on the bottom. The excess amount of the barrier layer on the bottom of the feature not only increases the overall resistance of the feature, but also forms an obstruction between higher and lower metal interconnects of a multi-layered interconnect structure.
  • One embodiment of the present invention provides a method of forming a metal interconnect on a semiconductor substrate, comprising cleaning features formed in a dielectric layer and exposing a conductive material underlying the dielectric layer by generating a plasma in a remote plasma source, delivering radicals from the plasma to a first process chamber which contains the substrate, and contacting the features formed in the dielectric layer with the radicals prior to a barrier layer deposition; depositing a tantalum nitride layer by atomic layer deposition within the features at a pressure between 1 and 10 Torr at a temperature between 200 and 300 °C in a second process chamber; depositing a tantalum layer by physical vapor deposition over the tantalum nitride layer in a third process chamber; plasma etching the tantalum layer and the tantalum nitride in a fourth process chamber to remove at least a portion of the tantalum layer and the tantalum nitride layer at the bottom of the feature to reveal the conductive material; optionally deposit
  • An apparatus for forming a metal interconnect on a semiconductor substrate comprising a first processing chamber for cleaning features formed in a dielectric layer and exposing a conductive material underlying the dielectric layer by generating a plasma in a remote plasma source, delivering radicals from the plasma to the first process chamber which contains the substrate, and contacting the features formed in the dielectric layer prior to a barrier layer deposition; a second process chamber for depositing a tantalum nitride layer by atomic layer deposition within the features at a pressure between 1 and 10 Torr at a temperature between 200 and 300 °C; a third process chamber for depositing a tantalum layer by physical vapor deposition over the tantalum nitride layer; a fourth process chamber for plasma etching the tantalum layer and the tantalum nitride to remove at least a portion of the tantalum layer and the tantalum nitride layer at the bottom of the feature to reveal the conductive material and optionally depositing additional tantalum or copper
  • Figure 1 illustrates one embodiment of a process of utilizing an ALD tantalum nitride layer in one stage in the formation of metal interconnect structures.
  • Figure 2 illustrates another embodiment of a process utilizing an ALD tantalum nitride layer in one stage in the formation of metal interconnect structures.
  • Figure 3A illustrates yet another embodiment of a process utilizing an ALD tantalum nitride layer in one stage in the formation of metal interconnect structures.
  • Figure 3B illustrates an embodiment of a process utilizing two ALD tantalum nitride deposition steps in the formation of metal interconnect structures.
  • Figure 4 illustrates a schematic plan view of an exemplary integrated cluster tool adaptable to perform the interconnect fabrication sequence described herein.
  • Figure 5 illustrates a typical pre-clean chamber.
  • Figure 6 illustrates a schematic, partial cross section of an exemplary processing chamber for forming a thin barrier layer according to a cyclical deposition technique.
  • Figure 7 illustrates a schematic, partial cross section of an exemeplary processing chamber for forming a thin metal layer according to a physical vapor deposition technique.
  • Figure 8 illustrates a schematic, partial cross section of an exemplary processing chamber for forming a thin seed layer or adhesion layer.
  • Figures 9A-9F are schematic representations of an exemplary substrate structure at various stages in the process of Figure 1.
  • Figure 10 is a schematic representation of an exemplary substrate structure at a stage in the process of Figure 2.
  • Figure 11 is a schematic representation of an exemplary substrate structure at a stage in the process of Figure 3A.
  • Figure 12 compares cumulative probability as a function of time to failure for ALD tantanlum nitride with copper- aluminum seed and PVD barrier with copper seed.
  • Figure 13 compares cumulative probability as a function of time to fail for ALD tantalum nitride with punchthrough and ALD tantalum nitride layers; ALD tantalum nitride with new punchthrough, ALD tantalum nitride layers, and argon treatment; and a baseline with tantalum nitride, tantalum, and tantalum flash.
  • FIG. 1 illustrates one embodiment of a process of utilizing ALD tantalum nitride deposition in the formation of metal interconnect structures.
  • preconditioning occurs to prepare the surface for additional modification.
  • the preconditioning options include nitrogen plasma, water plasma, hydrogen and helium plasma, low energy plasma, pre-flash with titanium or aluminum, or other precleaning process.
  • tantalum nitride is deposited by atomic layer deposition over a substrate structure.
  • step 104 a tantalum layer is deposited by physical vapor deposition over the tantalum nitride formed in step 102.
  • a punch-through step is performed to remove a portion of the tantalum nitride deposited in step 102 and to remove a portion of the tantalum deposited in step 104.
  • an optional titanium flash step may be performed to deposit tantalum by physical vapor deposition over the resulting substrate structure of step 106.
  • a seed layer is formed over the resulting substrate structure of step 106 or step 108.
  • an optional adhesion layer deposition step 112 or copper or other deposition step 114 may occur.
  • FIG 4 is a schematic top-view diagram of an exemplary multi-chamber processing system 600 that may be adapted to perform processes as disclosed herein.
  • a processing system 600 may be an EnduraTM system, commercially available from Applied Materials, Inc., of Santa Clara, California.
  • EnduraTM system commercially available from Applied Materials, Inc., of Santa Clara, California.
  • a similar multi- chamber processing system is disclosed in U.S. Patent No. 5,186,718, entitled “Stage Vacuum Wafer Processing System and Method," issued on February 16, 1993, which is incorporated by reference herein.
  • the system 400 generally includes load lock chambers 402 and 404 for the transfer of substrates into and out from the system 400.
  • the load lock chambers 402 and 404 may "pump down" the substrates introduced into the system 400.
  • a first robot 410 may transfer the substrates between the load lock chambers 402 and 404 and a first set of one or more substrate processing chambers 412, 414, 416, and 418 (four are shown).
  • Each processing chamber 412, 414, 416, and 418 can be outfitted to perform a number of substrate processing operations such as cyclical layer deposition including atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, de-gas, orientation and other substrate processes.
  • the first robot 410 also transfers substrates to or from one or more transfer chambers 422 and 424.
  • the transfer chambers 422 and 424 are used to maintain ultrahigh vacuum conditions while allowing substrates to be transferred within the system 400.
  • a second robot 430 may transfer the substrates between the transfer chambers 422 and 424 and a second set of one or more processing chambers 432, 434, 436, and 438. Similar to processing chambers 412, 414, 416, and 418, the processing chambers 432, 434, 436, and 438 can be outfitted to perform a variety of substrate processing operations, such as cyclical layer deposition including atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, and orientation. Any of the substrate processing chambers 412, 414, 416, 418, 432, 434, 436, and 438 may be removed from the system 400 if not necessary for a particular process to be performed by the system 400.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PVD physical
  • the processing system includes one or more atomic layer deposition (ALD) chambers configured to deposit barrier layers and one or more PVD chambers configured to deposit seed layers.
  • ALD atomic layer deposition
  • one configuration of the processing system includes two ALD chambers configured to deposit barrier layers and two PVD chambers configured to deposit seed layers disposed in connection to the back-end central transfer chamber.
  • the processing chambers 434 and 436 may be a tantalum nitride ALD chamber
  • processing chamber 432 and 438 may be a copper or tantalum PVD chamber.
  • processing chamber 434 may be a tantalum nitride atomic layer deposition chamber to perform step 102; processing chamber 432 may be a tantalum physical vapor deposition chamber to perform steps 104, 106, and 108; and processing chamber 412 may be a copper physical vapor deposition chamber to perform step 110 and possibly an etch step.
  • chambers 436, 438, and 414 can mirror chambers 434, 432, and 412 respectively so that two sets of substrates may be processed in the integrated system.
  • the present invention provides a method for precleaning features on a semiconductor substrate to remove contaminants prior to metallization.
  • the method includes removal of silicon dioxide from the bottom of contacts without damaging the silicon, removal of aluminum oxide or copper oxide from the bottom of vias without redeposition of the metal onto sidewalls, removal of a thin layer of silicon from the bottom of contact holes, and removal of contaminants from the sidewalls of the features.
  • the invention provides a suitable method for precleaning vias, contacts, and other features etched into a dielectric layer, such as a silicon dioxide layer, which is deposited on a conductive or semi-conductive sublayer, such as Ge, Si, Al, Cu, or TiN sublayers.
  • the feature typically exposes the sublayer so that the feature can be filled with a conductive or semi-conductive material which connects the sublayer and a subsequent metal interconnect layer to be deposited on the dielectric layer.
  • Etching of the features in the dielectric typically leaves contaminants which should be removed to improve filling of the features and ultimately improve the integrity and reliability of the devices formed.
  • the features can have damaged silicon or metal residues within the features from over-etching of the dielectric layer.
  • the features can also contain residual photoresist on the feature surfaces from the photoresist stripping or ashing process or residual polymer from the dielectric etch step.
  • the features may also contain redeposited material on the feature surfaces following a sputter etch preclean process. These contaminants can migrate into the dielectric layer or can interfere with the selectivity of metallization by promoting uneven distribution of the depositing metal. The presence of the contaminants also can increase the resistance of the deposited metal by substantially narrowing the width of the feature, creating a narrowed portion in the metal forming the via, contact line, or other conductive feature.
  • the precleaning method of the invention is especially useful for cleaning of submicron features having copper sublayers at the bottom of the features since copper is easily sputtered to the side walls in a conventional ICP or sputter etch based preclean chamber.
  • the sputtered copper diffuses into the dielectric material causing device failure.
  • the present invention cleans the via without sputtering of the base of the via.
  • the process for pre-cleaning the substrate 526 in the pre-clean chamber 510 may involve a reactive process or a sputter-etching process using the substrate 526 as the sputtering target.
  • the reactive pre-clean process (step 101 ) may be performed on the substrate by introducing helium or a pre-clean gas mixture comprising hydrogen (less than about 10%) and helium into the chamber and providing RF power (between about 300W and about 600W at about 1 to 4 MHz) to a plasma generation coil.
  • the substrate support may be biased between about 10 and 100W.
  • the chamber pressure may be maintained between about 40 mTorr and 200 mTorr during the pre-clean process.
  • the reactive pre-clean process may be carried out for between about 30 seconds and 120 seconds.
  • the substrate is transferred to a chamber for deposition of a barrier layer and a seed layer over the surfaces of the substrate.
  • the reactive pre-clean process (step 101 ) is performed on the substrate by introducing a pre-clean gas mixture comprising 5% hydrogen and 95% helium into the chamber and providing RF power to a coil at about 450W at about 2.0 MHz.
  • the substrate support is biased at about 1-200 W.
  • the chamber pressure is maintained at about 80 mTorr during the preclean process.
  • the reactive pre-clean process is carried out for about 60 seconds.
  • the substrate is transferred to a high density plasma physical vapor deposition chamber for deposition of a barrier layer and a seed layer over the surfaces of the substrate.
  • the precleaning process of the present invention is preferably conducted on a remote plasma source (RPS) chamber such as the Etch RPS chamber which is available from Applied Materials, Inc., Santa Clara, Calif.
  • RPS remote plasma source
  • reactive H radicals are formed by a remote plasma source and are introduced into the processing region as primarily neutral species, i.e., not having an electric charge and therefore not an ion, thereby preventing generation of self bias and bombardment of the wafer surface by ions.
  • RPS remote plasma source
  • Atomic layer deposition refers to the sequential introduction of two or more compounds to deposit a thin layer on a substrate surface.
  • the two or more compounds are sequentially introduced into a reaction zone of a processing chamber. Each compound is separated by a time delay or pause to allow each compound to adhere to or react on the substrate surface.
  • a first compound, compound A is dosed/pulsed into the reaction zone followed by a first time delay or pause.
  • a second compound or compound B is dosed/pulsed into the reaction zone followed by a second time delay.
  • Figures 9A-9F are schematic representations of an exemplary substrate structure at various stages in the process of Figure 1.
  • Figure 9A shows a dielectric layer 904 formed over one or more underlying layers 902.
  • the dielectric layer 904 may be any dielectric material including a low k dielectric material (k ⁇ 4.0), whether presently known or yet to be discovered.
  • the dielectric layer 904 may be a silicon oxide or a carbon doped silicon oxide material.
  • the dielectric layer has been patterned and etched to form an aperture 905 using conventional and well- known techniques.
  • the aperture 905 may be used to form a plug, via, contact, line, worn, or any other interconnect component.
  • the aperture 905 may be used to form an interconnect component in a dual damascene structure.
  • the processes as disclosed herein may be used to particular advantage over an aperture 905 have a lower opening size 905A of about 0.22 ⁇ m or less and having a lower aspect ratio 905B of about 4:1 or greater, such as about 6:1.
  • the aperture 905 exposes at least a conductive portion 902A of a part of a lower level metal interconnect feature, such as a plug, via, contact, line, wire, metal gate electrode, etc.
  • the conductive portion 902A may comprise any conductive material, such as aluminum, copper, tungsten, or combinations. The process as disclosed herein may be performed to advantage over a conductive portion 902A comprising copper, which will be discussed herein in greater detail.
  • Figure 9B shows a tantalum nitride layer 912 conformally deposited by atomic layer deposition, such as after step 102.
  • the tantalum nitride layer is deposited to a thickness of about 50 A or less, preferably between about 5 A and about 20 A.
  • One of the advantages of atomic layer deposition over other conventional deposition techniques such as physical vapor deposition and chemical vapor deposition for tantalum nitride is the ability to deposit a conformal layer of tantalum nitride over the small openings, high aspect ratio, and varied topography of apertures, such as aperture 905, in the formation of interconnect structures.
  • the heater temperature of the substrate support is maintained at a low temperature between about 100°C and 300°C.
  • the low deposition temperature helps provide a more conformal tantalum nitride layer.
  • Another of the advantages of the formation of an ALD tantalum nitride layer 912 over dielectric layer 904 is the good adhesion of the ALD tantalum nitride over dielectric materials.
  • the tantalum nitride layer deposited according to atomic layer deposition methods described herein shows evidence of an epitaxial growth phenomenon.
  • the barrier layer takes on the same or substantially the same crystallographic characteristics as the underlying layer.
  • a substantially single crystal is grown such that there is no void formation at an interface between the tantalum nitride layer and the underlying layer.
  • an additional tantalum layer deposited over the tantalum layer exhibits the same or substantially the same epitaxial growth characteristics that continue the formation of the single crystal. Accordingly, no void formation is produced at this interface.
  • the resulting structure resembling a single crystal eliminates void formation, thereby substantially increasing device reliability.
  • the single crystal structure also reduces the overall resistance of the interconnect feature while still providing excellent barrier properties. Furthermore, it is believed that the single crystalline growth reduces the susceptibility of electromigration and stress migration due to the conformal and uniform crystalline orientation across the interconnect material interfaces.
  • Tantalum nitride may be deposited by atomic layer deposition by providing one or more pulses of a tantalum-containing compound at a flow rate between about 100 seem and about 3,000 seem for a time period of about 1.0 second or less and one or more pulses of a nitrogen-containing compound at a flow rate between about 100 seem and about 3,000 seem for a time period of about 1.0 second or less to a reaction zone having a substrate disposed therein.
  • Exemplary tantalum-containing compounds include: t-butylimino tris(diethylamino) tantalum (TBTDET); pentakis (ethylmethylamiflo) tantalum (PEMAT); pentakis (dimethylamino) tantalum (PDMAT); pentakis (diethylamino) tantalum (PDEAT); t-butylimino tris(diethyl methylamino) tantalum(TBTMET) t- butylimino tris(dimethyl amino) tantalum (TBTDMT); bis(cyclopentadienyl) tantalum trihydride ((Cp)2TaH3); bis(methylcYcloPentadieflYI) tantalum trihydride ((CpMe)2TaH3); derivatives thereof; and combinations thereof.
  • TBTDET t-butylimino tris(diethylamino) tantalum
  • PEMAT pentakis
  • the tantalum-containing compound comprises PDMAT.
  • nitrogen-containing compounds include: ammonia; hydrazine; methylhydrazine; dimethylhydrazine; t- butylhydrazine; phenylhydrazine; azoisobutafle ethylazide; derivatives thereof; and combinations thereof.
  • the nitrogen-containing compound comprises ammonia.
  • these compounds or any other compound not listed above may be a solid, liquid, or gas at room temperature.
  • PDMAT is a solid at room temperature
  • TBTDET is a liquid at room temperature.
  • a carrier gas such as argon, helium, nitrogen, hydrogen, or a mixture thereof, may also be used to help deliver the compound into the processing chamber, as is commonly known in the art.
  • a tantalum nitride layer having a thickness between 10 and 30 Angstroms is formed by atomic layer deposition by cyclically introducing PDMAT and ammonia to the substrate surface.
  • a carrier/inert gas is introduced into the processing chamber 600 to stabilize the pressure and temperature therein.
  • the carrier gas such as argon at a flow rate between 6000 to 10000 seem is allowed to flow continuously during the deposition process such that only the argon flows between pulses of each compound.
  • a first pulse of PDMAT is provided from the gas source 613 at a flow rate between about 400 seem and about 1000 seem, with a pulse time of about 2.0 seconds or less after the chamber temperature and pressure have been stabilized at about 200°C to about 300° and about 1 Torr to about 5 Torr.
  • a pulse of ammonia is then provided at a flow rate between about 1000 seem and about 2000 seem, with a pulse time of about 2.0 seconds or less.
  • a pause between pulses of PDMAT and ammonia is about 1.0 second or less, preferably about 0.5 seconds or less, more preferably about 0.1 seconds or less. In various aspects, a reduction in time between pulses at least provides higher throughput. As a result, a pause after the pulse of ammonia is also about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less.
  • a pulse of PDMAT may still be in the chamber when a pulse of ammonia enters. In general, the duration of the carrier gas and pump evacuation should be long enough to prevent the pulses of PDMAT and ammonia from mixing together in the reaction zone.
  • the heater temperature is maintained between about 100°C and about 300°C at a chamber pressure between about 1.0 and about 5.0 Torr.
  • Each cycle consisting of a pulse of PDMAT, pause, pulse of ammonia, and pause provides a tantalum nitride layer having a thickness between about 0.3 A and about 1.0 A per cycle.
  • the alternating sequence may be repeated until the desired thickness is achieved.
  • a "pulse/dose” as used herein is intended to refer to a quantity of a particular compound that is intermittently or non-continuously introduced into a reaction zone of a processing chamber.
  • the quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse.
  • a particular compound may include a single compound or a combination of two or more compounds.
  • the durations for each pulse/dose are variable and may be adjusted to accommodate, for example, the volume capacity of the processing chamber as well as the capabilities of a vacuum system coupled thereto.
  • the dose time of a compound may vary according to the flow rate of the compound, the pressure of the compound, the temperature of the compound, the type of dosing valve, the type of control system employed, as well as the ability of the compound to adsorb onto the substrate surface. Dose times may also vary based upon the type of layer being formed and the geometry of the device being formed. Typically, the duration for each pulse/dose or "dose time" is typically about 1.0 second or less. However, a dose time can range from microseconds to milliseconds to seconds, and even to minutes. In general, a dose time should be long enough to provide a volume of compound sufficient to adsorb or chemisorb onto the entire surface of the substrate and form a layer of the compound thereon.
  • FIG. 6 illustrates a schematic, partial cross section of an exemplary processing chamber 600 for forming a barrier layer according to embodiments of the present invention.
  • a processing chamber 600 is available from Applied Materials, Inc. located in Santa Clara, California, and a brief description thereof follows. A more detailed description may be found in commonly assigned U. S. Patent Application Serial No. 10/032,284, entitled “Gas Delivery Apparatus and Method For Atomic Layer Deposition", filed on December 21 , 2001 , which is incorporated herein by reference to the extent not inconsistent with the claimed aspects and disclosure herein.
  • the processing chamber 600 may be integrated into an integrated processing platform, such as an EnduraTM platform also available from Applied Materials, Inc. Details of the EnduraTM platform are described in commonly assigned U. S. Patent Application Serial No. 09/451 ,628, entitled “Integrated Modular Processing Platform", filed on November 30, 1999, which is incorporated herein by reference to the extent not inconsistent with the claimed aspects and disclosure herein.
  • an integrated processing platform such as an EnduraTM platform also available from Applied Materials, Inc. Details of the EnduraTM platform are described in commonly assigned U. S. Patent Application Serial No. 09/451 ,628, entitled “Integrated Modular Processing Platform", filed on November 30, 1999, which is incorporated herein by reference to the extent not inconsistent with the claimed aspects and disclosure herein.
  • FIG. 6 is a schematic cross-sectional view of one embodiment of a substrate processing chamber 610 including one or more valve assemblies 600 mounted below a chamber body 620 of the substrate processing chamber 610.
  • the valve assemblies 600 are coupled to gas lines 655 plumbed through the chamber body 620.
  • the gas lines 655 are, in turn, coupled to gas conduits 650 to provide one or more gases into the chamber body 620.
  • the valve assemblies may also be mounted to other substrate processing chambers and may be mounted to other chamber components.
  • each valve assembly 600 includes a valve body 610 and a diaphragm assembly 630.
  • the valve body 610 includes a valve chamber 611 in fluid communication with three ports including a reactant inlet 612, a purge inlet 614, and an outlet 616.
  • the reactant inlet 612 is in fluid communication with a reactant source 613 to supply a reactant through the valve chamber 611 , through the outlet 616, through the gas line 655, through the gas conduit 650, and into the chamber body 620.
  • the purge inlet 614 is in fluid communication with a purge gas source 615 and is adapted to supply a purge gas through the valve chamber 611 , through the outlet 616, through the gas line 655, through the gas conduit 650, and into the chamber body 620. If the substrate processing chamber 610 includes two or more valve assemblies 600, the purge inlet 614 of each valve assembly 600 is preferably coupled to separate purge gas sources 615. In other embodiments, the purge inlet 614 of each valve assembly 600 may be coupled to a common purge gas source.
  • an electronically controlled valve 652 such as a solenoid valve, may be mounted to the diaphragm assembly 630 to selectively provide a pressurized gas from a pressurized gas supply 650, such as air or other gas, coupled to the electronically controlled valve 652 through a gas line 651.
  • Programmable logic controllers PLC
  • the programmable logic controllers are in turn coupled to a main controller which controls the programmable logic controller.
  • an electronically controlled valve provides pressurized gas to the diaphragm assembly 630
  • the valve assembly 600 is a pneumatically actuated valve.
  • argon is used as the carrier gas at a flow rate 500 seem, ammonia enters the chamber at a flow rate of 1500 seem, and the argon purge flow is at a flow rate 8000 seem.
  • the substrate may be treated with a plasma, seed layer deposition, or adhesion layer deposition before the bulk metal deposition step.
  • the plasma treatment may comprise argon, nitrogen, or hydrogen plasma.
  • the seed layer deposition may comprise copper, copper aluminum, copper tin, tantalum, tungsten, thallium, cobalt, titanium, aluminum, another metal, or combinations of metals. Methods of deposition include ALD, CVD, PVD, electroplating or electroless plating.
  • the adhesion layer may comprise rubidium, tantalum, titanium, aluminum, or tungsten.
  • Figure 9C shows a tantalum layer 922 deposited over the tantalum nitride layer 912, such as after step 104.
  • the tantalum layer 922 and the tantalum nitride layer 912 together make up barrier layer 924.
  • the tantalum layer 922 provides good adhesion with the seed layer 942 ( Figure 9F).
  • tantalum nitride 912 and tantalum 922 have good adhesion with each other.
  • the temperature of the substrate support is unheated (i.e. room temperature).
  • argon is provided between 12 and 36 seem to a PVD deposition chamber.
  • a RF substrate bias of between about 100 Watts and about 1000 Watts may be provided to the substrate support during deposition of the tantalum layer 922.
  • the DC power supplied to the PVD deposition may be 40 kW with an optional RF power of 2 kW.
  • the tantalum layer is deposited to a thickness of about 75 A or less, preferably between about 40 A and about 60 A.
  • the conformal ALD tantalum nitride layer 912 helps cause growth of low resistivity alpha-phase tantalum at least over portions thereover, such as on the bottom of the aperture or over field areas, during physical vapor deposition. It is also believed that the wafer bias during physical vapor deposition helps in the formation of low resistivity alpha-phase tantalum
  • Figure 9D shows a punch through step performed to remove at least a portion of tantalum nitride and tantalum at the bottom of the aperture 905.
  • etch step is performed to remove tantalum nitride and tantalum at the bottom of the aperture 905 to reveal conductive portion 902A of the underlying layer 902.
  • the tantalum nitride and tantalum remaining at the sidewalls prevent copper diffusion of the sputtered conductive material, such as copper, from a conductive portion 902A, such as a copper conductive portion, into the dielectric layer 904.
  • the punch-through step also removes an oxide formation, residues (such as patterning residues), and other contaminants which may have formed over the conductive portion 902A.
  • the etch preferably comprises an argon plasma etch.
  • a directional argon plasma etch is used to ensure that the plasma etch will reach the bottom of the aperture 905.
  • the conditions for the etch are dependent on the design parameters of the chamber and the substrate support.
  • RF wafer bias is between about 100 Watts and about 1000 Watts and is performed for a time period of between about 1 second and about 20 seconds depending on the desired thickness of the tantalum nitride and tantalum to be removed.
  • argon is provided at a flow rate of 12 seem.
  • the DC power supplied to the system is 0 W.
  • the RF power is 2000 W
  • the DC coil has a power of 800 W
  • the wafer bias is 600 W.
  • FIGE shows an optional tantalum physical vapor deposition flash step. It is intended that the tantalum physical vapor deposition flash step deposits tantalum at the bevel corners 932 to help build up tantalum at the bevel corners 932 which may have been etched during the punch-through step.
  • the tantalum flash step is preferably performed with a low wafer bias to reduce tantalum deposition at the bottom of the aperture 905.
  • Figure 9F shows a seed layer 942 deposited over the substrate structure of Figure 9D or Figure 9E.
  • the seed layer 942 may comprise a copper seed layer, a copper alloy seed layer, another metal seed layer, and combinations thereof.
  • the seed layer 942 comprises a copper seed layer, a copper alloy seed layer, or combinations thereof
  • the punch-through step reduces or removes the thickness of tantalum nitride layer 912 and tantalum layer 922 at the bottom of the aperture 905, the resistance of the interconnect structure is reduced.
  • a copper-to-copper interface may be provided between the seed layer 942 comprising copper and a conductive portion 902A comprising copper.
  • the punch-through step reduces or removes the tantalum nitride layer 912 and tantalum layer 922 at the bottom of the aperture 905 a thicker tantalum nitride layer 912 may be initially deposited. For these reasons and other reasons discussed herein, device performance and reliability are improved.
  • PVD chamber such as an ionized metal plasma (IMP) PVD chamber.
  • IMP PVD chambers include a Self-Ionized Plasma 51pTM chamber or an EnCoReTM Ta chamber, available from Applied Materials, Inc. of Santa Clara, California.
  • the punch-through step 106 may be performed in a suitable plasma-processing chamber.
  • the tantalum physical vapor deposition step 108 may be performed in a suitable PVD chamber. For through-put and particle generation concerns, steps 104-108 are preferably performed in the same processing chamber.
  • a seed layer is at least partially deposited on the barrier layer, as shown at step 110.
  • the seed layer may be deposited using any conventional deposition technique, such as chemical vapor deposition (CVD), physical vapor deposition (PVD), electroplating, or electroless plating.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • electroplating electroless plating
  • the seed layer may be deposited in an IMP PVD chamber.
  • the seed layer is a conventional copper seed layer.
  • the seed layer is a copper alloy seed layer.
  • the seed layer is a multi-layer seed layer of the same or different metals and alloys
  • FIG. 2 illustrates another embodiment of a process of utilizing ALD tantalum layer in one stage in the formation of metal interconnect structures.
  • step 202 tantalum nitride is deposited by atomic layer deposition over a substrate structure.
  • step 204 a punch-through step is performed to remove a portion of the tantalum nitride deposited in step 202.
  • an adhesion layer such as a tantalum layer or a suitable metal, is deposited over the resulting substrate structure of step 204.
  • a seed layer is formed over the adhesion layer. All or a partial number of steps 202 through 208 may be performed on an integrated processing system, such as the system of Figure 4.
  • FIG 10 is a schematic representation of an example of a resulting substrate structure after step 208 of Figure 2.
  • the tantalum nitride layer 1001 is deposited in step 202 to a thickness of about 50 A or less, preferably between about 5 A and about 30 A.
  • the tantalum nitride layer 1001 protects the sidewalls of the dielectric layer 1002 from sputter conductive material 1004, such as copper, from a conductive portion of an underlying layer 1003 below the dielectric layer 1002.
  • the punch-through step is performed for preferably about 10 seconds or less.
  • One advantage of the present process is that the punch-through step need only remove a portion of the tantalum nitride in order to expose a conductive portion of the underlying layer.
  • Figure 3A illustrates yet another embodiment of a process of utilizing ALD tantalum nitride layer in one stage in the formation of metal interconnect structures.
  • step 302 tantalum nitride is deposited by atomic layer deposition over a substrate structure.
  • step 304 the tantalum nitride layer is exposed to a non-nitrogen plasma.
  • the non-nitrogen plasma comprises a noble gas, such as argon.
  • the non-nitrogen plasma may further include hydrogen or other non-nitrogen gases.
  • steps 302 and 304 may be repeated.
  • a non-nitrogen plasma treatment may be performed after a number cycles, for example after every 20 cycles, until a desired amount of tantalum nitride has been deposited. Multiple non-nitrogen plasma treatments help to treat the tantalum nitride at the bottom of the aperture.
  • step 306 an optional tantalum layer may be deposited over the plasma- treated tantalum nitride layer.
  • a seed layer is formed over the resultant substrate structure of step 304 or 306. All or a partial number of steps 302 through 308 may be performed on an integrated processing system, such as the system of Figure 4.
  • Figure 11 is a schematic representation of an example of a resulting substrate structure after step 308 of Figure 3A.
  • the non-nitrogen plasma treatment reduces the nitrogen content of the ALD tantalum nitride layer 1101. Since the nitrogen content of the ALD tantalum nitride layer 1101 is reduced, the resistivity of thereof is reduced and thus the contact resistance is reduced.
  • FIG. 3B illustrates yet another embodiment of a process of utilizing ALD tantalum nitride layer in one stage in the formation of metal interconnect structures.
  • tantalum nitride is deposited by atomic layer deposition over a substrate structure.
  • the tantalum nitride layer is exposed to a punch through step.
  • An additional tantalum nitride layer is deposited in step 305A.
  • an optional tantalum layer may be deposited over the plasma-treated tantalum nitride layer.
  • a seed layer is formed over the resultant substrate structure of step 304 or 306. All or a partial number of steps 302 through 308 may be performed on an integrated processing system, such as the system of Figure 4.
  • Figure 12 illustrates the cumulative probability as a function of time to failure for ALD tantalum nitride barrier with a copper aluminum seed and for a PVD barrier with a copper seed. The lifetime distribution is improved with the copper aluminum alloy seed used with an ALD tantalum nitride barrier.
  • Figure 13 compares cumulative probability as a function of time to failure for ALD tantalum nitride with punchthrough and ALD tantalum nitride layers; ALD tantalum nitride with new punchthrough ALD tantalum nitride layers, and argon treatment; and a baseline with tantalum nitride, tantalum, and tantalum flash.
  • the temperature was 350°C.
  • Flow was proportional to ( ⁇ m) via/link size.
  • Old punchthrough had DC power less than 150 W and a wafer bias of 600 W.
  • New punchthrough had DC power of 3000 W and a wafer bias of 800 W. This dramatic increase in time to failure illustrates the desirable properties of the improvement.
  • a bulk metal layer is at least partially deposited on the seed layer.
  • the metal layer may also be deposited using any conventional deposition technique, such as electroplating, electroless plating, chemical vapor deposition (CVD), or physical vapor deposition (PVD).
  • the metal layer preferably includes any conductive material such as copper, aluminum, tungsten, or combinations thereof.
  • the metal layer preferably comprises a bulk copper layer.
  • the bulk copper layer is formed within an electroplating cell, such as the ElectraTM Cu ECP system, available from Applied Materials, Inc., of Santa Clara, California.
  • an electroplating cell such as the ElectraTM Cu ECP system, available from Applied Materials, Inc., of Santa Clara, California.
  • a copper electrolyte solution and copper electroplating technique is described in commonly assigned U.S. Patent No. 6,113,771 , entitled “Electro-deposition Chemistry", which is incorporated by reference herein.
  • the electroplating bath has a copper concentration greater than about 0.7M, a copper sulfate concentration of about 0.85, and a pH of about 1.75.
  • the electroplating bath may also contain various additives as is well known in the art.
  • the temperature of the bath is between about 15°C and about 250°.
  • the bias is between about — 15 volts to about 15 volts. In one aspect, the positive bias ranges from about 0.1 volts to about 10 volts
  • an anneal treatment may be performed following the metal layer deposition.
  • the wafer may be subjected to a temperature between about 100 °C and about 400 °C for between about 1 minute to about I hour.
  • a carrier/purge gas such as helium, hydrogen, nitrogen, or a mixture thereof is introduced at a rate of about 100 seem to about 10,000 seem.
  • the chamber pressure is maintained between about 2 Torr and about 10 Torr.
  • the top portion of the resulting structure may be planarized.
  • a chemical mechanical polishing (CMP) apparatus may be used, such as the MirraTM System available from Applied Materials, Santa Clara, California, for example.
  • the intermediate surfaces of the structure may be planarized between the deposition of the subsequent layers described above.

Abstract

A method and apparatus for depositing a tantalum nitride tantalum barrier layer is provided for use in an integrated processing tool. After a cleaning step by a remote generated plasma, the tantalum nitride is deposited by atomic layer deposition and tantalum is deposited by PVD. The tantalum nitride tantalum is removed from the bottom of features in dielectric layers to reveal the conductive material under the deposited tantalum nitride. Optionally, a further tantalum layer may be deposited by physical vapor deposition after the removal step. Optionally, the tantalum nitride deposition and the tantalum deposition may occur in the same processing chamber. A seed layer is deposited finally.

Description

INTEGRATION OF ALD TANTALUM NITRIDE FOR COPPER METALLIZATION
BACKGROUND OF THE INVENTION
Field of the Invention
[0001] Embodiments of the present invention relate to a method for manufacturing integrated circuit devices. More particularly, embodiments of the invention relate to a system and process of utilizing ALD tantalum nitride layer in the formation of metal interconnect structures.
Description of the Related Art
[0002] As the structure size of integrated circuit (IC) devices is scaled down to sub-quarter micron dimensions, electrical resistance and current densities have become an area for concern and improvement. Multilevel interconnect technology provides the conductive paths throughout an IC device, and are formed in high aspect ratio features including contacts, plugs, vias, lines, wires, and other features. A typical process for forming an interconnect on a substrate includes depositing one or more layers, etching at least one of the layer(s) to form one or more features, depositing a barrier layer in the feature(s) and depositing one or more layers to fill the feature. Typically, a feature is formed within a dielectric material disposed between a lower conductive layer and an upper conductive layer. The interconnect is formed within the feature to link the upper and lower conductive layers. Reliable formation of these interconnect features is important to the production of the circuits and the continued effort to increase circuit density and quality on individual substrates.
[0003] Copper is a choice metal for filling sub-micron high aspect ratio interconnect features because copper and its alloys have lower resistivities than aluminum. However, copper diffuses more readily into surrounding materials and can alter the electronic device characteristics of the adjacent layers. The diffused copper can form a conductive path between layers thereby reducing the reliability of the overall circuit and may even result in device failure. Hence, barrier layers are deposited prior to copper metallization to prevent or impede the diffusion of copper atoms. Barrier layers typically are refractory metals such as tungsten, titanium, tantalum, and nitrides thereof, which all have a greater resistivity than copper.
[0004] To deposit a barrier layer within a feature, the barrier layer is typically deposited on the bottom of the feature as well as the sidewalls thereof. Adequate deposition of the barrier layer on sidewalls typically results in excess deposition on the bottom. The excess amount of the barrier layer on the bottom of the feature not only increases the overall resistance of the feature, but also forms an obstruction between higher and lower metal interconnects of a multi-layered interconnect structure.
[0005] There is a need, therefore, for an improved method for forming metal interconnect structures which minimizes the electrical resistance of the interconnect.
SUMMARY OF THE INVENTION
[0006] One embodiment of the present invention provides a method of forming a metal interconnect on a semiconductor substrate, comprising cleaning features formed in a dielectric layer and exposing a conductive material underlying the dielectric layer by generating a plasma in a remote plasma source, delivering radicals from the plasma to a first process chamber which contains the substrate, and contacting the features formed in the dielectric layer with the radicals prior to a barrier layer deposition; depositing a tantalum nitride layer by atomic layer deposition within the features at a pressure between 1 and 10 Torr at a temperature between 200 and 300 °C in a second process chamber; depositing a tantalum layer by physical vapor deposition over the tantalum nitride layer in a third process chamber; plasma etching the tantalum layer and the tantalum nitride in a fourth process chamber to remove at least a portion of the tantalum layer and the tantalum nitride layer at the bottom of the feature to reveal the conductive material; optionally depositing additional tantalum or copper by physical vapor deposition on the tantalum layer; and depositing a seed layer over the conductive material and the tantalum layer in a fifth processing chamber, wherein the first processing chamber, the second processing chamber, the third processing chamber, the fourth processing chamber, and the fifth processing chamber are located in an integrated tool.
[0007] An apparatus for forming a metal interconnect on a semiconductor substrate, comprising a first processing chamber for cleaning features formed in a dielectric layer and exposing a conductive material underlying the dielectric layer by generating a plasma in a remote plasma source, delivering radicals from the plasma to the first process chamber which contains the substrate, and contacting the features formed in the dielectric layer prior to a barrier layer deposition; a second process chamber for depositing a tantalum nitride layer by atomic layer deposition within the features at a pressure between 1 and 10 Torr at a temperature between 200 and 300 °C; a third process chamber for depositing a tantalum layer by physical vapor deposition over the tantalum nitride layer; a fourth process chamber for plasma etching the tantalum layer and the tantalum nitride to remove at least a portion of the tantalum layer and the tantalum nitride layer at the bottom of the feature to reveal the conductive material and optionally depositing additional tantalum or copper by physical vapor deposition on the tantalum layer; and a fifth processing chamber for depositing a seed layer over the conductive material and the tantalum layer, wherein the first processing chamber, the second processing chamber, the third processing chamber, the fourth processing chamber, and the fifth processing chamber are located in an integrated tool.
BRIEF DESCRIPTION OF THE DRAWINGS
[0008] So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. [0009] Figure 1 illustrates one embodiment of a process of utilizing an ALD tantalum nitride layer in one stage in the formation of metal interconnect structures.
[0010] Figure 2 illustrates another embodiment of a process utilizing an ALD tantalum nitride layer in one stage in the formation of metal interconnect structures.
[0011] Figure 3A illustrates yet another embodiment of a process utilizing an ALD tantalum nitride layer in one stage in the formation of metal interconnect structures.
[0012] Figure 3B illustrates an embodiment of a process utilizing two ALD tantalum nitride deposition steps in the formation of metal interconnect structures.
[0013] Figure 4 illustrates a schematic plan view of an exemplary integrated cluster tool adaptable to perform the interconnect fabrication sequence described herein.
[0014] Figure 5 illustrates a typical pre-clean chamber.
[0015] Figure 6 illustrates a schematic, partial cross section of an exemplary processing chamber for forming a thin barrier layer according to a cyclical deposition technique.
[0016] Figure 7 illustrates a schematic, partial cross section of an exemeplary processing chamber for forming a thin metal layer according to a physical vapor deposition technique.
[0017] Figure 8 illustrates a schematic, partial cross section of an exemplary processing chamber for forming a thin seed layer or adhesion layer.
[0018] Figures 9A-9F are schematic representations of an exemplary substrate structure at various stages in the process of Figure 1.
[0019] Figure 10 is a schematic representation of an exemplary substrate structure at a stage in the process of Figure 2. [0020] Figure 11 is a schematic representation of an exemplary substrate structure at a stage in the process of Figure 3A.
[0021] Figure 12 compares cumulative probability as a function of time to failure for ALD tantanlum nitride with copper- aluminum seed and PVD barrier with copper seed.
[0022] Figure 13 compares cumulative probability as a function of time to fail for ALD tantalum nitride with punchthrough and ALD tantalum nitride layers; ALD tantalum nitride with new punchthrough, ALD tantalum nitride layers, and argon treatment; and a baseline with tantalum nitride, tantalum, and tantalum flash.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
Barrier Deposition Process
[0023] Figure 1 illustrates one embodiment of a process of utilizing ALD tantalum nitride deposition in the formation of metal interconnect structures. In step 101 , preconditioning occurs to prepare the surface for additional modification. The preconditioning options include nitrogen plasma, water plasma, hydrogen and helium plasma, low energy plasma, pre-flash with titanium or aluminum, or other precleaning process. In step 102, tantalum nitride is deposited by atomic layer deposition over a substrate structure. In step 104, a tantalum layer is deposited by physical vapor deposition over the tantalum nitride formed in step 102. In step 106, a punch-through step is performed to remove a portion of the tantalum nitride deposited in step 102 and to remove a portion of the tantalum deposited in step 104. In step 108, an optional titanium flash step may be performed to deposit tantalum by physical vapor deposition over the resulting substrate structure of step 106. In step 110, a seed layer is formed over the resulting substrate structure of step 106 or step 108. After step 110, an optional adhesion layer deposition step 112 or copper or other deposition step 114 may occur. Deposition Apparatus
[0024] Figure 4 is a schematic top-view diagram of an exemplary multi-chamber processing system 600 that may be adapted to perform processes as disclosed herein. Such a processing system 600 may be an Endura™ system, commercially available from Applied Materials, Inc., of Santa Clara, California. A similar multi- chamber processing system is disclosed in U.S. Patent No. 5,186,718, entitled "Stage Vacuum Wafer Processing System and Method," issued on February 16, 1993, which is incorporated by reference herein.
[0025] The system 400 generally includes load lock chambers 402 and 404 for the transfer of substrates into and out from the system 400. Typically, since the system 400 is under vacuum, the load lock chambers 402 and 404 may "pump down" the substrates introduced into the system 400. A first robot 410 may transfer the substrates between the load lock chambers 402 and 404 and a first set of one or more substrate processing chambers 412, 414, 416, and 418 (four are shown). Each processing chamber 412, 414, 416, and 418 can be outfitted to perform a number of substrate processing operations such as cyclical layer deposition including atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, de-gas, orientation and other substrate processes. The first robot 410 also transfers substrates to or from one or more transfer chambers 422 and 424.
[0026] The transfer chambers 422 and 424 are used to maintain ultrahigh vacuum conditions while allowing substrates to be transferred within the system 400. A second robot 430 may transfer the substrates between the transfer chambers 422 and 424 and a second set of one or more processing chambers 432, 434, 436, and 438. Similar to processing chambers 412, 414, 416, and 418, the processing chambers 432, 434, 436, and 438 can be outfitted to perform a variety of substrate processing operations, such as cyclical layer deposition including atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, and orientation. Any of the substrate processing chambers 412, 414, 416, 418, 432, 434, 436, and 438 may be removed from the system 400 if not necessary for a particular process to be performed by the system 400.
[0027] Referring to Figure 4, the processing system includes one or more atomic layer deposition (ALD) chambers configured to deposit barrier layers and one or more PVD chambers configured to deposit seed layers. To enhance efficiency and throughput of the system, one configuration of the processing system includes two ALD chambers configured to deposit barrier layers and two PVD chambers configured to deposit seed layers disposed in connection to the back-end central transfer chamber. In one configuration, the processing chambers 434 and 436 may be a tantalum nitride ALD chamber, processing chamber 432 and 438 may be a copper or tantalum PVD chamber.
[0028] In an additional configuration to perform the method of Figure 1 , processing chamber 434 may be a tantalum nitride atomic layer deposition chamber to perform step 102; processing chamber 432 may be a tantalum physical vapor deposition chamber to perform steps 104, 106, and 108; and processing chamber 412 may be a copper physical vapor deposition chamber to perform step 110 and possibly an etch step. In another example, chambers 436, 438, and 414 can mirror chambers 434, 432, and 412 respectively so that two sets of substrates may be processed in the integrated system. These particular arrangements of the system 400 are provided to illustrate the invention and should not be used to limit the scope of the invention unless specifically set forth in the claims.
The Precleaning Process
[0029] The present invention provides a method for precleaning features on a semiconductor substrate to remove contaminants prior to metallization. The method includes removal of silicon dioxide from the bottom of contacts without damaging the silicon, removal of aluminum oxide or copper oxide from the bottom of vias without redeposition of the metal onto sidewalls, removal of a thin layer of silicon from the bottom of contact holes, and removal of contaminants from the sidewalls of the features. [0030] The invention provides a suitable method for precleaning vias, contacts, and other features etched into a dielectric layer, such as a silicon dioxide layer, which is deposited on a conductive or semi-conductive sublayer, such as Ge, Si, Al, Cu, or TiN sublayers. The feature typically exposes the sublayer so that the feature can be filled with a conductive or semi-conductive material which connects the sublayer and a subsequent metal interconnect layer to be deposited on the dielectric layer. Etching of the features in the dielectric typically leaves contaminants which should be removed to improve filling of the features and ultimately improve the integrity and reliability of the devices formed.
[0031] After etching of the dielectric layer, the features can have damaged silicon or metal residues within the features from over-etching of the dielectric layer. The features can also contain residual photoresist on the feature surfaces from the photoresist stripping or ashing process or residual polymer from the dielectric etch step. The features may also contain redeposited material on the feature surfaces following a sputter etch preclean process. These contaminants can migrate into the dielectric layer or can interfere with the selectivity of metallization by promoting uneven distribution of the depositing metal. The presence of the contaminants also can increase the resistance of the deposited metal by substantially narrowing the width of the feature, creating a narrowed portion in the metal forming the via, contact line, or other conductive feature.
[0032] The precleaning method of the invention is especially useful for cleaning of submicron features having copper sublayers at the bottom of the features since copper is easily sputtered to the side walls in a conventional ICP or sputter etch based preclean chamber. The sputtered copper diffuses into the dielectric material causing device failure. The present invention cleans the via without sputtering of the base of the via.
[0033] Referring to Figure 5, the process for pre-cleaning the substrate 526 in the pre-clean chamber 510 may involve a reactive process or a sputter-etching process using the substrate 526 as the sputtering target. Generally, the reactive pre-clean process (step 101 ) may be performed on the substrate by introducing helium or a pre-clean gas mixture comprising hydrogen (less than about 10%) and helium into the chamber and providing RF power (between about 300W and about 600W at about 1 to 4 MHz) to a plasma generation coil. The substrate support may be biased between about 10 and 100W. The chamber pressure may be maintained between about 40 mTorr and 200 mTorr during the pre-clean process. The reactive pre-clean process may be carried out for between about 30 seconds and 120 seconds. After the pre-clean process, the substrate is transferred to a chamber for deposition of a barrier layer and a seed layer over the surfaces of the substrate.
[0034] In one embodiment of the invention, the reactive pre-clean process (step 101 ) is performed on the substrate by introducing a pre-clean gas mixture comprising 5% hydrogen and 95% helium into the chamber and providing RF power to a coil at about 450W at about 2.0 MHz. The substrate support is biased at about 1-200 W. The chamber pressure is maintained at about 80 mTorr during the preclean process. The reactive pre-clean process is carried out for about 60 seconds. After the pre-clean process, the substrate is transferred to a high density plasma physical vapor deposition chamber for deposition of a barrier layer and a seed layer over the surfaces of the substrate.
A Preferred Precleaning Apparatus
[0035] The precleaning process of the present invention is preferably conducted on a remote plasma source (RPS) chamber such as the Etch RPS chamber which is available from Applied Materials, Inc., Santa Clara, Calif. In a RPS chamber, reactive H radicals are formed by a remote plasma source and are introduced into the processing region as primarily neutral species, i.e., not having an electric charge and therefore not an ion, thereby preventing generation of self bias and bombardment of the wafer surface by ions. Experiments with RPS chambers show that a 2.45 GHz microwave source is more efficient and can generate more hydrogen radicals than lower frequency RF sources.
Barrier Layer Deposition
[0036] "Atomic layer deposition" as used herein refers to the sequential introduction of two or more compounds to deposit a thin layer on a substrate surface. The two or more compounds are sequentially introduced into a reaction zone of a processing chamber. Each compound is separated by a time delay or pause to allow each compound to adhere to or react on the substrate surface. In one aspect, a first compound, compound A, is dosed/pulsed into the reaction zone followed by a first time delay or pause. Next, a second compound or compound B is dosed/pulsed into the reaction zone followed by a second time delay. These sequential tandems of a pulse of reactive compound followed by a time delay may be repeated indefinitely until a desired film or film thickness is formed on the substrate surface
[0037] Figures 9A-9F are schematic representations of an exemplary substrate structure at various stages in the process of Figure 1. Figure 9A shows a dielectric layer 904 formed over one or more underlying layers 902. The dielectric layer 904 may be any dielectric material including a low k dielectric material (k < 4.0), whether presently known or yet to be discovered. For example, the dielectric layer 904 may be a silicon oxide or a carbon doped silicon oxide material. The dielectric layer has been patterned and etched to form an aperture 905 using conventional and well- known techniques. The aperture 905 may be used to form a plug, via, contact, line, wore, or any other interconnect component. As shown in Figure 2A, the aperture 905 may be used to form an interconnect component in a dual damascene structure. The processes as disclosed herein may be used to particular advantage over an aperture 905 have a lower opening size 905A of about 0.22 μm or less and having a lower aspect ratio 905B of about 4:1 or greater, such as about 6:1.
[0038] The aperture 905 exposes at least a conductive portion 902A of a part of a lower level metal interconnect feature, such as a plug, via, contact, line, wire, metal gate electrode, etc. The conductive portion 902A may comprise any conductive material, such as aluminum, copper, tungsten, or combinations. The process as disclosed herein may be performed to advantage over a conductive portion 902A comprising copper, which will be discussed herein in greater detail.
[0039] Figure 9B shows a tantalum nitride layer 912 conformally deposited by atomic layer deposition, such as after step 102. The tantalum nitride layer is deposited to a thickness of about 50 A or less, preferably between about 5 A and about 20 A. One of the advantages of atomic layer deposition over other conventional deposition techniques such as physical vapor deposition and chemical vapor deposition for tantalum nitride is the ability to deposit a conformal layer of tantalum nitride over the small openings, high aspect ratio, and varied topography of apertures, such as aperture 905, in the formation of interconnect structures. The heater temperature of the substrate support is maintained at a low temperature between about 100°C and 300°C. In one aspect, it is believed that the low deposition temperature helps provide a more conformal tantalum nitride layer. Another of the advantages of the formation of an ALD tantalum nitride layer 912 over dielectric layer 904 is the good adhesion of the ALD tantalum nitride over dielectric materials.
[0040] The tantalum nitride layer deposited according to atomic layer deposition methods described herein shows evidence of an epitaxial growth phenomenon. In other words, the barrier layer takes on the same or substantially the same crystallographic characteristics as the underlying layer. As a result, a substantially single crystal is grown such that there is no void formation at an interface between the tantalum nitride layer and the underlying layer. Likewise, an additional tantalum layer deposited over the tantalum layer exhibits the same or substantially the same epitaxial growth characteristics that continue the formation of the single crystal. Accordingly, no void formation is produced at this interface. The resulting structure resembling a single crystal eliminates void formation, thereby substantially increasing device reliability. The single crystal structure also reduces the overall resistance of the interconnect feature while still providing excellent barrier properties. Furthermore, it is believed that the single crystalline growth reduces the susceptibility of electromigration and stress migration due to the conformal and uniform crystalline orientation across the interconnect material interfaces.
[0041] Tantalum nitride may be deposited by atomic layer deposition by providing one or more pulses of a tantalum-containing compound at a flow rate between about 100 seem and about 3,000 seem for a time period of about 1.0 second or less and one or more pulses of a nitrogen-containing compound at a flow rate between about 100 seem and about 3,000 seem for a time period of about 1.0 second or less to a reaction zone having a substrate disposed therein.
[0042] Exemplary tantalum-containing compounds include: t-butylimino tris(diethylamino) tantalum (TBTDET); pentakis (ethylmethylamiflo) tantalum (PEMAT); pentakis (dimethylamino) tantalum (PDMAT); pentakis (diethylamino) tantalum (PDEAT); t-butylimino tris(diethyl methylamino) tantalum(TBTMET) t- butylimino tris(dimethyl amino) tantalum (TBTDMT); bis(cyclopentadienyl) tantalum trihydride ((Cp)2TaH3); bis(methylcYcloPentadieflYI) tantalum trihydride ((CpMe)2TaH3); derivatives thereof; and combinations thereof. Preferably, the tantalum-containing compound comprises PDMAT. Exemplary nitrogen-containing compounds include: ammonia; hydrazine; methylhydrazine; dimethylhydrazine; t- butylhydrazine; phenylhydrazine; azoisobutafle ethylazide; derivatives thereof; and combinations thereof. Preferably, the nitrogen-containing compound comprises ammonia.
[0043] It is to be understood that these compounds or any other compound not listed above may be a solid, liquid, or gas at room temperature. For example, PDMAT is a solid at room temperature and TBTDET is a liquid at room temperature. Accordingly, the non-gas phase precursors are subjected to a sublimation or vaporization step, which are both well known in the art, prior to introduction into the processing chamber. A carrier gas, such as argon, helium, nitrogen, hydrogen, or a mixture thereof, may also be used to help deliver the compound into the processing chamber, as is commonly known in the art.
[0044] In a particular embodiment, a tantalum nitride layer having a thickness between 10 and 30 Angstroms is formed by atomic layer deposition by cyclically introducing PDMAT and ammonia to the substrate surface. To initiate the deposition of the tantalum nitride layer, a carrier/inert gas is introduced into the processing chamber 600 to stabilize the pressure and temperature therein. The carrier gas such as argon at a flow rate between 6000 to 10000 seem is allowed to flow continuously during the deposition process such that only the argon flows between pulses of each compound. A first pulse of PDMAT is provided from the gas source 613 at a flow rate between about 400 seem and about 1000 seem, with a pulse time of about 2.0 seconds or less after the chamber temperature and pressure have been stabilized at about 200°C to about 300° and about 1 Torr to about 5 Torr. A pulse of ammonia is then provided at a flow rate between about 1000 seem and about 2000 seem, with a pulse time of about 2.0 seconds or less.
[0045] A pause between pulses of PDMAT and ammonia is about 1.0 second or less, preferably about 0.5 seconds or less, more preferably about 0.1 seconds or less. In various aspects, a reduction in time between pulses at least provides higher throughput. As a result, a pause after the pulse of ammonia is also about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less. Argon gas flowing between about 1 ,000 seem and about 10,000 seem, such as between about 3,000 seem and about 6,000 seem, is continuously provided. In one aspect, a pulse of PDMAT may still be in the chamber when a pulse of ammonia enters. In general, the duration of the carrier gas and pump evacuation should be long enough to prevent the pulses of PDMAT and ammonia from mixing together in the reaction zone.
[0046] The heater temperature is maintained between about 100°C and about 300°C at a chamber pressure between about 1.0 and about 5.0 Torr. Each cycle consisting of a pulse of PDMAT, pause, pulse of ammonia, and pause provides a tantalum nitride layer having a thickness between about 0.3 A and about 1.0 A per cycle. The alternating sequence may be repeated until the desired thickness is achieved.
[0047] A "pulse/dose" as used herein is intended to refer to a quantity of a particular compound that is intermittently or non-continuously introduced into a reaction zone of a processing chamber. The quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse. A particular compound may include a single compound or a combination of two or more compounds. The durations for each pulse/dose are variable and may be adjusted to accommodate, for example, the volume capacity of the processing chamber as well as the capabilities of a vacuum system coupled thereto. Additionally, the dose time of a compound may vary according to the flow rate of the compound, the pressure of the compound, the temperature of the compound, the type of dosing valve, the type of control system employed, as well as the ability of the compound to adsorb onto the substrate surface. Dose times may also vary based upon the type of layer being formed and the geometry of the device being formed. Typically, the duration for each pulse/dose or "dose time" is typically about 1.0 second or less. However, a dose time can range from microseconds to milliseconds to seconds, and even to minutes. In general, a dose time should be long enough to provide a volume of compound sufficient to adsorb or chemisorb onto the entire surface of the substrate and form a layer of the compound thereon.
A Preferred Atomic Layer Deposition Apparatus
[0048] Figure 6 illustrates a schematic, partial cross section of an exemplary processing chamber 600 for forming a barrier layer according to embodiments of the present invention. Such a processing chamber 600 is available from Applied Materials, Inc. located in Santa Clara, California, and a brief description thereof follows. A more detailed description may be found in commonly assigned U. S. Patent Application Serial No. 10/032,284, entitled "Gas Delivery Apparatus and Method For Atomic Layer Deposition", filed on December 21 , 2001 , which is incorporated herein by reference to the extent not inconsistent with the claimed aspects and disclosure herein.
[0049] The processing chamber 600 may be integrated into an integrated processing platform, such as an Endura™ platform also available from Applied Materials, Inc. Details of the Endura™ platform are described in commonly assigned U. S. Patent Application Serial No. 09/451 ,628, entitled "Integrated Modular Processing Platform", filed on November 30, 1999, which is incorporated herein by reference to the extent not inconsistent with the claimed aspects and disclosure herein.
[0050] Figure 6 is a schematic cross-sectional view of one embodiment of a substrate processing chamber 610 including one or more valve assemblies 600 mounted below a chamber body 620 of the substrate processing chamber 610. The valve assemblies 600 are coupled to gas lines 655 plumbed through the chamber body 620. The gas lines 655 are, in turn, coupled to gas conduits 650 to provide one or more gases into the chamber body 620. The valve assemblies may also be mounted to other substrate processing chambers and may be mounted to other chamber components.
[0051] Referring to Figure 6, each valve assembly 600 includes a valve body 610 and a diaphragm assembly 630. The valve body 610 includes a valve chamber 611 in fluid communication with three ports including a reactant inlet 612, a purge inlet 614, and an outlet 616. The reactant inlet 612 is in fluid communication with a reactant source 613 to supply a reactant through the valve chamber 611 , through the outlet 616, through the gas line 655, through the gas conduit 650, and into the chamber body 620. The purge inlet 614 is in fluid communication with a purge gas source 615 and is adapted to supply a purge gas through the valve chamber 611 , through the outlet 616, through the gas line 655, through the gas conduit 650, and into the chamber body 620. If the substrate processing chamber 610 includes two or more valve assemblies 600, the purge inlet 614 of each valve assembly 600 is preferably coupled to separate purge gas sources 615. In other embodiments, the purge inlet 614 of each valve assembly 600 may be coupled to a common purge gas source.
[0052] Referring to Figure 6, an electronically controlled valve 652, such as a solenoid valve, may be mounted to the diaphragm assembly 630 to selectively provide a pressurized gas from a pressurized gas supply 650, such as air or other gas, coupled to the electronically controlled valve 652 through a gas line 651. Programmable logic controllers (PLC) are coupled to the electronically controlled valves 652 to control electrical signals to the electronically controlled valve 652. The programmable logic controllers are in turn coupled to a main controller which controls the programmable logic controller. Although an electronically controlled valve provides pressurized gas to the diaphragm assembly 630, the valve assembly 600 is a pneumatically actuated valve. [0053] In one embodiment, argon is used as the carrier gas at a flow rate 500 seem, ammonia enters the chamber at a flow rate of 1500 seem, and the argon purge flow is at a flow rate 8000 seem.
Post-Deposition Treatment Options
[0054] After the dielectric deposition, the substrate may be treated with a plasma, seed layer deposition, or adhesion layer deposition before the bulk metal deposition step. The plasma treatment may comprise argon, nitrogen, or hydrogen plasma. The seed layer deposition may comprise copper, copper aluminum, copper tin, tantalum, tungsten, thallium, cobalt, titanium, aluminum, another metal, or combinations of metals. Methods of deposition include ALD, CVD, PVD, electroplating or electroless plating. The adhesion layer may comprise rubidium, tantalum, titanium, aluminum, or tungsten.
Optional Tantalum Layer
[0055] Figure 9C shows a tantalum layer 922 deposited over the tantalum nitride layer 912, such as after step 104. The tantalum layer 922 and the tantalum nitride layer 912 together make up barrier layer 924. In one aspect, the tantalum layer 922 provides good adhesion with the seed layer 942 (Figure 9F). In another aspect tantalum nitride 912 and tantalum 922 have good adhesion with each other. In one embodiment, the temperature of the substrate support is unheated (i.e. room temperature). In one embodiment of the tantalum layer deposition, argon is provided between 12 and 36 seem to a PVD deposition chamber. A RF substrate bias of between about 100 Watts and about 1000 Watts may be provided to the substrate support during deposition of the tantalum layer 922. The DC power supplied to the PVD deposition may be 40 kW with an optional RF power of 2 kW. The tantalum layer is deposited to a thickness of about 75 A or less, preferably between about 40 A and about 60 A.
[0056] Not wishing to be bound by theory unless explicitly set forth in the claims, it is believed that the conformal ALD tantalum nitride layer 912 helps cause growth of low resistivity alpha-phase tantalum at least over portions thereover, such as on the bottom of the aperture or over field areas, during physical vapor deposition. It is also believed that the wafer bias during physical vapor deposition helps in the formation of low resistivity alpha-phase tantalum
Punch-Through
[0057] Figure 9D shows a punch through step performed to remove at least a portion of tantalum nitride and tantalum at the bottom of the aperture 905. Preferably, etch step is performed to remove tantalum nitride and tantalum at the bottom of the aperture 905 to reveal conductive portion 902A of the underlying layer 902. In one aspect, the tantalum nitride and tantalum remaining at the sidewalls prevent copper diffusion of the sputtered conductive material, such as copper, from a conductive portion 902A, such as a copper conductive portion, into the dielectric layer 904. The punch-through step also removes an oxide formation, residues (such as patterning residues), and other contaminants which may have formed over the conductive portion 902A.
[0058] The etch preferably comprises an argon plasma etch. A directional argon plasma etch is used to ensure that the plasma etch will reach the bottom of the aperture 905. The conditions for the etch are dependent on the design parameters of the chamber and the substrate support. RF wafer bias is between about 100 Watts and about 1000 Watts and is performed for a time period of between about 1 second and about 20 seconds depending on the desired thickness of the tantalum nitride and tantalum to be removed.
[0059] In one embodiment of the argon plasma etch, argon is provided at a flow rate of 12 seem. The DC power supplied to the system is 0 W. The RF power is 2000 W, the DC coil has a power of 800 W, and the wafer bias is 600 W.
Optional Tantalum Flash
[0060] Figure 9E shows an optional tantalum physical vapor deposition flash step. It is intended that the tantalum physical vapor deposition flash step deposits tantalum at the bevel corners 932 to help build up tantalum at the bevel corners 932 which may have been etched during the punch-through step. The tantalum flash step is preferably performed with a low wafer bias to reduce tantalum deposition at the bottom of the aperture 905.
Optional Seed Layer
[0061] Figure 9F shows a seed layer 942 deposited over the substrate structure of Figure 9D or Figure 9E. The seed layer 942 may comprise a copper seed layer, a copper alloy seed layer, another metal seed layer, and combinations thereof. Preferably, the seed layer 942 comprises a copper seed layer, a copper alloy seed layer, or combinations thereof
[0062] Because the punch-through step reduces or removes the thickness of tantalum nitride layer 912 and tantalum layer 922 at the bottom of the aperture 905, the resistance of the interconnect structure is reduced. In one embodiment, a copper-to-copper interface may be provided between the seed layer 942 comprising copper and a conductive portion 902A comprising copper. In addition, because the punch-through step reduces or removes the tantalum nitride layer 912 and tantalum layer 922 at the bottom of the aperture 905 a thicker tantalum nitride layer 912 may be initially deposited. For these reasons and other reasons discussed herein, device performance and reliability are improved.
[0063] Referring to Figure 1 , physical vapor deposition of tantalum of step 104 is performed in a PVD chamber, such as an ionized metal plasma (IMP) PVD chamber. Examples of IMP PVD chambers include a Self-Ionized Plasma 51pTM chamber or an EnCoReTM Ta chamber, available from Applied Materials, Inc. of Santa Clara, California. The punch-through step 106 may be performed in a suitable plasma-processing chamber. The tantalum physical vapor deposition step 108 may be performed in a suitable PVD chamber. For through-put and particle generation concerns, steps 104-108 are preferably performed in the same processing chamber.
[0064] Continuing to refer to Figure 1 , a seed layer is at least partially deposited on the barrier layer, as shown at step 110. The seed layer may be deposited using any conventional deposition technique, such as chemical vapor deposition (CVD), physical vapor deposition (PVD), electroplating, or electroless plating. For example, the seed layer may be deposited in an IMP PVD chamber. In one aspect, the seed layer is a conventional copper seed layer. In another aspect, the seed layer is a copper alloy seed layer. In still another aspect, the seed layer is a multi-layer seed layer of the same or different metals and alloys
Alternative Embodiment
[0065] Figure 2 illustrates another embodiment of a process of utilizing ALD tantalum layer in one stage in the formation of metal interconnect structures. In step 202, tantalum nitride is deposited by atomic layer deposition over a substrate structure. In step 204, a punch-through step is performed to remove a portion of the tantalum nitride deposited in step 202. In step 206, an adhesion layer, such as a tantalum layer or a suitable metal, is deposited over the resulting substrate structure of step 204. In step 208, a seed layer is formed over the adhesion layer. All or a partial number of steps 202 through 208 may be performed on an integrated processing system, such as the system of Figure 4.
[0066] Figure 10 is a schematic representation of an example of a resulting substrate structure after step 208 of Figure 2. In one aspect, the tantalum nitride layer 1001 is deposited in step 202 to a thickness of about 50 A or less, preferably between about 5 A and about 30 A. The tantalum nitride layer 1001 protects the sidewalls of the dielectric layer 1002 from sputter conductive material 1004, such as copper, from a conductive portion of an underlying layer 1003 below the dielectric layer 1002. The punch-through step is performed for preferably about 10 seconds or less. One advantage of the present process is that the punch-through step need only remove a portion of the tantalum nitride in order to expose a conductive portion of the underlying layer.
Additional Alternative Embodiment
[0067] Figure 3A illustrates yet another embodiment of a process of utilizing ALD tantalum nitride layer in one stage in the formation of metal interconnect structures.
In step 302, tantalum nitride is deposited by atomic layer deposition over a substrate structure. In step 304, the tantalum nitride layer is exposed to a non-nitrogen plasma. Preferably, the non-nitrogen plasma comprises a noble gas, such as argon. The non-nitrogen plasma may further include hydrogen or other non-nitrogen gases. Optionally, steps 302 and 304 may be repeated. For example, a non-nitrogen plasma treatment may be performed after a number cycles, for example after every 20 cycles, until a desired amount of tantalum nitride has been deposited. Multiple non-nitrogen plasma treatments help to treat the tantalum nitride at the bottom of the aperture. In step 306, an optional tantalum layer may be deposited over the plasma- treated tantalum nitride layer. In step 308, a seed layer is formed over the resultant substrate structure of step 304 or 306. All or a partial number of steps 302 through 308 may be performed on an integrated processing system, such as the system of Figure 4.
[0068] Figure 11 is a schematic representation of an example of a resulting substrate structure after step 308 of Figure 3A. In one aspect, it is believed that the non-nitrogen plasma treatment reduces the nitrogen content of the ALD tantalum nitride layer 1101. Since the nitrogen content of the ALD tantalum nitride layer 1101 is reduced, the resistivity of thereof is reduced and thus the contact resistance is reduced.
Multiple ALD TaN Deposition Steps
[0069] Figure 3B illustrates yet another embodiment of a process of utilizing ALD tantalum nitride layer in one stage in the formation of metal interconnect structures. In step 302, tantalum nitride is deposited by atomic layer deposition over a substrate structure. In step 305, the tantalum nitride layer is exposed to a punch through step. An additional tantalum nitride layer is deposited in step 305A. In step 306, an optional tantalum layer may be deposited over the plasma-treated tantalum nitride layer. In step 308, a seed layer is formed over the resultant substrate structure of step 304 or 306. All or a partial number of steps 302 through 308 may be performed on an integrated processing system, such as the system of Figure 4.
Experimental Results
[0070] Figure 12 illustrates the cumulative probability as a function of time to failure for ALD tantalum nitride barrier with a copper aluminum seed and for a PVD barrier with a copper seed. The lifetime distribution is improved with the copper aluminum alloy seed used with an ALD tantalum nitride barrier.
[0071] Figure 13 compares cumulative probability as a function of time to failure for ALD tantalum nitride with punchthrough and ALD tantalum nitride layers; ALD tantalum nitride with new punchthrough ALD tantalum nitride layers, and argon treatment; and a baseline with tantalum nitride, tantalum, and tantalum flash. The temperature was 350°C. There was 1.5 ma/cm2 link current density. There was upstream direction current. Flow was proportional to (μm) via/link size. Old punchthrough had DC power less than 150 W and a wafer bias of 600 W. New punchthrough had DC power of 3000 W and a wafer bias of 800 W. This dramatic increase in time to failure illustrates the desirable properties of the improvement.
Final Steps
[0072] Referring to Figure 1 , 2, 3A, or 3B subsequent to seed layer formation in step 410, step 708, or step 808, a bulk metal layer is at least partially deposited on the seed layer. The metal layer may also be deposited using any conventional deposition technique, such as electroplating, electroless plating, chemical vapor deposition (CVD), or physical vapor deposition (PVD). The metal layer preferably includes any conductive material such as copper, aluminum, tungsten, or combinations thereof. The metal layer preferably comprises a bulk copper layer.
[0073] In one embodiment, preferably, the bulk copper layer is formed within an electroplating cell, such as the ElectraTM Cu ECP system, available from Applied Materials, Inc., of Santa Clara, California. A copper electrolyte solution and copper electroplating technique is described in commonly assigned U.S. Patent No. 6,113,771 , entitled "Electro-deposition Chemistry", which is incorporated by reference herein. Typically, the electroplating bath has a copper concentration greater than about 0.7M, a copper sulfate concentration of about 0.85, and a pH of about 1.75. The electroplating bath may also contain various additives as is well known in the art. The temperature of the bath is between about 15°C and about 250°. The bias is between about — 15 volts to about 15 volts. In one aspect, the positive bias ranges from about 0.1 volts to about 10 volts and the negatives bias ranges from about — 0.1 to about — 10 volts.
[0074] Optionally, an anneal treatment may be performed following the metal layer deposition. For example, the wafer may be subjected to a temperature between about 100 °C and about 400 °C for between about 1 minute to about I hour. A carrier/purge gas such as helium, hydrogen, nitrogen, or a mixture thereof is introduced at a rate of about 100 seem to about 10,000 seem. The chamber pressure is maintained between about 2 Torr and about 10 Torr.
[0075] Following deposition, the top portion of the resulting structure may be planarized. A chemical mechanical polishing (CMP) apparatus may be used, such as the MirraTM System available from Applied Materials, Santa Clara, California, for example. Optionally, the intermediate surfaces of the structure may be planarized between the deposition of the subsequent layers described above.
[0076] While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

Claims:
1. A method of forming a metal interconnect on a semiconductor substrate, comprising; cleaning features formed in a dielectric layer and exposing a conductive material underlying the dielectric layer by generating a plasma in a remote plasma source, delivering radicals from the plasma to a first process chamber which contains the substrate, and contacting the features formed in the dielectric layer with the radicals prior to a barrier layer deposition; depositing a tantalum nitride layer by atomic layer deposition within the features at a pressure between 1 and 10 Torr at a temperature between 200 and 300 °C in a second process chamber; depositing a tantalum layer by physical vapor deposition over the tantalum nitride layer in a third process chamber; plasma etching the tantalum layer and the tantalum nitride in a fourth process chamber to remove at least a portion of the tantalum layer and the tantalum nitride layer at the bottom of the feature to reveal the conductive material; optionally depositing additional tantalum or copper by physical vapor deposition on the tantalum layer; and depositing a seed layer over the conductive material and the tantalum layer in a fifth processing chamber, wherein the first processing chamber, the second processing chamber, the third processing chamber, the fourth processing chamber, and the fifth processing chamber are located in an integrated tool.
2. The method of claim 1 , wherein the cleaning is performed at 40 to 200 mTorr for 30-120 seconds.
3. The method of claim 1 , wherein the cleaning is performed with a plasma comprising RF power of 1 to 600 W, wafer bias is 10 to 100 W, and frequency is 1 to 4 MHz.
4. The method of claim 1 , wherein the cleaning is performed with a feed gas consisting of 0 to about 10 percent hydrogen and about 90 to 100 percent helium.
5. The method of claim 1 , wherein the tantalum nitride deposition is performed at 100-300 °C heater temperature and 1 to 5 Torr.
6. The method of claim 1 , wherein the tantalum nitride deposition is performed for one minute or less time.
7. The method of claim 1 , wherein the tantalum nitride deposition is performed with a tantalum containing precursor selected from the group comprising t-butylimino tris(diethylamino) tantalum, pentakis (ethylmethylamiflo) tantalum, pentakis (dimethylamino) tantalum, pentakis (diethylamino) tantalum, t-butylimino tris(diethyl methylamino) tantalum, t-butylimino tris(dimethyl amino) tantalum, bis(cyclopentadienyl) tantalum trihydride, and bis(methylcyclopentadieflyl) tantalum trihydride.
8. The method of claim 1 , wherein the tantalum nitride deposition is performed with a nitrogen containing precursor selected from the group comprising ammonia, hydrazine, methylhydrazine, dimethylhydrazine, t-butylhydrazine, phenylhydrazine, and azoisobutafle ethylazide.
9. The method of claim 1 , wherein the tantalum nitride deposition is performed with the tantalum containing precursor pulsed into the chamber at 100 to 3,000 seem for 2.0 seconds or less.
10. The method of claim 1 , wherein the tantalum nitride deposition is performed with the nitrogen containing precursor pulsed into the chamber at 100 to 3,000 seem for 2.0 seconds or less.
11. The method of claim 1 , wherein the tantalum nitride deposition is performed with argon flowing continuously into the chamber at 1 ,000 to 10,000 seem.
12. The method of claim 1 , wherein the tantalum layer deposition is performed at 10 to 50 °C and wafer bias is 100 to 1000 W.
13. The method of claim 1 , wherein the plasma etching is performed with the gas selected from the group comprising argon, nitrogen, or hydrogen.
14. The method of claim 1 , wherein the plasma etching is performed with RF power of 100 to 1000 W for 1 to 20 seconds.
15. The method of claim 1 , wherein the plasma etching is performed with a directional argon plasma.
16. The method of claim 1 , further comprising depositing additional metal by physical vapor deposition on the tantalum layer.
17. The method of claim 16, further comprising depositing a bulk metal layer.
18. The method of claim 1 , wherein the third and fourth process chambers are the same chamber.
19. The method of claim 1 , wherein the fourth and fifth process chambers are the same chamber.
20. The method of claim 16, wherein the metal is selected from the group comprising copper, copper aluminum, copper tin, tantalum, tungsten, thallium, cobalt, titanium, and aluminum.
21. The method of claim 16, wherein the deposition is performed at 10 to 50 °C and wafer bias of 100 to 1000 W.
22. The method of claim 1 , wherein the seed layer is deposited by a method selected from the group comprising chemical vapor deposition, physical vapor deposition, electroplating, and electroless plating.
23. The method of claim 1 , wherein the seed layer comprises a metal selected from the group comprising copper, copper aluminum, copper tin, tantalum, tungsten, thallium, cobalt, titanium, and aluminum.
24. The method of claim 1 , further comprising a preliminary substrate surface cleaning with nitrogen before cleaning features formed in a dielectric layer and exposing a conductive material underlying the dielectric layer by generating a plasma in a remote plasma source, delivering radicals from the plasma to a first process chamber which contains the substrate, and contacting the features formed in the dielectric layer with the radicals prior to a barrier layer deposition.
25. The method of claim 1 , wherein the plasma etching is performed with a noble gas.
26. A method of forming a metal interconnect on a semiconductor substrate, comprising; cleaning features formed in a dielectric layer and exposing a conductive material underlying the dielectric layer by generating a plasma in a remote plasma source, delivering radicals from the plasma to a first process chamber which contains the substrate, and contacting the features formed in the dielectric layer with the radicals prior to a barrier layer deposition; depositing a tantalum nitride layer by atomic layer deposition within the features at a pressure between 1 and 10 Torr at a temperature between 200 and 300 °C in a second process chamber; depositing a tantalum layer by physical vapor deposition over the tantalum nitride layer in a third process chamber; plasma etching the tantalum layer and the tantalum nitride in the third process chamber to remove at least a portion of the tantalum layer and the tantalum nitride layer at the bottom of the feature to reveal the conductive material; optionally depositing additional tantalum or copper by physical vapor deposition on the tantalum layer; and depositing a seed layer over the conductive material and the tantalum layer in a fourth processing chamber, wherein the first processing chamber, the second processing chamber, the third processing chamber, and the fourth processing chamber are located in an integrated tool.
27. The method of claim 26, wherein the cleaning is performed at 40 to 200 mTorr for 30-120 seconds.
28. The method of claim 26, wherein the cleaning is performed with a plasma comprising RF power of 1 to 600 W, wafer bias is 10 to 100 W, and frequency is 1 to 4 MHz.
29. The method of claim 26, wherein the cleaning is performed with a feed gas consisting of 0 to about 10 percent hydrogen and about 90 to 100 percent helium.
30. The method of claim 26, wherein the tantalum nitride deposition is performed at 100-300 °C heater temperature and 1 to 5 Torr.
31. The method of claim 26, wherein the tantalum nitride deposition is performed for one minute or less time.
32. The method of claim 26, wherein the tantalum nitride deposition is performed with the tantalum containing precursor pulsed into the chamber at 100 to 3,000 seem for 2.0 seconds or less.
33. The method of claim 26, wherein the tantalum nitride deposition is performed with the nitrogen containing precursor pulsed into the chamber at 100 to 3,000 seem for 2.0 seconds or less.
34. The method of claim 26, wherein the tantalum nitride deposition is performed with argon flowing continuously into the chamber at 1 ,000 to 10,000 seem.
35. The method of claim 26, wherein the tantalum layer deposition is performed at 10 to 50 °C and wafer bias is 100 to 1000 W.
36. The method of claim 26, wherein the plasma etching is performed with RF power is 100 to 1000 W for 1 to 20 seconds.
37. The method of claim 26, wherein the plasma etching is performed with a directional argon plasma.
38. The method of claim 26, further comprising depositing additional metal by physical vapor deposition to the tantalum layer.
39. The method of claim 26, wherein the second and third process chambers are the same chamber.
40. The method of claim 26, wherein the third and fourth process chambers are the same chamber.
41. The method of claim 26, wherein the seed layer is deposited by a method selected from the group comprising chemical vapor deposition, physical vapor deposition, electroplating, and electroless plating.
42. The method of claim 26, further comprising depositing additional metal by physical vapor deposition to the tantalum layer.
43. The method of claim 42, further comprising depositing a bulk metal layer.
44. An apparatus for forming a metal interconnect on a semiconductor substrate, comprising; a preclean chamber for cleaning features formed in a dielectric layer, the preclean chamber comprising a remote plasma source; an atomic layer deposition chamber for depositing a tantalum nitride layer at a pressure between 1 and 10 Torr at a temperature between 200 and 300 °C; a physical vapor deposition chamber for depositing a tantalum layer; a plasma etching chamber for plasma etching features in the tantalum layer and the tantalum nitride; and a seed layer deposition chamber for depositing a seed layer in the features; wherein the preclean chamber, the atomic layer deposition chamber, the physical vapor deposition chamber, the plasma etching chamber, and the seed layer deposition chamber are located in an integrated tool.
45. The apparatus of claim 44, further comprising a second physical vapor deposition chamber for depositing a bulk metal layer on the tantalum layer.
PCT/US2004/020008 2003-06-13 2004-06-10 Integration of ald tantalum nitride for copper metallization WO2004114398A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020057023971A KR101177576B1 (en) 2003-06-13 2004-06-10 Integration of ald tantalum nitride for copper metallization
JP2006533817A JP2007502551A (en) 2003-06-13 2004-06-10 Integration of ALD tantalum nitride for copper metallization

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US47866303P 2003-06-13 2003-06-13
US60/478,663 2003-06-13

Publications (1)

Publication Number Publication Date
WO2004114398A1 true WO2004114398A1 (en) 2004-12-29

Family

ID=33539109

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/020008 WO2004114398A1 (en) 2003-06-13 2004-06-10 Integration of ald tantalum nitride for copper metallization

Country Status (4)

Country Link
JP (1) JP2007502551A (en)
KR (1) KR101177576B1 (en)
CN (1) CN100593235C (en)
WO (1) WO2004114398A1 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006091510A1 (en) * 2005-02-22 2006-08-31 Asm America, Inc. Plasma pre-treating surfaces for atomic layer deposition
JP2007537360A (en) * 2004-05-12 2007-12-20 アプライド マテリアルズ インコーポレイテッド Apparatus and method for atomic layer deposition of hafnium-containing high dielectric constant dielectric materials
JP2008147252A (en) * 2006-12-06 2008-06-26 Renesas Technology Corp Semiconductor device and method for manufacturing the same
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US7476627B2 (en) 2000-11-24 2009-01-13 Asm America, Inc. Surface preparation prior to deposition
JP2013219380A (en) * 2007-06-04 2013-10-24 Tokyo Electron Ltd Film forming method, and film forming apparatus
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US9243326B2 (en) 2010-03-26 2016-01-26 Kabushiki Kaisha Toyota Chuo Kenkyusho Surface treatment apparatus
EP2356674A4 (en) * 2008-12-10 2017-12-06 Intel Corporation Dual metal interconnects for improved gap-fill, reliability, and reduced capacitance

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005203569A (en) * 2004-01-15 2005-07-28 Semiconductor Leading Edge Technologies Inc Fabrication process of semiconductor device and semiconductor device
WO2008027386A2 (en) * 2006-08-30 2008-03-06 Lam Research Corporation Controlled ambient system for interface engineering
KR100842668B1 (en) * 2006-12-26 2008-06-30 동부일렉트로닉스 주식회사 Method for fabricating metal line of semiconductor device
US8557702B2 (en) * 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
CN104109844B (en) * 2013-04-18 2016-07-06 中芯国际集成电路制造(上海)有限公司 A kind of processing technology of the tantalum nitride membrane based on technique for atomic layer deposition
US20180076065A1 (en) 2016-09-15 2018-03-15 Applied Materials, Inc. Integrated system for semiconductor process
US10229826B2 (en) * 2016-10-21 2019-03-12 Lam Research Corporation Systems and methods for forming low resistivity metal contacts and interconnects by reducing and removing metallic oxide
CN109346436A (en) * 2018-09-20 2019-02-15 德淮半导体有限公司 The method for manufacturing semiconductor device
KR20220113962A (en) 2019-12-12 2022-08-17 가부시키가이샤 아데카 Method for producing a copper-containing layer
US20210381107A1 (en) * 2020-06-03 2021-12-09 Micron Technology, Inc. Material deposition systems, and related methods and microelectronic devices

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020060363A1 (en) * 1997-05-14 2002-05-23 Applied Materials, Inc. Reliability barrier integration for Cu application
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US20030082301A1 (en) * 2001-10-26 2003-05-01 Applied Materials, Inc. Enhanced copper growth with ultrathin barrier layer for high performance interconnects

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
WO2003038892A2 (en) * 2001-10-26 2003-05-08 Applied Materials, Inc. Atomic-layer-deposited tantalum nitride and alpha-phase tantalum as barrier layers for copper metallization
JP4198906B2 (en) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ Semiconductor device and manufacturing method of semiconductor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020060363A1 (en) * 1997-05-14 2002-05-23 Applied Materials, Inc. Reliability barrier integration for Cu application
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US20030082301A1 (en) * 2001-10-26 2003-05-01 Applied Materials, Inc. Enhanced copper growth with ultrathin barrier layer for high performance interconnects

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US7981791B2 (en) 2000-03-07 2011-07-19 Asm International N.V. Thin films
US7476627B2 (en) 2000-11-24 2009-01-13 Asm America, Inc. Surface preparation prior to deposition
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
JP2007537360A (en) * 2004-05-12 2007-12-20 アプライド マテリアルズ インコーポレイテッド Apparatus and method for atomic layer deposition of hafnium-containing high dielectric constant dielectric materials
WO2006091510A1 (en) * 2005-02-22 2006-08-31 Asm America, Inc. Plasma pre-treating surfaces for atomic layer deposition
US7498242B2 (en) 2005-02-22 2009-03-03 Asm America, Inc. Plasma pre-treating surfaces for atomic layer deposition
JP2008147252A (en) * 2006-12-06 2008-06-26 Renesas Technology Corp Semiconductor device and method for manufacturing the same
JP2013219380A (en) * 2007-06-04 2013-10-24 Tokyo Electron Ltd Film forming method, and film forming apparatus
EP2356674A4 (en) * 2008-12-10 2017-12-06 Intel Corporation Dual metal interconnects for improved gap-fill, reliability, and reduced capacitance
US9243326B2 (en) 2010-03-26 2016-01-26 Kabushiki Kaisha Toyota Chuo Kenkyusho Surface treatment apparatus

Also Published As

Publication number Publication date
KR20060017871A (en) 2006-02-27
KR101177576B1 (en) 2012-08-27
CN1806325A (en) 2006-07-19
JP2007502551A (en) 2007-02-08
CN100593235C (en) 2010-03-03

Similar Documents

Publication Publication Date Title
US7049226B2 (en) Integration of ALD tantalum nitride for copper metallization
US7244683B2 (en) Integration of ALD/CVD barriers with porous low k materials
US7507660B2 (en) Deposition processes for tungsten-containing barrier layers
WO2004114398A1 (en) Integration of ald tantalum nitride for copper metallization
US7211508B2 (en) Atomic layer deposition of tantalum based barrier materials
US7494908B2 (en) Apparatus for integration of barrier layer and seed layer
US20030082307A1 (en) Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application
US6784096B2 (en) Methods and apparatus for forming barrier layers in high aspect ratio vias
US20030059538A1 (en) Integration of barrier layer and seed layer
US20030057526A1 (en) Integration of barrier layer and seed layer
WO2003028090A2 (en) Integration of barrier layer and seed layer
TWI223867B (en) Method for forming a metal interconnect on a substrate
TW201445002A (en) Methods for manganese nitride integration

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 20048163361

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 1020057023971

Country of ref document: KR

Ref document number: 2006533817

Country of ref document: JP

WWP Wipo information: published in national office

Ref document number: 1020057023971

Country of ref document: KR

122 Ep: pct application non-entry in european phase