WO2005006076A3 - Systems for magnification and distortion correction for imprint lithography processes - Google Patents

Systems for magnification and distortion correction for imprint lithography processes Download PDF

Info

Publication number
WO2005006076A3
WO2005006076A3 PCT/US2004/022454 US2004022454W WO2005006076A3 WO 2005006076 A3 WO2005006076 A3 WO 2005006076A3 US 2004022454 W US2004022454 W US 2004022454W WO 2005006076 A3 WO2005006076 A3 WO 2005006076A3
Authority
WO
WIPO (PCT)
Prior art keywords
template
magnification
systems
contact members
distortion correction
Prior art date
Application number
PCT/US2004/022454
Other languages
French (fr)
Other versions
WO2005006076A2 (en
Inventor
Byung Jin Choi
Sidlgata V Sreenivasan
Mario J Meissl
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Priority to EP04778126A priority Critical patent/EP1642170A4/en
Priority to JP2006518977A priority patent/JP4594305B2/en
Publication of WO2005006076A2 publication Critical patent/WO2005006076A2/en
Publication of WO2005006076A3 publication Critical patent/WO2005006076A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/003Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor characterised by the choice of material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/0046Surface micromachining, i.e. structuring layers on the substrate using stamping, e.g. imprinting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • B29C2043/023Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves
    • B29C2043/025Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves forming a microstructure, i.e. fine patterning

Abstract

The present invention is directed toward a system to vary dimensions of a template in order to attenuate if not prevent distortions in an underlying pattern formed by the template. To that end, the system features a compression device that includes a pair of spaced-apart contact members to compress a perimeter surface of the template between the pair of spaced-apart contact members. The compression device includes first and second bodies, each has a contact member and an actuator arm. One of the actuator arms is coupled to the first body to reciprocate about an axis in response to variations of a volume of a bladder disposed adjacent to the actuator arm. In this manner, the distance between the two contact members may be varied.
PCT/US2004/022454 2003-07-09 2004-07-08 Systems for magnification and distortion correction for imprint lithography processes WO2005006076A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP04778126A EP1642170A4 (en) 2003-07-09 2004-07-08 Systems for magnification and distortion correction for imprint lithography processes
JP2006518977A JP4594305B2 (en) 2003-07-09 2004-07-08 System for correcting magnification and distortion in imprint lithography processes

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/616,294 US7150622B2 (en) 2003-07-09 2003-07-09 Systems for magnification and distortion correction for imprint lithography processes
US10/616,294 2003-07-09

Publications (2)

Publication Number Publication Date
WO2005006076A2 WO2005006076A2 (en) 2005-01-20
WO2005006076A3 true WO2005006076A3 (en) 2006-07-06

Family

ID=33564732

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/022454 WO2005006076A2 (en) 2003-07-09 2004-07-08 Systems for magnification and distortion correction for imprint lithography processes

Country Status (8)

Country Link
US (1) US7150622B2 (en)
EP (1) EP1642170A4 (en)
JP (1) JP4594305B2 (en)
KR (1) KR20060034694A (en)
CN (1) CN1871103A (en)
MY (1) MY137556A (en)
TW (1) TW200522155A (en)
WO (1) WO2005006076A2 (en)

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050064344A1 (en) * 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
US20080160129A1 (en) 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US7641840B2 (en) 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
JP4455093B2 (en) * 2004-02-20 2010-04-21 キヤノン株式会社 Mold, processing apparatus using mold, and processing method using mold
US8334967B2 (en) * 2004-05-28 2012-12-18 Board Of Regents, The University Of Texas System Substrate support system having a plurality of contact lands
US20050270516A1 (en) * 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
US7768624B2 (en) * 2004-06-03 2010-08-03 Board Of Regents, The University Of Texas System Method for obtaining force combinations for template deformation using nullspace and methods optimization techniques
US7785526B2 (en) 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7292326B2 (en) * 2004-11-30 2007-11-06 Molecular Imprints, Inc. Interferometric analysis for the manufacture of nano-scale devices
JP4773729B2 (en) * 2005-02-28 2011-09-14 キヤノン株式会社 Transfer apparatus and device manufacturing method
US7611348B2 (en) * 2005-04-19 2009-11-03 Asml Netherlands B.V. Imprint lithography
JP4700996B2 (en) * 2005-04-19 2011-06-15 東芝機械株式会社 Transfer device
US7648354B2 (en) * 2005-04-28 2010-01-19 Toshiba Kikai Kabushiki Kaisha Transfer apparatus having gimbal mechanism and transfer method using the transfer apparatus
JP4729338B2 (en) * 2005-05-10 2011-07-20 東芝機械株式会社 Transfer device
JP4701008B2 (en) * 2005-05-25 2011-06-15 東芝機械株式会社 Transfer device with gimbal mechanism
JP4533358B2 (en) * 2005-10-18 2010-09-01 キヤノン株式会社 Imprint method, imprint apparatus and chip manufacturing method
US7906058B2 (en) * 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
MY144847A (en) 2005-12-08 2011-11-30 Molecular Imprints Inc Method and system for double-sided patterning of substrates
US7802978B2 (en) * 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
US7946837B2 (en) * 2006-10-06 2011-05-24 Asml Netherlands B.V. Imprint lithography
US7632088B2 (en) * 2006-10-20 2009-12-15 Provost Fellows And Scholars Of The College Of The Holy And Undivided Trinity Of Queen Elizabeth Near Dublin Cyclic loading system and methods for forming nanostructures
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
NL1036034A1 (en) * 2007-10-11 2009-04-15 Asml Netherlands Bv Imprint lithography.
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
JP5065121B2 (en) * 2008-03-28 2012-10-31 東京エレクトロン株式会社 Resist solution supply apparatus, resist solution supply method, program, and computer storage medium
US8043085B2 (en) * 2008-08-19 2011-10-25 Asml Netherlands B.V. Imprint lithography
US8652393B2 (en) * 2008-10-24 2014-02-18 Molecular Imprints, Inc. Strain and kinetics control during separation phase of imprint process
US8309008B2 (en) * 2008-10-30 2012-11-13 Molecular Imprints, Inc. Separation in an imprint lithography process
CN102438841A (en) 2009-03-23 2012-05-02 因特瓦克公司 A process for optimization of island to trench ratio in patterned media
NL2004266A (en) 2009-04-27 2010-10-28 Asml Netherlands Bv An actuator.
NL2004409A (en) * 2009-05-19 2010-11-22 Asml Netherlands Bv Imprint lithography apparatus.
US9164375B2 (en) * 2009-06-19 2015-10-20 Canon Nanotechnologies, Inc. Dual zone template chuck
US8913230B2 (en) * 2009-07-02 2014-12-16 Canon Nanotechnologies, Inc. Chucking system with recessed support feature
NL2004735A (en) * 2009-07-06 2011-01-10 Asml Netherlands Bv Imprint lithography apparatus and method.
US20110084417A1 (en) * 2009-10-08 2011-04-14 Molecular Imprints, Inc. Large area linear array nanoimprinting
JP5669466B2 (en) * 2010-07-12 2015-02-12 キヤノン株式会社 Holding apparatus, imprint apparatus and article manufacturing method
JP5337114B2 (en) * 2010-07-30 2013-11-06 株式会社東芝 Pattern formation method
JP5637785B2 (en) * 2010-09-06 2014-12-10 キヤノン株式会社 Original plate and method of manufacturing article using the same
JP4774125B2 (en) * 2010-10-04 2011-09-14 キヤノン株式会社 Transfer apparatus, mold, and device manufacturing method
JP5744548B2 (en) * 2011-02-02 2015-07-08 キヤノン株式会社 Holding device, imprint apparatus using the same, and article manufacturing method
JP5759303B2 (en) * 2011-08-11 2015-08-05 キヤノン株式会社 Imprint apparatus and article manufacturing method using the same
JP6061524B2 (en) * 2011-08-11 2017-01-18 キヤノン株式会社 Imprint apparatus and article manufacturing method
JP6021606B2 (en) 2011-11-28 2016-11-09 キヤノン株式会社 Imprint apparatus, article manufacturing method using the same, and imprint method
KR102056902B1 (en) 2013-05-29 2019-12-18 삼성전자주식회사 Wire grid polarizer and liquid crystal display panel and liquid crystal display device having the same
KR102089661B1 (en) 2013-08-27 2020-03-17 삼성전자주식회사 Wire grid polarizer and liquid crystal display panel and liquid crystal display device having the same
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
JP6552329B2 (en) * 2014-09-12 2019-07-31 キヤノン株式会社 Imprint apparatus, imprint system, and article manufacturing method
US10331027B2 (en) 2014-09-12 2019-06-25 Canon Kabushiki Kaisha Imprint apparatus, imprint system, and method of manufacturing article
JP6552185B2 (en) * 2014-11-20 2019-07-31 キヤノン株式会社 Imprint apparatus, correction mechanism calibration method, and article manufacturing method
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
US11454883B2 (en) 2016-11-14 2022-09-27 Canon Kabushiki Kaisha Template replication
US10606170B2 (en) 2017-09-14 2020-03-31 Canon Kabushiki Kaisha Template for imprint lithography and methods of making and using the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4492554A (en) * 1980-08-26 1985-01-08 Corn States Metal Fabricators, Inc. Valve unit for a mold vent
US5820894A (en) * 1995-10-06 1998-10-13 Mcdonnell Douglas Corporation Method and apparatus for consolidating a workpiece at elevated temperature
WO2000062993A1 (en) * 1999-04-16 2000-10-26 Aerospatiale Matra Forming equipment for polymerisation of shaped parts in composite material

Family Cites Families (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US81193A (en) * 1868-08-18 Improved stump-joint for carriages
US3783520A (en) 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
GB1578259A (en) 1977-05-11 1980-11-05 Philips Electronic Associated Methods of manufacturing solid-state devices apparatus for use therein and devices manufactured thereby
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
FR2538923A1 (en) 1982-12-30 1984-07-06 Thomson Csf METHOD AND DEVICE FOR OPTICALLY ALIGNING PATTERNS IN TWO PLANS RECONCILED IN AN EXPOSURE APPARATUS COMPRISING A DIVERGENT RADIATION SOURCE
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4724222A (en) 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
KR900004269B1 (en) 1986-06-11 1990-06-18 가부시기가이샤 도시바 Method and device for positioing 1st body and 2nd body
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US5066351A (en) * 1987-05-20 1991-11-19 The Boeing Company Hot/cold press forming methods for shaping thermoformable materials
US5096368A (en) * 1987-11-20 1992-03-17 Butterfield Floyd S Method for storing and transporting stacks of flexible sheets
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (en) 1988-09-09 1996-10-23 キヤノン株式会社 Alignment device
US5151242A (en) * 1988-12-08 1992-09-29 Sumitomo Rubber Industries, Ltd. Method for vulcanizing elastomer products and apparatus therefor
JP2704001B2 (en) 1989-07-18 1998-01-26 キヤノン株式会社 Position detection device
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
US5152949A (en) * 1990-12-19 1992-10-06 United Technologies Corporation Tooling method for resin transfer molding
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
DE69405451T2 (en) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Method and device for producing a structured relief image from cross-linked photoresist on a flat substrate surface
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6180239B1 (en) 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
KR0157279B1 (en) 1994-03-15 1999-05-01 모리시타 요이찌 Exposure apparatus for transferring a mask pattern onto a substrate
US5563684A (en) 1994-11-30 1996-10-08 Sgs-Thomson Microelectronics, Inc. Adaptive wafer modulator for placing a selected pattern on a semiconductor wafer
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5808742A (en) 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
WO1997007429A1 (en) 1995-08-18 1997-02-27 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US5545570A (en) 1995-09-29 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of inspecting first layer overlay shift in global alignment process
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US20040036201A1 (en) 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US7758794B2 (en) * 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
JP2842362B2 (en) 1996-02-29 1999-01-06 日本電気株式会社 Superposition measurement method
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US20030179354A1 (en) 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
US5802914A (en) 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US6039897A (en) 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
JPH10172897A (en) 1996-12-05 1998-06-26 Nikon Corp Substrate adaptor, substrate holder and method for holding substrate
US6049373A (en) 1997-02-28 2000-04-11 Sumitomo Heavy Industries, Ltd. Position detection technique applied to proximity exposure
JP3296239B2 (en) 1997-03-27 2002-06-24 ウシオ電機株式会社 Proximity exposure apparatus with gap setting mechanism
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
JP3031301B2 (en) * 1997-06-25 2000-04-10 日本電気株式会社 Copper wiring structure and method of manufacturing the same
US5877861A (en) 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US5991022A (en) 1997-12-09 1999-11-23 N&K Technology, Inc. Reflectance spectrophotometric apparatus with toroidal mirrors
US6019166A (en) 1997-12-30 2000-02-01 Intel Corporation Pickup chuck with an integral heatsink
JP3780700B2 (en) 1998-05-26 2006-05-31 セイコーエプソン株式会社 Pattern forming method, pattern forming apparatus, pattern forming plate, pattern forming plate manufacturing method, color filter manufacturing method, conductive film manufacturing method, and liquid crystal panel manufacturing method
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
JP4846888B2 (en) 1998-12-01 2011-12-28 キヤノン株式会社 Alignment method
US6388755B1 (en) 1998-12-03 2002-05-14 Advanced Optical Technologies, Inc. Wireless position and orientation detecting system
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
WO2000072093A1 (en) 1999-05-25 2000-11-30 Massachusetts Institute Of Technology Optical gap measuring apparatus and method using two-dimensional grating mark with chirp in one direction
US6517995B1 (en) 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
CA2395760A1 (en) 1999-12-23 2001-06-28 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
JP2001358056A (en) 2000-06-15 2001-12-26 Canon Inc Exposure apparatus
EP1303792B1 (en) 2000-07-16 2012-10-03 Board Of Regents, The University Of Texas System High-resolution overlay alignement methods and systems for imprint lithography
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US7211214B2 (en) 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US8016277B2 (en) 2000-08-21 2011-09-13 Board Of Regents, The University Of Texas System Flexure based macro motion translation stage
US6451705B1 (en) 2000-08-31 2002-09-17 Micron Technology, Inc. Self-aligned PECVD etch mask
JP2004523906A (en) 2000-10-12 2004-08-05 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム Templates for room-temperature and low-pressure micro and nano-transfer lithography
EP1405336A2 (en) 2000-12-04 2004-04-07 Ebara Corporation Substrate processing method
US6489068B1 (en) 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6791669B2 (en) 2001-04-12 2004-09-14 Nikon Corporation Positioning device and exposure apparatus including the same
US6383888B1 (en) 2001-04-18 2002-05-07 Advanced Micro Devices, Inc. Method and apparatus for selecting wafer alignment marks based on film thickness variation
US6847433B2 (en) 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
CN100347608C (en) 2001-09-25 2007-11-07 米卢塔技术株式会社 Method for forming a micro-pattern on a substrate by using capillary force
US20030080472A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
EP2484751B1 (en) 2002-04-16 2018-11-28 Princeton University Method of analysing polynucleotides
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7027156B2 (en) 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7070405B2 (en) 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US6665119B1 (en) 2002-10-15 2003-12-16 Eastman Kodak Company Wire grid polarizer
US6929762B2 (en) 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US7750059B2 (en) * 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US6770852B1 (en) 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
EP1606834B1 (en) * 2003-03-27 2013-06-05 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4492554A (en) * 1980-08-26 1985-01-08 Corn States Metal Fabricators, Inc. Valve unit for a mold vent
US5820894A (en) * 1995-10-06 1998-10-13 Mcdonnell Douglas Corporation Method and apparatus for consolidating a workpiece at elevated temperature
WO2000062993A1 (en) * 1999-04-16 2000-10-26 Aerospatiale Matra Forming equipment for polymerisation of shaped parts in composite material

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1642170A4 *

Also Published As

Publication number Publication date
JP4594305B2 (en) 2010-12-08
US7150622B2 (en) 2006-12-19
MY137556A (en) 2009-02-27
EP1642170A2 (en) 2006-04-05
CN1871103A (en) 2006-11-29
WO2005006076A2 (en) 2005-01-20
KR20060034694A (en) 2006-04-24
EP1642170A4 (en) 2009-04-15
US20050006343A1 (en) 2005-01-13
TW200522155A (en) 2005-07-01
JP2007535121A (en) 2007-11-29

Similar Documents

Publication Publication Date Title
WO2005006076A3 (en) Systems for magnification and distortion correction for imprint lithography processes
JP2007535121A5 (en)
WO2006036433A3 (en) Imprint lithography apparatus and method employing an effective pressure
CA2443737A1 (en) Lumbar support device
CA2533229A1 (en) Devices for adjusting the contact pressure of an adjustably mounted cylinder
AU2036201A (en) Device and method in connection with the production of structures
WO2006041859A3 (en) Web position adjusting device
CN107817653B (en) Super resolution lithography device based on flexible material
WO2002084736A3 (en) Microelectronic spring with additional protruding member
JP2004503750A5 (en)
WO2003018342A3 (en) Compact vibration cancellation device
KR20110035091A (en) Molding device for torsion beam and control method thereof
WO2007041147A3 (en) Self-balanced dual l-shaped socket
PL1817506T3 (en) Compression spring and manufacturing method thereof
WO2007048069A3 (en) Action wobble spring mounting assembly and method of manufacture
JP2005511975A5 (en)
JP2004266833A5 (en)
JP2006503403A5 (en)
SE0103062D0 (en) Spring element
EP1245303A3 (en) Method for machining protuberance of special-shaped tube
JP3001907B2 (en) Press brake lower mold
WO2002095242A8 (en) Actuator
WO2007140269A3 (en) Method and apparatus for registration of an imaged lithographic plate
TW574593B (en) Aligner
TW582045B (en) Movable contact for push button switch and switch device using the same

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200480023067.1

Country of ref document: CN

AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2004778126

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 1020067000555

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2006518977

Country of ref document: JP

WWP Wipo information: published in national office

Ref document number: 2004778126

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020067000555

Country of ref document: KR