WO2005020317A2 - Ruthenium layer formation for copper film deposition - Google Patents

Ruthenium layer formation for copper film deposition Download PDF

Info

Publication number
WO2005020317A2
WO2005020317A2 PCT/US2004/024805 US2004024805W WO2005020317A2 WO 2005020317 A2 WO2005020317 A2 WO 2005020317A2 US 2004024805 W US2004024805 W US 2004024805W WO 2005020317 A2 WO2005020317 A2 WO 2005020317A2
Authority
WO
WIPO (PCT)
Prior art keywords
ruthenium
substrate
cyclooctadiene
bis
layer
Prior art date
Application number
PCT/US2004/024805
Other languages
French (fr)
Other versions
WO2005020317A3 (en
Inventor
Mei Chang
Seshadri Ganguli
Nirmalya Maity
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2005020317A2 publication Critical patent/WO2005020317A2/en
Publication of WO2005020317A3 publication Critical patent/WO2005020317A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating

Definitions

  • Embodiments of the present invention generally relate to a method of noble metal layer formation and, more particularly to methods of ruthenium layer formation for use in copper integration.
  • VLSI very large scale integration
  • ULSI ultra large scale integration
  • the multilevel interconnects that lie at the heart of this technology require the filling of contacts, vias, lines, and other features formed in high aspect ratio apertures. Reliable formation of these features is very important to the success of both VLSI and ULSI as well as to the continued effort to increase client density and quality on individual substrates and die.
  • the widths of contacts, vias, lines and other features, as well as the dielectric materials between them may decrease to less than about 250 nm, whereas the thickness of the dielectric layers remains substantially constant with the result that the aspect ratios for the features, i.e., their height divided by width, increases.
  • Many conventional deposition processes have difficulty filling structures where the aspect ratio exceeds 6:1, and particularly where the aspect ratio exceeds 10:1. As such, there is a great amount of ongoing effort being directed at the formation of void-free, nanometer-sized structures having aspect ratios wherein the ratio of feature height to feature width can be 6:1 or higher.
  • the device current typically remains constant or increases, which results in an increased current density for such feature.
  • Elemental aluminum and aluminum alloys have been the traditional metals used to form vias and lines in semiconductor devices because aluminum has a perceived low electrical resistivity, superior adhesion to most dielectric materials, ease of patterning, and the ability to obtain aluminum in a highly pure form.
  • aluminum has a higher electrical resistivity than other more conductive metals such as copper.
  • Aluminum can also suffer from electromigration leading to the formation of voids in the conductor.
  • Copper and copper alloys have lower resistivities than aluminum, as well as a significantly higher electromigration resistance compared to aluminum. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed.' Copper also has good thermal conductivity. Therefore, copper is becoming a choice metal for filling sub- quarter micron, high aspect ratio interconnect features on semiconductor substrates.
  • a thin film of a noble metal such as, for example, palladium, platinum, cobalt, nickel and rhodium, among others may be used as an underlayer for the copper vias and lines.
  • a noble metal such as, for example, palladium, platinum, cobalt, nickel and rhodium, among others may be used as an underlayer for the copper vias and lines.
  • Such noble metals which are resistant to corrosion and oxidation, may provide a smooth surface upon which a copper seed layer is subsequently deposited using for example, an electrochemical plating (ECP) process.
  • ECP electrochemical plating
  • the noble metal is typically deposited using a chemical vapor deposition (CVD) process or a physical vapor deposition (PVD) process.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • noble metals deposited on high aspect ratio interconnect features using CVD and/or PVD processes generally have poor step coverage (e.g., deposition of a non-continuous material layer).
  • the poor step coverage for the noble metal material layer may cause the subsequent copper seed layer deposition using an ECP process to be non-uniform.
  • a method of noble metal layer formation for high aspect ratio interconnect features is described.
  • the noble metal layer is formed using a cyclical deposition process, such as atomic layer deposition (ALD).
  • the cyclical deposition process comprises alternately adsorbing a noble metal-containing precursor and a reducing gas on a substrate structure.
  • the adsorbed noble metal-containing precursor reacts with the adsorbed reducing gas to form the noble metal layer on the substrate.
  • Suitable noble metals may include, for example, ruthenium, palladium, platinum, cobalt, nickel and rhodium, among others.
  • the noble metal layer formation is compatible with integrated circuit fabrication processes.
  • the noble metal layer may be used as an underlayer for a copper seed layer in a copper interconnect.
  • a preferred process sequence includes providing a substrate having an interconnect pattern defined in one or more dielectric layers formed thereon.
  • the interconnect pattern includes a barrier layer conformably deposited thereon.
  • a noble metal layer (e.g., ruthenium) is conformably deposited on the barrier layer.
  • the noble metal layer is deposited using a cyclical deposition process by alternately adsorbing a noble metal-containing layer and a reducing gas on the substrate.
  • the copper interconnect is completed by depositing a copper seed layer on the noble metal layer and than filling the interconnects with bulk copper metal.
  • a method of forming a film on a substrate includes positioning the substrate within a process chamber and forming a ruthenium layer on at least a portion of the substrate by sequentially chemisorbing monolayers of a ruthenium-containing compound and a reducing gas on the substrate to form the ruthenium layer.
  • a method of forming a ruthenium layer on a substrate for use in integrated circuit fabrication includes positioning the substrate within a process chamber, wherein the process chamber is in fluid communication with a gas delivery system, delivering a ruthenium-containing compound from the gas delivery system to the process chamber, chemisorbing a ruthenium-containing layer on the substrate, delivering a reducing gas from the gas delivery system to the process chamber and reacting the reducing gas with the ruthenium-containing layer to form the ruthenium layer on the substrate.
  • a method for forming a layer which includes ruthenium on a substrate surface, includes: a) exposing the substrate surface to a ruthenium-containing compound to form a ruthenium-containing layer on the substrate surface; b) purging the chamber with a purge gas; c) reacting a reducing gas with the ruthenium-containing layer; and d) purging the chamber with the purge gas.
  • a method of forming a ruthenium layer on a substrate includes positioning the substrate within a process chamber and forming the ruthenium layer on at least a portion of the substrate by sequentially chemisorbing monolayers of a ruthenium-containing compound and a reducing gas.
  • the method further includes that the process chamber comprises a substrate support having the substrate, a chamber lid comprising a passageway at a central portion of the chamber lid and comprising a bottom surface extending from the passageway to a peripheral portion of the chamber lid, the bottom surface shaped and sized to substantially cover the substrate, one or more valves coupled to the passageway, one or more gas sources coupled to each valve and a reaction zone defined between the chamber lid and the substrate, the reaction zone comprising a small volume.
  • a method of forming a ruthenium layer on a substrate for use in integrated circuit fabrication includes positioning the substrate within a process chamber, chemisorbing a ruthenium- containing layer on the substrate by exposing the substrate to bis(2,4- dimethylpentadienyl)ruthenium, purging the process chamber, exposing, the ruthenium-containing layer to a reagent, and reacting the reagent with the ruthenium-containing layer to form the ruthenium layer on the substrate.
  • a method of forming a ruthenium layer on a substrate for use in integrated circuit fabrication includes positioning the substrate within a process chamber, exposing the substrate to sequential pulses of a reagent and a process gas comprising bis(2,4- dimethylpentadienyl)ruthenium, purging the process chamber between the sequential pulses of the reagent and the process gas, and reducing the bis(2,4- dimethylpentadienyl)ruthenium to form the ruthenium layer on the substrate.
  • a method of forming a ruthenium layer on a substrate for use in integrated circuit fabrication includes positioning the substrate within a process chamber, exposing the substrate to sequential pulses of a reagent and a process gas comprising a ruthenium-containing compound selected from the group consisting of: tris(2,2,6,6-tetramethyl-3,5-heptanedionato)ruthenium, bis(2,4-dimethylpentadienyl)ruthenium, dicarbonyl pentadienyl ruthenium, ruthenium acetyl acetonate,
  • Figure 1 depicts a schematic cross-sectional view of a process chamber that can be used to perform a cyclical deposition process described herein;
  • Figure 2 depicts a schematic cross-sectional view of another process chamber that can be used to perform a cyclical deposition process described herein;
  • Figure 3 illustrates a process sequence for noble metal layer formation using cyclical deposition techniques according to one embodiment described herein;
  • Figure 4 illustrates a process sequence for noble metal layer formation using cyclical deposition techniques according to an alternate embodiment described herein.
  • Figures 5A-5C illustrate schematic cross-sectional views of an integrated circuit fabrication sequence.
  • FIG. 1 depicts a schematic cross-sectional view of a process chamber 10 that can be used to perform integrated circuit fabrication in accordance with embodiments described herein.
  • the process chamber 10 generally houses a substrate support pedestal 48, which is used to support a substrate (not shown).
  • the substrate support pedestal 48 is movable in a vertical direction inside the process chamber 10 using a displacement mechanism 48A.
  • the substrate can be heated to some desired temperature prior to or during deposition.
  • the substrate support pedestal 48 may be heated using an embedded heater element 52A.
  • the substrate support pedestal 48 may be resistively heated by applying an electric current from an AC power supply 52 to the heater element 52A.
  • the substrate (not shown) is, in turn, heated by the pedestal 48.
  • the substrate support pedestal 48 may be heated using radiant heaters such as, for example, lamps (not shown).
  • a temperature sensor 50A such as a thermocouple, is also embedded in the substrate support pedestal 48 to monitor the temperature of the pedestal 48 in a conventional manner. The measured temperature is used in a feedback loop to control the AC power supply 52 for the heating element 52A, such that the substrate temperature can be maintained or controlled at a desired temperature which is suitable for the particular process application.
  • a vacuum pump 18 is used to evacuate the process chamber 10 and to maintain the pressure inside the process chamber 10.
  • a gas manifold 34 through which process gases are introduced into the process chamber 10, is located above the substrate support pedestal 48. The gas manifold 34 is connected to a gas panel (not shown), which controls and supplies various process gases to the process chamber 10.
  • gas manifold 34 Proper control and regulation of the gas flows to the gas manifold 34 are performed by mass flow controllers (not shown) and a microprocessor controller 70.
  • the gas manifold 34 allows process gases to be introduced and uniformly distributed in the process chamber 10. Additionally, the gas manifold 34 may optionally be heated to prevent condensation of any reactive gases within the manifold.
  • the gas manifold 34 includes a plurality of electronic control valves (not shown).
  • the electronic control valves as used herein refer to any control valve capable of providing rapid and precise gas flow to the process chamber 10 with valve open and close cycles with a range from about 0.01 second to about 10 second, preferably from about 0.05 second to about 2 second and more preferably from about 0.1 second to about 1 second.
  • the microprocessor controller 70 may be one of any form of general purpose computer processor (CPU) that can be used in an industrial setting for controlling various chambers and sub-processors.
  • the computer may use any suitable memory, such as random access memory, read only memory, floppy disk drive, compact disc drive, hard disk, or any other form of digital storage, local or remote.
  • Various support circuits may be coupled to the CPU for supporting the processor in a conventional manner.
  • Software routines as required, may be stored in the memory or executed by a second CPU that is remotely located.
  • the software routines are executed to initiate process recipes or sequences.
  • the software routines when executed, transform the general purpose computer into a specific process computer that controls the chamber operation so that a chamber process is performed.
  • software routines may be used to precisely control the activation of the electronic control valves for the execution of process sequences according to the present invention.
  • the software routines may be performed in hardware, as an application specific integrated circuit or other type of hardware implementation, or a combination of software or hardware.
  • FIG. 2 is a schematic cross-sectional view of one embodiment of a chamber 80 including a gas delivery apparatus 130 adapted for cyclic deposition, such as atomic layer deposition or rapid chemical vapor deposition.
  • a gas delivery apparatus 130 adapted for cyclic deposition, such as atomic layer deposition or rapid chemical vapor deposition.
  • a detailed description for a chamber 80 is described in commonly assigned U.S. Patent Application Publication No. 20030079686 and commonly assigned ' U.S. Patent Application Serial No. 10/281 ,079, entitled “Gas Delivery Apparatus for Atomic Layer Deposition", filed October 25, 2002, which are both incorporated herein in their entirety by reference.
  • the terms atomic layer deposition (ALD) and rapid chemical vapor deposition as used herein refer to the sequential introduction of reactants to deposit a thin layer over a substrate structure. The sequential introduction of reactants may be repeated to deposit a plurality of thin layers to form a conformal layer to a desired thickness.
  • the chamber 80 may also be
  • the chamber 80 comprises a chamber body 82 having sidewalls 84 and a bottom 86.
  • a slit valve 88 in the chamber 80 provides access for a robot (not shown) to deliver and retrieve a substrate 90, such as a 200 mm or 300 mm semiconductor wafer or a glass substrate, from the chamber 80.
  • a substrate support 92 supports the substrate 90 on a substrate receiving surface 91 in the chamber 80.
  • the substrate support 92 is mounted to a lift motor 114 to raise and lower the substrate support 92 and a substrate 90 disposed thereon.
  • a lift plate 116 connected to a lift motor 118 is mounted in the chamber 80 and raises and lowers pins 120 movably disposed through the substrate support 92.
  • the pins 120 raise and lower the substrate 90 over the surface of the substrate support 92.
  • the substrate support 92 may include a vacuum chuck, an electrostatic chuck, or a clamp ring for securing the substrate 90 to the substrate support 92 during processing.
  • the substrate support 92 may be heated to heat a substrate 90 disposed thereon.
  • the substrate support 92 may be heated using an embedded heating element, such as a resistive heater, or may be heated using radiant heat, such as heating lamps disposed above the substrate support 92.
  • a purge ring 122 may be disposed on the substrate support 92 to define a purge channel 124 which provides a purge gas to a peripheral portion of the substrate 90 to prevent deposition thereon.
  • a gas delivery apparatus 130 is disposed at an upper portion of the chamber body 82 to provide a gas, such as a process gas and/or a purge gas, to the chamber 80.
  • a vacuum system 178 is in communication with a pumping channel 179 to evacuate any desired gases from the chamber 80 and to help maintain a desired pressure or a desired pressure range inside a pumping zone 166 of the chamber 80.
  • the chambers depicted by Figures 1 and 2 permit the process gas and/or purge gas to enter the chamber 80 normal (i.e., 90°) with respect to the plane of the substrate 90 via the gas delivery apparatus 130. Therefore, the surface of substrate 90 is symmetrically exposed to gases that allow uniform film formation on substrates.
  • the process gas includes a ruthenium-containing precursor during one pulse and includes a reducing gas in another pulse.
  • Chamber 80 depicted in Figure 2, produces a more uniform film than chamber 10, depicted in Figure 1. Also, chamber 80 employs a smaller cycle time than chamber 10, since chamber 80 takes less time to purge and less time to dose the wafer to saturation with precursor than chamber 10. The lesser dosing time is important because many of the ruthenium-containing compounds have the inherent characteristic of a low vapor pressure.
  • the low vapor pressure correlates to less precursor saturating the carrier gas per time and temperature, therefore, more time is needed to saturate the surface of the wafer with ruthenium-containing compound (e.g., bis(2,4-dimethylpentadienyl)ruthenium) than a traditional precursor with a higher vapor pressure (e.g., TiCI 4 ). Therefore, chamber 10 may dose a ruthenium- containing compound for about 1 second or less, while chamber 80 may dose the same ruthenium-containing compound for about 0.2 seconds or less.
  • ruthenium-containing compound e.g., bis(2,4-dimethylpentadienyl)ruthenium
  • the gas delivery apparatus 130 comprises a chamber lid 132.
  • the chamber lid 132 includes an expanding channel 134 extending from a central portion of the chamber lid 132 and a bottom surface 160 extending from the expanding channel 134 to a peripheral portion of the chamber lid 132.
  • the bottom surface 160 is sized and shaped to substantially cover a substrate 90 disposed on the substrate support 92.
  • the expanding channel 134 has gas inlets 136A, 136B to provide gas flows from two similar valves 142A, 142B. The gas flows from the valves 142A, 142B may be provided together and/or separately.
  • valve 142A and valve 142B are coupled to separate reactant gas sources but are preferably coupled to the same purge gas source.
  • valve 142A is coupled to reactant gas source 138 and valve 142B is coupled to reactant gas source 139, and both valves 142A, 142B are coupled to purge gas source 140.
  • Each valve 142A, 142B includes a delivery line 143A, 143B having a valve seat assembly 144A, 144B and includes a purge line 145A, 145B having a valve seat assembly 146A, 146B.
  • the delivery line 143A, 143B is in communication with the reactant gas source 138, 139 and is in communication with the gas inlet 136A, 136B of the expanding channel 134.
  • the valve seat assembly 144A, 144B of the delivery line 143A, 143B controls the flow of the reactant gas from the reactant gas source 138, 139 to the expanding channel 134.
  • the purge line 145A, 145B is in communication with the purge gas source 140 and intersects the delivery line 142A, 142B downstream of the valve seat assembly 144A, 144B of the delivery line 142A, 142B.
  • the valve seat assembly 146A, 146B of the purge line 145A, 145B controls the flow of the purge gas from the purge gas source 140 to the delivery line 143A, 143B.
  • a carrier gas is used to deliver reactant gases from the reactant gas source 138, 139, preferably the same gas is used as a carrier gas and a purge gas (i.e., an argon gas used as a carrier gas and a purge gas).
  • Each valve seat assembly 144A, 144B, 146A, 146B may comprise a diaphragm and a valve seat.
  • the diaphragm may be biased open or closed and may be actuated closed or open respectively.
  • the diaphragms may be pneumatically actuated or may be electrically actuated. Examples of pneumatically actuated valves include pneumatically actuated valves available from Fujiken and Veriflow. Examples of electrically actuated valves include electrically actuated valves available from Fujiken.
  • Programmable logic controllers 148A, 148B may be coupled to the valves 142A, 142B to control actuation of the diaphragms of the valve seat assemblies 144A, 144B, 146A, 146B of the valves 142A, 142B.
  • Pneumatically actuated valves may provide pulses of gases in time periods as low as about 0.020 seconds.
  • Electrically actuated valves may provide pulses of gases in time periods as low as about 0.005 seconds.
  • An electrically actuated valve typically requires the use of a driver coupled between the valve and the programmable logic controller.
  • Each valve 142A, 142B may be a zero dead volume valve to enable flushing of a reactant gas from the delivery line 143A, 143B when the valve seat assembly 144A, 144B of the valve is closed.
  • the purge line 145A, 145B may be positioned adjacent the valve seat assembly 144A, 144B of the delivery line 143A, 143B.
  • the purge line 145A, 145B may provide a purge gas to flush the delivery line 143A, 143B.
  • the purge line 145A, 145B is positioned slightly spaced from the valve seat assembly 144A, 144B of the delivery line 143A, 143B so that a purge gas is not directly delivered into the valve seat assembly 144A, 144B when open.
  • a zero dead volume valve as used herein is defined as a valve which has negligible dead volume (i.e., not necessary zero dead volume.)
  • Each valve 142A, 142B may be adapted to provide a combined gas flow and/or separate gas flows of the reactant gas 138, 139 and the purge gas 140.
  • a combined gas flow of the reactant gas 138 and the purge gas 140 provided by valve 142A comprises a continuous flow of a purge gas from the purge gas source 140 through purge line 145A and pulses of a reactant gas from the reactant gas source 138 through delivery line 143A.
  • the continuous flow of the purge gas may be provided by leaving diaphragm of the valve seat assembly 146A of the purge line 145A open.
  • the pulses of the reactant gas from the reactant gas source 138 may be provided by opening and closing the diaphragm of the valve seat 144A of the delivery line 143A.
  • one example of separate gas flows of the reactant gas 138 and the purge gas 140 provided by valve 142A comprises pulses of a purge gas from the purge gas source 140 through purge line 145A and pulses of a reactant gas from the reactant gas source 138 through delivery line 143A.
  • the pulses of the purge gas may be provided by opening and closing the diaphragm of the valve seat assembly 146A of the purge line 145A open.
  • the pulses of the reactant gas from the reactant gas source 138 may be provided by opening and closing the diaphragm valve seat 144A of the delivery line 143A.
  • the delivery lines 143A, 143B of the valves 142A, 142B may be coupled to the gas inlets 136A, 136B through gas conduits 150A, 150B.
  • the gas conduits 150A, 150B may be integrated or may be separate from the valves 142A, 142B.
  • the valves 142A, 142B are coupled in close proximity to the expanding channel 134 to reduce any unnecessary volume of the delivery line 143A, 143B and the gas conduits 150A, 150B between the valves 142A, 142B and the gas inlets 136A, 136B.
  • the expanding channel 134 comprises a channel which has an inner diameter which increases from an upper portion 137 to a lower portion 135 of the expanding channel 134 adjacent the bottom surface 160 of the chamber lid 132.
  • the inner diameter of the expanding channel 134 for a chamber adapted to process 200 mm diameter substrates is between about 0.2 inches (0.51 cm) and about 1.0 inches (2.54 cm), more preferably between about 0.3 inches (0.76 cm) and about 0.9 inches (2.29 cm) and more preferably between about 0.3 inches (0.76 cm) and about 0.5 inches (1.27 cm) at the upper portion 137 of the expanding channel 134 and between about 0.5 inches (1.27 cm) and about 3.0 inches (7.62 cm), preferably between about 0.75 inches (1.91 cm) and about 2.5 inches (6.35 cm) and more preferably between about 1.1 inches (2.79 cm) and about 2.0 inches (5.08 cm) at the lower portion 135 of the expanding channel 134.
  • the inner diameter of the expanding channel 134 for a chamber adapted to process 300 mm diameter substrates is between about 0.2 inches (0.51 cm) and about 1.0 inches (2.54 cm), more preferably between about 0.3 inches (0.76 cm) and about 0.9 inches (2.29 cm) and more preferably between about 0.3 inches (0.76 cm) and about 0.5 inches (1.27 cm) at the upper portion 137 of the expanding channel 134 and between about 0.5 inches (1.27 cm) and about 3.0 inches (7.62 cm), preferably between about 0.75 inches (1.91 cm) and about 2.5 inches (6.35 cm) and more preferably between about 1.2 inches (3.05 cm) and about 2.2 inches (5.59 cm) at the lower portion 135 of the expanding channel 134 for a 300 mm substrate.
  • the above dimension apply to an expanding channel adapted to provide a total gas flow of between about 500 seem and about 3,000 seem.
  • the dimension may be altered to accommodate a certain gas flow therethrough.
  • a larger gas flow will require a larger diameter expanding channel.
  • the expanding channel 134 may be shaped as a truncated cone (including shapes resembling a truncated cone). Whether a gas is provided toward the walls of the expanding channel 134 or directly downward towards the substrate, the velocity of the gas flow decreases as the gas flow travels through the expanding channel 134 due to the expansion of the gas. The reduction of the velocity of the gas flow helps reduce the likelihood the gas flow will blow off reactants absorbed on the surface of the substrate 90.
  • the diameter of the expanding channel 134 which is gradually increasing from the upper portion 137 to the lower portion 135 of the expanding channel, allows less of an adiabatic expansion of a gas through the expanding channel 134 which helps to control the temperature of the gas.
  • a sudden adiabatic expansion of a gas delivered through the gas inlet 136A, 136B into the expanding channel 134 may result in a drop in the temperature of the gas which may cause condensation of the gas and formation of particles.
  • a gradually expanding channel 134 according to embodiments of the present invention is believed to provide less of an adiabatic expansion of a gas.
  • the gradually expanding channel may comprise one or more tapered inner surfaces, such as a tapered straight surface, a concave surface, a convex surface, or combinations thereof or may comprise sections of one or more tapered inner surfaces (i.e., a portion tapered and a portion non-tapered).
  • the gas inlets 136A, 136B are located adjacent the upper portion 137 of the expanding channel 134. In other embodiments, one or more gas inlets may be located along the length of the expanding channel 134 between the upper portion 137 and the lower portion 135.
  • a control unit 180 such as a programmed personal computer, work station computer, or the like, may be coupled to the chamber 80 to control processing conditions.
  • the control unit 180 may be configured to control flow of various process gases and purge gases from gas sources 138, 139, 140 through the valves 142A, 142B during different stages of a substrate process sequence.
  • the control unit 180 comprises a central processing unit (CPU) 182, support circuitry 184, and memory 186 containing associated control software 183.
  • CPU central processing unit
  • the control unit 180 may be one of any form of general purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors.
  • the CPU 182 may use any suitable memory 186, such as random access memory, read only memory, floppy disk drive, compact disc drive, hard disk, or any other form of digital storage, local or remote.
  • Various support circuits may be coupled to the CPU 182 for supporting the chamber 100.
  • the control unit 180 may be coupled to another controller that is located adjacent individual chamber components, such as the programmable logic controllers 148A, 148B of the valves 142A, 142B.
  • Bi-directional communications between the control unit 180 and various other components of the chamber 80 are handled through numerous signal cables collectively referred to as signal buses 188, some of which are illustrated in Figure 2.
  • the control unit 180 may be configured to be responsible for automated control of other activities used in wafer processing—such as wafer transport, temperature control, chamber evacuation, among other activities, some of which are described elsewhere herein.
  • a method of noble metal layer formation for high aspect ratio interconnect features is described.
  • the noble metal layer is deposited using a cyclical deposition process.
  • the cyclical deposition process comprises alternately adsorbing a noble metal-containing precursor and a reducing gas on a substrate structure.
  • the noble metal-containing precursor and the reducing gas undergo a reaction to form the noble metal layer on the substrate.
  • Suitable noble metals may include for example ruthenium, palladium, platinum, cobalt, nickel and rhodium, among others, preferably ruthenium.
  • the ruthenium layer has a thickness less than 500 A, preferably from about 10 A to about 100 A and most preferably about 30 A.
  • FIG. 3 illustrates a process sequence 100 detailing the various steps used for the deposition of the silicon layer. These steps may be performed in a process chamber similar to that described above with reference to Figures 1 and 2.
  • a substrate is provided to the process chamber.
  • the substrate may be for example, a silicon substrate having an interconnect pattern defined in one or more dielectric material layers formed thereon.
  • the process chamber conditions such as, for example, the temperature and pressure are adjusted to enhance the adsorption of the process gases on the substrate so as to facilitate the reaction of the noble metal-containing precursor (e.g., ruthenium metallocene) and the reducing gas.
  • the noble metal-containing precursor e.g., ruthenium metallocene
  • the substrate should be maintained at a temperature less than about 500°C, preferably in a range from about 200°C to about 400°C, more preferably at about 350°C.
  • the process chamber pressure is maintained in a range from about 0.1 Torr to about 80 Torr, preferably from about 1 Torr to about 10 Torr.
  • the noble metal-containing precursor may be provided at a flow rate between about 0.01 seem to about 20 seem, preferably from about 0.1 seem to about 5 seem, more preferably between about 0.1 seem to about 1 seem.
  • the reducing gas may be provided at a flow rate between about 1 seem to about 100 seem, preferably between about 10 seem to about 50 seem.
  • a carrier gas stream is established within the process chamber as indicated in step 104.
  • Carrier gases may be selected so as to also act as a purge gas for the removal of volatile reactants and/or by-products from the process chamber.
  • Carrier or purge gases such as, for example, helium (He), argon (Ar), nitrogen (N 2 ), hydrogen (H 2 ) and combinations thereof, among others may be used.
  • the pulse of the purge gas lasts for a predetermined time interval, such as a range from about 0.01 second to about 10 seconds, preferably from about 0.07 second to about 2 seconds and more preferably from about 0.1 second to about 1 second.
  • the carrier gas and purge gases may be provided at a flow rate between about 500 seem to about 5,000 seem, preferably between about 500 seem to about 2,500 seem for 200 mm substrates and between about 1 ,000 seem to about 5,000 seem for 300 mm substrates.
  • a pulse of a noble metal-containing precursor is added to the carrier gas stream.
  • the term pulse as used herein refers to a dose of material injected into the process chamber or into the carrier gas stream.
  • the pulse of the noble metal-containing precursor lasts for a predetermined time interval, such as a range from about 0.01 second to about 10 seconds, preferably from about 0.05 second to about 1.5 seconds and more preferably from about 0.1 second to about 1 second.
  • the noble metal-containing precursor may comprise, for example, noble metals such as ruthenium, palladium, platinum cobalt, nickel and rhodium, among others.
  • Suitable ruthenium-containing precursors include: tris(2,2,6,6-tetramethyl-3,5-heptanedionato)ruthenium, bis(2,4-dimethylpentadienyl)ruthenium, dicarbonyl pentadienyl ruthenium, ruthenium acetyl acetonate,
  • platinum-containing precursors include: dimethyl(cyclooctadiene)platinum, trimethyl(cyclopentadienyl)platinum, trimethyl(methylcyclopentadienyl)platinum, cyclopentadienyl(allyl)platinum, methyl(carbonyl)cyclopentadienylplatinum, trimethyl(acetylacetonato)platinum, and bis(acetylacetonato)platinum, among others.
  • Suitable cobalt-containing precursors include: bis(cyclopentadienyl)cobalt, (cyclopentadienyl)(cyclohexadienyl)cobalt, cyclopentadienyl(1 ,3-hexadienyl)cobalt, (cyclobutadienyl)(cyclopentadienyl)cobalt, bis(methylcyclopentadienyl)cobalt,
  • a suitable nickel-containing precursor includes bis(methylcyclopentadienyl) nickel, among others.
  • Suitable rhodium-containing precursors include: bis(carbonyl)(cyclopentadienyl)rhodium, bis(propylene)rhodium, bis(carbonyl)(ethylcyclopentadienyl)rhodium, and bis(carbonyl)(methylcyclopentadienyl)rhodium.
  • the time interval for the pulse of the noble metal-containing precursor is variable depending upon a number of factors such as, for example, the volume capacity of the process chamber employed, the vacuum system coupled thereto and the volatility/reactivity of the reactants used. For example, (1) a large-volume process chamber may lead to a longer time to stabilize the process conditions such as, for example, carrier/purge gas flow and temperature, requiring a longer pulse time; (2) a lower flow rate for the process gas may also lead to a longer time to stabilize the process conditions requiring a longer pulse time; and (3) a lower chamber pressure means that the process gas is evacuated from the process chamber more quickly requiring a longer pulse time.
  • the process conditions are advantageously selected so that a pulse of the noble metal-containing precursor provides a sufficient amount of precursor so that at least a monolayer of the noble metal-containing precursor is adsorbed on the substrate. Thereafter, excess noble metal-containing precursor remaining in the chamber may be removed from the process chamber by the constant carrier gas stream in combination with the vacuum system.
  • a pulse of a reducing gas is added to the carrier gas stream.
  • the pulse of the reducing gas also lasts for a predetermined time interval.
  • the time interval for the pulse of the reducing gas should be long enough for adsorption of at least a monolayer of the reducing gas on the noble metal-containing precursor.
  • the pulse of reducing gas lasts for a predetermined time interval, such as a range from about 0.01 second to about 10 second, preferably from about 0.1 second to about 2 second and more preferably from about 0.1 second to about 1 second.
  • excess reducing gas is flushed from the process chamber by the carrier gas stream.
  • Suitable reducing gases may include, for example, hydrogen (e.g., H 2 or atomic-H), ammonia (NH 3 ), silane (SiH ), disilane (Si 2 H 6 ), trisilane (Si 3 H 8 ), tetrasilane (Si 4 H ⁇ 0 ), dimethylsilane (SiC 2 H8), methyl silane (SiCH ⁇ ), ethylsilane (SiC 2 H 8 ), chlorosilane (CISiH 3 ), dichlorosilane (CI 2 SiH 2 ), hexachlorodisilane (Si 2 Cl6), borane, diborane, triborane, tetraborane, pentaborane, triethylborane, derivatives thereof and combinations thereof.
  • hydrogen e.g., H 2 or atomic-H
  • ammonia NH 3
  • silane SiH
  • disilane Si 2 H 6
  • trisilane Si
  • Steps 104 through 108 comprise one embodiment of a deposition cycle for a noble metal layer.
  • a constant flow of carrier gas is provided to the process chamber modulated by alternating periods of pulsing and non-pulsing where the periods of pulsing alternate between the noble metal- containing precursor and the reducing gas along with the carrier gas stream, while the periods of non-pulsing include only the carrier gas stream.
  • the time interval for each of the pulses of the noble metal-containing precursor and the reducing gas may have the same duration. That is, the duration of the pulse of the noble metal-containing precursor may be identical to the duration of the pulse of the reducing gas.
  • a time interval (Ti) for the pulse of the noble metal-containing precursor is equal to a time interval (T 2 ) for the pulse of the reducing gas.
  • the time interval for each of the pulses of the noble metal- containing precursor and the reducing gas may have different durations. That is, the duration of the pulse of the noble metal-containing precursor may be shorter or longer than the duration of the pulse of the reducing gas.
  • a time interval (Ti) for the pulse of the noble metal-containing precursor is different than the time interval (T 2 ) for the pulse of the reducing gas.
  • the periods of non-pulsing between each of the pulses of the noble metal-containing precursor and the reducing gas may have the same duration. That is, the duration of the period of non-pulsing between each pulse of the noble metal-containing precursor and each pulse of the reducing gas is identical.
  • a time interval (T 3 ) of non-pulsing between the pulse of the noble metal-containing precursor and the pulse of the reducing gas is equal to a time interval (T ) of non-pulsing between the pulse of the reducing gas and the pulse of the noble metal-containing precursor.
  • the periods of non-pulsing between each of the pulses of the noble metal-containing precursor and the reducing gas may have different duration. That is, the duration of the period of non-pulsing between each pulse of the noble metal-containing precursor and each pulse of the reducing gas may be shorter or longer than the duration of the period of non-pulsing between each pulse of the reducing gas and the noble metal-containing precursor.
  • a time interval (T 3 ) of non-pulsing between the pulse of the noble metal-containing precursor and the pulse of the reducing gas is different from a time interval (T 4 ) of non-pulsing between the pulse of the reducing gas and the pulse of noble metal- containing precursor.
  • the time intervals for each pulse of the noble metal-containing precursor, the reducing gas and the periods of non-pulsing therebetween for each deposition cycle may have the same duration.
  • a time interval (T-i) for the noble metal-containing precursor, a time interval (T 2 ) for the reducing gas, a time interval (T 3 ) of non-pulsing between the pulse of the noble metal-containing precursor and the pulse of the reducing gas and a time interval (T 4 ) of non-pulsing between the pulse of the reducing gas and the pulse of the noble metal-containing precursor each have the same value for each deposition cycle.
  • a time interval (T-i) for the pulse of the noble metal-containing precursor has the same duration as the time interval (T-i) for the pulse of the noble metal-containing precursor in subsequent deposition cycles (C 2 ...C n ).
  • the duration of each pulse of the reducing gas and the periods of non-pulsing between the pulse of the noble metal-containing precursor and the reducing gas in the first deposition cycle (C-i) is the same as the duration of each pulse of the reducing gas and the periods of non-pulsing between the pulse of the noble metal-containing precursor and the reducing gas in subsequent deposition cycles (C 2 ...C n ), respectively.
  • the time intervals for at least one pulse of the noble metal- containing precursor, the reducing gas and the periods of non-pulsing therebetween for one or more of the deposition cycles of the noble metal layer deposition process may have different durations.
  • one or more of the time intervals (Ti) for the pulses of the noble metal-containing precursor, the time intervals (T 2 ) for the pulses of the reducing gas, the time intervals (T 3 ) of non-pulsing between the pulse of the noble metal-containing precursor and the reducing gas and the time intervals (T 4 ) of non-pulsing between the pulses of the reducing gas and the noble metal-containing precursor may have different values for one or more deposition cycles of the cyclical deposition process.
  • the time interval (T-i) for the pulse of the noble metal- containing precursor may be longer or shorter than one or more time interval (T-i) for the pulse of the noble metal-containing precursor in subsequent deposition cycles (C 2 ...C n ).
  • the durations of the pulses of the reducing gas and the periods of non-pulsing between the pulse of the noble metal-containing precursor and the reducing gas in the first deposition cycle (C-i) may be the same or different than the duration of each pulse of the reducing gas and the periods of non-pulsing between the pulse of the noble metal-containing precursor and the reducing gas in subsequent deposition cycles (C 2 ...C n ).
  • step 110 after each deposition cycle (steps 104 through 108) a thickness of the noble metal will be formed on the substrate. Depending on specific device requirements, subsequent deposition cycles may be needed to achieve a desired thickness. As such, steps 104 through 108 are repeated until the desired thickness for the noble metal layer is achieved. Thereafter, when the desired thickness for the noble metal layer is achieved the process is stopped as indicated by step 112.
  • the noble metal layer deposition cycle comprises separate pulses for each of the noble metal-containing precursor, the reducing gas and a purge gas.
  • the noble metal layer deposition sequence 200 includes providing a substrate to the process chamber (step 202), providing a first pulse of a purge gas to the process chamber (step 204), providing a pulse of a noble metal-containing precursor to the process chamber (step 206), providing a second pulse of the purge gas to the process chamber (step 208), providing a pulse of a reducing gas to the process chamber (step 210), and then repeating steps 204 through 210, or stopping the deposition process (step 214) depending on whether a desired thickness for the noble metal layer has been achieved (step 212).
  • the time intervals for each of the pulses of the noble metal-containing precursor, the reducing gas and the purge gas may have the same or different durations as discussed above with respect to Figure 3.
  • corresponding time intervals for one or more pulses of the noble metal-containing precursor, the reducing gas and the purge gas in one or more of the deposition cycles of the noble metal layer deposition process may have different durations.
  • the noble metal layer deposition cycle is depicted as beginning with a pulse of the noble metal-containing precursor followed by a pulse of the reducing gas.
  • the noble metal layer deposition cycle may start with a pulse of the reducing gas followed by a pulse of the noble metal-containing precursor.
  • One exemplary process of depositing a ruthenium layer by atomic layer deposition a substrate in the process chamber 80 of Figure 2, comprises providing pulses of a ruthenium-containing compound, such as bis(2,4- dimethylpentadienyl)ruthenium, from gas source 138 at a flow rate between about 0.01 seem and about 5 seem, preferably between about 0.1 seem and about 1 seem, through valve 142A for a pulse time of about 1.5 seconds or less, such as about 0.1 seconds or less, and as low as about 0.05 seconds or less due the smaller volume of the reaction zone 164 (as compared to chamber 8 of Figure 1).
  • a ruthenium-containing compound such as bis(2,4- dimethylpentadienyl)ruthenium
  • Pulses of a reducing gas such as diborane (B 2 H 6 ) may be provided from gas source 139 at a flow rate between about 1 seem and about 80 seem, preferably between 10 seem and about 50 seem, through valve 142B for a pulse time of about 2 seconds or less, about 1 seconds or less, or about 0.1 seconds or less due to a smaller volume of the reaction zone 164.
  • An argon purge gas at a flow rate between about 500 seem and about 5,000 seem, preferably, between about 1 ,500 seem and about 3,500 seem, may be continuously provided from gas source 140 through valves 142A, 142B.
  • the time between pulses of bis(2,4-dimethylpentadienyl)ruthenium and B 2 H 6 may be about 0.5 seconds or less, such as about 0.1 seconds or less, and as low as about 0.07 seconds or less due to the smaller volume of the reaction zone 164. It is believed to fill a reaction zone with a reactant gas and/or purge gas, pulse times as low as about 0.016 seconds are sufficient, with correspondingly shorter pulse times for a reaction zone 164 sized for smaller wafers (e.g., 200 mm).
  • the heater temperature preferably is maintained between about 200°C and about 400°C, preferably about 350°C at a chamber pressure between about 1.0 and about 10 Torr, preferably about 4 Torr. This process provides a ruthenium layer in a thickness between about 0.5 A and about 1.0 A per cycle. The alternating sequence may be repeated until a desired thickness is achieved.
  • the ruthenium layer is deposited to a sidewall coverage of about 50 A or less. In another embodiment, the ruthenium layer is deposited to a sidewall coverage of about 20 A or less. In still another embodiment, the ruthenium layer is deposited to a sidewall coverage of about 10 A or less.
  • a ruthenium layer with a thickness of about 10 A or less is believed to be a sufficient thickness in the application as an underlayer to adhere copper deposition (i.e., seed layer) and prevent copper diffusion (i.e., barrier layer).
  • a thin ruthenium under layer may be used to advantage in filling sub-micron (e.g., less than 0.15 ym) and smaller features having high aspect ratios (e.g., greater than 5 to 1).
  • a layer having a sidewall coverage of greater than 50 A may be used.
  • ruthenium is deposited as a seed layer.
  • ruthenium is deposited as a barrier layer.
  • Figures 5A-5C illustrate cross-sectional views of a substrate at different stages of a copper interconnect fabrication sequence incorporating the noble metal layer of the present invention.
  • Figure 5A illustrates a cross-sectional view of a substrate 300 having metal contacts 304 and a dielectric layer 302 formed thereon.
  • the substrate 300 may comprise a semiconductor material such as, for example, silicon, germanium, or gallium arsenide.
  • the dielectric layer 302 may comprise an insulating material such as, for example, silicon oxide or silicon nitride, among others.
  • the metal contacts 304 may comprise for example, copper, among others.
  • Apertures 304H may be defined in the dielectric layer 302 to provide openings over the metal contacts 304.
  • the apertures 304H may be defined in the dielectric layer 302 using conventional lithography and etching techniques.
  • a barrier layer 306 may be formed in the apertures 304H defined in the dielectric layer 302.
  • the barrier layer 306 may include one or more refractory metal- containing layers such as, for example, titanium, titanium nitride, tantalum, tantalum nitride, tungsten and tungsten nitride, among others.
  • the barrier layer 306 may be formed using a suitable deposition process. For example, titanium nitride may be deposited using a chemical vapor deposition (CVD) process or ALD process wherein titanium tetrachloride and ammonia are reacted.
  • CVD chemical vapor deposition
  • ALD ALD
  • a noble metal layer 308 (e.g., ruthenium) is formed on the barrier layer 306.
  • the noble metal layer is formed using the cyclical deposition techniques described above with reference to Figures 3-4.
  • the thickness for the noble metal layer is variable depending on the device structure to be fabricated. Typically, the thickness for the noble metal layer is less than about 100 A, preferably between about 10 A to about 60 A. In one embodiment, a ruthenium layer has a thickness of about 30 A.
  • the apertures 304H may be filled with copper 310 to complete the copper interconnect.
  • the copper 310 may be formed using one or more suitable deposition processes.
  • a copper seed layer may be formed on the ruthenium layer by using a CVD process followed by deposition of bulk copper to fill the interconnects using an electrochemical plating (ECP) process.
  • ECP electrochemical plating
  • a copper seed layer is deposited to the ruthenium layer via physical vapor deposition (PVD), thereafter a electroless copper plating is utilized to deposit a copper bulk fill.
  • the ruthenium layer serves as a seed layer to which a copper bulk fill is directly deposited with ECP or electroless copper plating.
  • ruthenium layer within the interconnect.
  • the subsequent steps follow: a) pre-clean of the substrate; b) deposition of a barrier layer (e.g., ALD of TaN); c) deposition of ruthenium by ALD; and d) deposition of copper by ECP or Cu-PVD followed by ECP.
  • the subsequent steps follow: a) deposition of a barrier layer (e.g., ALD of TaN); b) punch thru step; c) deposition of ruthenium by ALD; and d) deposition of copper by ECP or Cu-PVD followed by ECP.
  • the subsequent steps follow: a) deposition of ruthenium by ALD; b) punch thru step; c) deposition of ruthenium by ALD; and d) deposition of copper by ECP or Cu-PVD followed by ECP or Cu-PVD followed by ECP.
  • the subsequent steps follow: a) deposition of ruthenium by ALD; b) punch thru step; c) deposition of ruthenium by ALD; and d) deposition of copper by ECP.
  • the subsequent steps follow: a) pre-clean of the substrate; b) deposition of ruthenium by ALD; and c) deposition of copper by ECP or Cu-PVD followed by ECP.
  • the pre-clean steps include methods to clean or purify the via, such as the removal of residue at the bottom of the via (e.g., carbon) or reduction of copper oxide to copper metal.
  • Punch thru steps include a method to remove material (e.g., barrier layer) from the bottom of the via to expose conductive layer, such as copper. Further disclosure of punch thru steps are described in more detail in the commonly assigned, U.S. Patent 6,498,091 , and is herein incorporated by reference.
  • the punch thru steps is conducted in a process chamber, such as either a barrier chamber or a clean chamber. In embodiments of the invention, clean steps and punch thru steps are applied to ruthenium barrier layers. Further disclosure of overall integrated methods are described in more detail in the commonly assigned, U.S. Provisional Patent Application No. 60/478,663, entitled "Integration of ALD Tantalum Nitride for Copper Metallization", filed June 13, 2003, and is herein incorporated by reference.

Abstract

A method of ruthenium layer formation for high aspect ratios, interconnect features is described. The ruthenium layer is formed using a cyclical deposition process. The cyclical deposition process comprises alternately adsorbing a ruthenium-containing precursor and a reducing gas on a substrate structure. The adsorbed ruthenium-containing precursor reacts with the adsorbed reducing gas to form the ruthenium layer on the substrate. In one embodiment, a method of forming a ruthenium layer on a substrate for use in integrated circuit fabrication is provided which includes positioning the substrate within a process chamber, chemisorbing a ruthenium-containing layer on the substrate by exposing the substrate to bis(2,4-dimethylpentadienyl)ruthenium, purging the process chamber, exposing the ruthenium-containing layer to a reagent, and reacting the reagent with the ruthenium-containing layer to form the ruthenium layer on the substrate.

Description

RUTHENIUM LAYER FORMATION FOR COPPER FILM DEPOSITION
BACKGROUND OF THE INVENTION
Field of the Invention
[0001] Embodiments of the present invention generally relate to a method of noble metal layer formation and, more particularly to methods of ruthenium layer formation for use in copper integration.
Description of the Related Art
[0002] Sub-quarter micron, multi-level metallization is one of the key technologies for the next generation of very large scale integration (VLSI) and ultra large scale integration (ULSI) semiconductor devices. The multilevel interconnects that lie at the heart of this technology require the filling of contacts, vias, lines, and other features formed in high aspect ratio apertures. Reliable formation of these features is very important to the success of both VLSI and ULSI as well as to the continued effort to increase client density and quality on individual substrates and die.
[0003] As circuit densities increase, the widths of contacts, vias, lines and other features, as well as the dielectric materials between them may decrease to less than about 250 nm, whereas the thickness of the dielectric layers remains substantially constant with the result that the aspect ratios for the features, i.e., their height divided by width, increases. Many conventional deposition processes have difficulty filling structures where the aspect ratio exceeds 6:1, and particularly where the aspect ratio exceeds 10:1. As such, there is a great amount of ongoing effort being directed at the formation of void-free, nanometer-sized structures having aspect ratios wherein the ratio of feature height to feature width can be 6:1 or higher.
[0004] Additionally, as the feature widths decrease, the device current typically remains constant or increases, which results in an increased current density for such feature. Elemental aluminum and aluminum alloys have been the traditional metals used to form vias and lines in semiconductor devices because aluminum has a perceived low electrical resistivity, superior adhesion to most dielectric materials, ease of patterning, and the ability to obtain aluminum in a highly pure form. However, aluminum has a higher electrical resistivity than other more conductive metals such as copper. Aluminum can also suffer from electromigration leading to the formation of voids in the conductor.
[0005] Copper and copper alloys have lower resistivities than aluminum, as well as a significantly higher electromigration resistance compared to aluminum. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed.' Copper also has good thermal conductivity. Therefore, copper is becoming a choice metal for filling sub- quarter micron, high aspect ratio interconnect features on semiconductor substrates.
[0006] A thin film of a noble metal such as, for example, palladium, platinum, cobalt, nickel and rhodium, among others may be used as an underlayer for the copper vias and lines. Such noble metals, which are resistant to corrosion and oxidation, may provide a smooth surface upon which a copper seed layer is subsequently deposited using for example, an electrochemical plating (ECP) process.
[0007] The noble metal is typically deposited using a chemical vapor deposition (CVD) process or a physical vapor deposition (PVD) process. Unfortunately, noble metals deposited on high aspect ratio interconnect features using CVD and/or PVD processes generally have poor step coverage (e.g., deposition of a non-continuous material layer). The poor step coverage for the noble metal material layer may cause the subsequent copper seed layer deposition using an ECP process to be non-uniform.
[0008] Therefore, a need exists in the art for a method of depositing noble metals in high aspect ratio interconnect features having good step coverage.
SUMMARY OF THE INVENTION
[0009] A method of noble metal layer formation for high aspect ratio interconnect features is described. The noble metal layer is formed using a cyclical deposition process, such as atomic layer deposition (ALD). The cyclical deposition process comprises alternately adsorbing a noble metal-containing precursor and a reducing gas on a substrate structure. The adsorbed noble metal-containing precursor reacts with the adsorbed reducing gas to form the noble metal layer on the substrate. Suitable noble metals may include, for example, ruthenium, palladium, platinum, cobalt, nickel and rhodium, among others.
[0010] The noble metal layer formation is compatible with integrated circuit fabrication processes. In one integrated circuit fabrication process, the noble metal layer may be used as an underlayer for a copper seed layer in a copper interconnect. For such an embodiment, a preferred process sequence includes providing a substrate having an interconnect pattern defined in one or more dielectric layers formed thereon. The interconnect pattern includes a barrier layer conformably deposited thereon. A noble metal layer (e.g., ruthenium) is conformably deposited on the barrier layer. The noble metal layer is deposited using a cyclical deposition process by alternately adsorbing a noble metal-containing layer and a reducing gas on the substrate. Thereafter, the copper interconnect is completed by depositing a copper seed layer on the noble metal layer and than filling the interconnects with bulk copper metal.
[0011] In one embodiment, a method of forming a film on a substrate is provided which includes positioning the substrate within a process chamber and forming a ruthenium layer on at least a portion of the substrate by sequentially chemisorbing monolayers of a ruthenium-containing compound and a reducing gas on the substrate to form the ruthenium layer.
[0012] In another embodiment, a method of forming a ruthenium layer on a substrate for use in integrated circuit fabrication is provided which includes positioning the substrate within a process chamber, wherein the process chamber is in fluid communication with a gas delivery system, delivering a ruthenium-containing compound from the gas delivery system to the process chamber, chemisorbing a ruthenium-containing layer on the substrate, delivering a reducing gas from the gas delivery system to the process chamber and reacting the reducing gas with the ruthenium-containing layer to form the ruthenium layer on the substrate.
[0013] In another embodiment, a method for forming a layer is provided which includes ruthenium on a substrate surface, includes: a) exposing the substrate surface to a ruthenium-containing compound to form a ruthenium-containing layer on the substrate surface; b) purging the chamber with a purge gas; c) reacting a reducing gas with the ruthenium-containing layer; and d) purging the chamber with the purge gas.
[0014] In another embodiment, a method of forming a ruthenium layer on a substrate is provided which includes positioning the substrate within a process chamber and forming the ruthenium layer on at least a portion of the substrate by sequentially chemisorbing monolayers of a ruthenium-containing compound and a reducing gas. The method further includes that the process chamber comprises a substrate support having the substrate, a chamber lid comprising a passageway at a central portion of the chamber lid and comprising a bottom surface extending from the passageway to a peripheral portion of the chamber lid, the bottom surface shaped and sized to substantially cover the substrate, one or more valves coupled to the passageway, one or more gas sources coupled to each valve and a reaction zone defined between the chamber lid and the substrate, the reaction zone comprising a small volume.
[0015] In another embodiment, a method of forming a ruthenium layer on a substrate for use in integrated circuit fabrication is provided which includes positioning the substrate within a process chamber, chemisorbing a ruthenium- containing layer on the substrate by exposing the substrate to bis(2,4- dimethylpentadienyl)ruthenium, purging the process chamber, exposing, the ruthenium-containing layer to a reagent, and reacting the reagent with the ruthenium-containing layer to form the ruthenium layer on the substrate.
[0016] In another embodiment, a method of forming a ruthenium layer on a substrate for use in integrated circuit fabrication is provided which includes positioning the substrate within a process chamber, exposing the substrate to sequential pulses of a reagent and a process gas comprising bis(2,4- dimethylpentadienyl)ruthenium, purging the process chamber between the sequential pulses of the reagent and the process gas, and reducing the bis(2,4- dimethylpentadienyl)ruthenium to form the ruthenium layer on the substrate.
[0017] In another embodiment, a method of forming a ruthenium layer on a substrate for use in integrated circuit fabrication is provided which includes positioning the substrate within a process chamber, exposing the substrate to sequential pulses of a reagent and a process gas comprising a ruthenium-containing compound selected from the group consisting of: tris(2,2,6,6-tetramethyl-3,5-heptanedionato)ruthenium, bis(2,4-dimethylpentadienyl)ruthenium, dicarbonyl pentadienyl ruthenium, ruthenium acetyl acetonate,
(2,4-dimethylpentadienyl)ruthenium(cyclopentadienyl), bis(2,2,6,6-tetramethyl-3,5-heptanedionato)ruthenium(1 ,5-cyclooctadiene),
(2,4-dimethylpentadienyl)ruthenium(methylcyclopentadienyl),
(1,5-cyclooctadiene)ruthenium(cyclopentadienyl),
(1 ,5-cyclooctadiene)ruthenium(methylcyclopentadienyl),
(1 ,5-cyclooctadiene)ruthenium(ethylcyclopentadienyl),
(2,4-dimethylpentadienyl)ruthenium(ethylcyclopentadienyl),
(2,4-dimethylpentadienyl)ruthenium(isopropylcyclopentadienyl), bis(N,N-dimethyl 1 ,3-tetramethyl diiminato)ruthenium(1 ,5-cyclooctadiene), bis(N,N-dimethyl 1 ,3-dimethyl diiminato)ruthenium(1 ,5-cyclooctadiene),
6-C6H6)ruthenium(1 ,3-cyclohexadiene), bis(allyl)ruthenium(1 ,5-cyclooctadiene), bis(1 ,1-dimethyl-2-aminoethoxylato)ruthenium(1 ,5-cyclooctadiene), bis(1,1-dimethyl-2-aminoethylaminato)ruthenium(1 ,5-cyclooctadiene), derivatives thereof and combinations thereof, purging the process chamber between the sequential pulses of the reagent and the process gas, and reducing the ruthenium-containing compound to form the ruthenium layer on the substrate.
BRIEF DESCRIPTION OF THE DRAWINGS
[0018] So that the manner in which the above recited features of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
[0019] It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0020] Figure 1 depicts a schematic cross-sectional view of a process chamber that can be used to perform a cyclical deposition process described herein; [0021] Figure 2 depicts a schematic cross-sectional view of another process chamber that can be used to perform a cyclical deposition process described herein;
[0022] Figure 3 illustrates a process sequence for noble metal layer formation using cyclical deposition techniques according to one embodiment described herein;
[0023] Figure 4 illustrates a process sequence for noble metal layer formation using cyclical deposition techniques according to an alternate embodiment described herein; and
[0024] Figures 5A-5C illustrate schematic cross-sectional views of an integrated circuit fabrication sequence.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
[0025] Figure 1 depicts a schematic cross-sectional view of a process chamber 10 that can be used to perform integrated circuit fabrication in accordance with embodiments described herein. The process chamber 10 generally houses a substrate support pedestal 48, which is used to support a substrate (not shown). The substrate support pedestal 48 is movable in a vertical direction inside the process chamber 10 using a displacement mechanism 48A.
[0026] Depending on the specific process, the substrate can be heated to some desired temperature prior to or during deposition. For example, the substrate support pedestal 48 may be heated using an embedded heater element 52A. The substrate support pedestal 48 may be resistively heated by applying an electric current from an AC power supply 52 to the heater element 52A. The substrate (not shown) is, in turn, heated by the pedestal 48. Alternatively, the substrate support pedestal 48 may be heated using radiant heaters such as, for example, lamps (not shown).
[0027] A temperature sensor 50A, such as a thermocouple, is also embedded in the substrate support pedestal 48 to monitor the temperature of the pedestal 48 in a conventional manner. The measured temperature is used in a feedback loop to control the AC power supply 52 for the heating element 52A, such that the substrate temperature can be maintained or controlled at a desired temperature which is suitable for the particular process application. [0028] A vacuum pump 18 is used to evacuate the process chamber 10 and to maintain the pressure inside the process chamber 10. A gas manifold 34, through which process gases are introduced into the process chamber 10, is located above the substrate support pedestal 48. The gas manifold 34 is connected to a gas panel (not shown), which controls and supplies various process gases to the process chamber 10.
[0029] Proper control and regulation of the gas flows to the gas manifold 34 are performed by mass flow controllers (not shown) and a microprocessor controller 70. The gas manifold 34 allows process gases to be introduced and uniformly distributed in the process chamber 10. Additionally, the gas manifold 34 may optionally be heated to prevent condensation of any reactive gases within the manifold.
[0030] The gas manifold 34 includes a plurality of electronic control valves (not shown). The electronic control valves as used herein refer to any control valve capable of providing rapid and precise gas flow to the process chamber 10 with valve open and close cycles with a range from about 0.01 second to about 10 second, preferably from about 0.05 second to about 2 second and more preferably from about 0.1 second to about 1 second.
[0031] The microprocessor controller 70 may be one of any form of general purpose computer processor (CPU) that can be used in an industrial setting for controlling various chambers and sub-processors. The computer may use any suitable memory, such as random access memory, read only memory, floppy disk drive, compact disc drive, hard disk, or any other form of digital storage, local or remote. Various support circuits may be coupled to the CPU for supporting the processor in a conventional manner. Software routines as required, may be stored in the memory or executed by a second CPU that is remotely located.
[0032] The software routines are executed to initiate process recipes or sequences. The software routines, when executed, transform the general purpose computer into a specific process computer that controls the chamber operation so that a chamber process is performed. For example, software routines may be used to precisely control the activation of the electronic control valves for the execution of process sequences according to the present invention. Alternatively, the software routines may be performed in hardware, as an application specific integrated circuit or other type of hardware implementation, or a combination of software or hardware.
[0033] Figure 2 is a schematic cross-sectional view of one embodiment of a chamber 80 including a gas delivery apparatus 130 adapted for cyclic deposition, such as atomic layer deposition or rapid chemical vapor deposition. A detailed description for a chamber 80 is described in commonly assigned U.S. Patent Application Publication No. 20030079686 and commonly assigned ' U.S. Patent Application Serial No. 10/281 ,079, entitled "Gas Delivery Apparatus for Atomic Layer Deposition", filed October 25, 2002, which are both incorporated herein in their entirety by reference. The terms atomic layer deposition (ALD) and rapid chemical vapor deposition as used herein refer to the sequential introduction of reactants to deposit a thin layer over a substrate structure. The sequential introduction of reactants may be repeated to deposit a plurality of thin layers to form a conformal layer to a desired thickness. The chamber 80 may also be adapted for other deposition techniques.
[0034] The chamber 80 comprises a chamber body 82 having sidewalls 84 and a bottom 86. A slit valve 88 in the chamber 80 provides access for a robot (not shown) to deliver and retrieve a substrate 90, such as a 200 mm or 300 mm semiconductor wafer or a glass substrate, from the chamber 80.
[0035] A substrate support 92 supports the substrate 90 on a substrate receiving surface 91 in the chamber 80. The substrate support 92 is mounted to a lift motor 114 to raise and lower the substrate support 92 and a substrate 90 disposed thereon. A lift plate 116 connected to a lift motor 118 is mounted in the chamber 80 and raises and lowers pins 120 movably disposed through the substrate support 92. The pins 120 raise and lower the substrate 90 over the surface of the substrate support 92. The substrate support 92 may include a vacuum chuck, an electrostatic chuck, or a clamp ring for securing the substrate 90 to the substrate support 92 during processing.
[0036] The substrate support 92 may be heated to heat a substrate 90 disposed thereon. For example, the substrate support 92 may be heated using an embedded heating element, such as a resistive heater, or may be heated using radiant heat, such as heating lamps disposed above the substrate support 92. A purge ring 122 may be disposed on the substrate support 92 to define a purge channel 124 which provides a purge gas to a peripheral portion of the substrate 90 to prevent deposition thereon.
[0037] A gas delivery apparatus 130 is disposed at an upper portion of the chamber body 82 to provide a gas, such as a process gas and/or a purge gas, to the chamber 80. A vacuum system 178 is in communication with a pumping channel 179 to evacuate any desired gases from the chamber 80 and to help maintain a desired pressure or a desired pressure range inside a pumping zone 166 of the chamber 80.
[0038] In one embodiment, the chambers depicted by Figures 1 and 2 permit the process gas and/or purge gas to enter the chamber 80 normal (i.e., 90°) with respect to the plane of the substrate 90 via the gas delivery apparatus 130. Therefore, the surface of substrate 90 is symmetrically exposed to gases that allow uniform film formation on substrates. The process gas includes a ruthenium-containing precursor during one pulse and includes a reducing gas in another pulse.
[0039] Chamber 80, depicted in Figure 2, produces a more uniform film than chamber 10, depicted in Figure 1. Also, chamber 80 employs a smaller cycle time than chamber 10, since chamber 80 takes less time to purge and less time to dose the wafer to saturation with precursor than chamber 10. The lesser dosing time is important because many of the ruthenium-containing compounds have the inherent characteristic of a low vapor pressure. The low vapor pressure correlates to less precursor saturating the carrier gas per time and temperature, therefore, more time is needed to saturate the surface of the wafer with ruthenium-containing compound (e.g., bis(2,4-dimethylpentadienyl)ruthenium) than a traditional precursor with a higher vapor pressure (e.g., TiCI4). Therefore, chamber 10 may dose a ruthenium- containing compound for about 1 second or less, while chamber 80 may dose the same ruthenium-containing compound for about 0.2 seconds or less.
[0040] In one embodiment, the gas delivery apparatus 130 comprises a chamber lid 132. The chamber lid 132 includes an expanding channel 134 extending from a central portion of the chamber lid 132 and a bottom surface 160 extending from the expanding channel 134 to a peripheral portion of the chamber lid 132. The bottom surface 160 is sized and shaped to substantially cover a substrate 90 disposed on the substrate support 92. The expanding channel 134 has gas inlets 136A, 136B to provide gas flows from two similar valves 142A, 142B. The gas flows from the valves 142A, 142B may be provided together and/or separately.
[0041] In one configuration, valve 142A and valve 142B are coupled to separate reactant gas sources but are preferably coupled to the same purge gas source. For example, valve 142A is coupled to reactant gas source 138 and valve 142B is coupled to reactant gas source 139, and both valves 142A, 142B are coupled to purge gas source 140. Each valve 142A, 142B includes a delivery line 143A, 143B having a valve seat assembly 144A, 144B and includes a purge line 145A, 145B having a valve seat assembly 146A, 146B. The delivery line 143A, 143B is in communication with the reactant gas source 138, 139 and is in communication with the gas inlet 136A, 136B of the expanding channel 134. The valve seat assembly 144A, 144B of the delivery line 143A, 143B controls the flow of the reactant gas from the reactant gas source 138, 139 to the expanding channel 134. The purge line 145A, 145B is in communication with the purge gas source 140 and intersects the delivery line 142A, 142B downstream of the valve seat assembly 144A, 144B of the delivery line 142A, 142B. The valve seat assembly 146A, 146B of the purge line 145A, 145B controls the flow of the purge gas from the purge gas source 140 to the delivery line 143A, 143B. If a carrier gas is used to deliver reactant gases from the reactant gas source 138, 139, preferably the same gas is used as a carrier gas and a purge gas (i.e., an argon gas used as a carrier gas and a purge gas).
[0042] Each valve seat assembly 144A, 144B, 146A, 146B may comprise a diaphragm and a valve seat. The diaphragm may be biased open or closed and may be actuated closed or open respectively. The diaphragms may be pneumatically actuated or may be electrically actuated. Examples of pneumatically actuated valves include pneumatically actuated valves available from Fujiken and Veriflow. Examples of electrically actuated valves include electrically actuated valves available from Fujiken. Programmable logic controllers 148A, 148B may be coupled to the valves 142A, 142B to control actuation of the diaphragms of the valve seat assemblies 144A, 144B, 146A, 146B of the valves 142A, 142B. Pneumatically actuated valves may provide pulses of gases in time periods as low as about 0.020 seconds. Electrically actuated valves may provide pulses of gases in time periods as low as about 0.005 seconds. An electrically actuated valve typically requires the use of a driver coupled between the valve and the programmable logic controller.
[0043] Each valve 142A, 142B may be a zero dead volume valve to enable flushing of a reactant gas from the delivery line 143A, 143B when the valve seat assembly 144A, 144B of the valve is closed. For example, the purge line 145A, 145B may be positioned adjacent the valve seat assembly 144A, 144B of the delivery line 143A, 143B. When the valve seat assembly 144A, 144B is closed, the purge line 145A, 145B may provide a purge gas to flush the delivery line 143A, 143B. In the embodiment shown, the purge line 145A, 145B is positioned slightly spaced from the valve seat assembly 144A, 144B of the delivery line 143A, 143B so that a purge gas is not directly delivered into the valve seat assembly 144A, 144B when open. A zero dead volume valve as used herein is defined as a valve which has negligible dead volume (i.e., not necessary zero dead volume.)
[0044] Each valve 142A, 142B may be adapted to provide a combined gas flow and/or separate gas flows of the reactant gas 138, 139 and the purge gas 140. In reference to valve 142A, one example of a combined gas flow of the reactant gas 138 and the purge gas 140 provided by valve 142A comprises a continuous flow of a purge gas from the purge gas source 140 through purge line 145A and pulses of a reactant gas from the reactant gas source 138 through delivery line 143A. The continuous flow of the purge gas may be provided by leaving diaphragm of the valve seat assembly 146A of the purge line 145A open. The pulses of the reactant gas from the reactant gas source 138 may be provided by opening and closing the diaphragm of the valve seat 144A of the delivery line 143A. In reference to valve 142A, one example of separate gas flows of the reactant gas 138 and the purge gas 140 provided by valve 142A comprises pulses of a purge gas from the purge gas source 140 through purge line 145A and pulses of a reactant gas from the reactant gas source 138 through delivery line 143A. The pulses of the purge gas may be provided by opening and closing the diaphragm of the valve seat assembly 146A of the purge line 145A open. The pulses of the reactant gas from the reactant gas source 138 may be provided by opening and closing the diaphragm valve seat 144A of the delivery line 143A.
[0045] The delivery lines 143A, 143B of the valves 142A, 142B may be coupled to the gas inlets 136A, 136B through gas conduits 150A, 150B. The gas conduits 150A, 150B may be integrated or may be separate from the valves 142A, 142B. In one aspect, the valves 142A, 142B are coupled in close proximity to the expanding channel 134 to reduce any unnecessary volume of the delivery line 143A, 143B and the gas conduits 150A, 150B between the valves 142A, 142B and the gas inlets 136A, 136B.
[0046] In Figure 2, the expanding channel 134 comprises a channel which has an inner diameter which increases from an upper portion 137 to a lower portion 135 of the expanding channel 134 adjacent the bottom surface 160 of the chamber lid 132.
[0047] In one specific embodiment, the inner diameter of the expanding channel 134 for a chamber adapted to process 200 mm diameter substrates is between about 0.2 inches (0.51 cm) and about 1.0 inches (2.54 cm), more preferably between about 0.3 inches (0.76 cm) and about 0.9 inches (2.29 cm) and more preferably between about 0.3 inches (0.76 cm) and about 0.5 inches (1.27 cm) at the upper portion 137 of the expanding channel 134 and between about 0.5 inches (1.27 cm) and about 3.0 inches (7.62 cm), preferably between about 0.75 inches (1.91 cm) and about 2.5 inches (6.35 cm) and more preferably between about 1.1 inches (2.79 cm) and about 2.0 inches (5.08 cm) at the lower portion 135 of the expanding channel 134.
[0048] In another specific embodiment, the inner diameter of the expanding channel 134 for a chamber adapted to process 300 mm diameter substrates is between about 0.2 inches (0.51 cm) and about 1.0 inches (2.54 cm), more preferably between about 0.3 inches (0.76 cm) and about 0.9 inches (2.29 cm) and more preferably between about 0.3 inches (0.76 cm) and about 0.5 inches (1.27 cm) at the upper portion 137 of the expanding channel 134 and between about 0.5 inches (1.27 cm) and about 3.0 inches (7.62 cm), preferably between about 0.75 inches (1.91 cm) and about 2.5 inches (6.35 cm) and more preferably between about 1.2 inches (3.05 cm) and about 2.2 inches (5.59 cm) at the lower portion 135 of the expanding channel 134 for a 300 mm substrate. In general, the above dimension apply to an expanding channel adapted to provide a total gas flow of between about 500 seem and about 3,000 seem.
[0049] In other specific embodiments, the dimension may be altered to accommodate a certain gas flow therethrough. In general, a larger gas flow will require a larger diameter expanding channel. In one embodiment, the expanding channel 134 may be shaped as a truncated cone (including shapes resembling a truncated cone). Whether a gas is provided toward the walls of the expanding channel 134 or directly downward towards the substrate, the velocity of the gas flow decreases as the gas flow travels through the expanding channel 134 due to the expansion of the gas. The reduction of the velocity of the gas flow helps reduce the likelihood the gas flow will blow off reactants absorbed on the surface of the substrate 90.
[0050] Not wishing to be bound by theory, it is believed that the diameter of the expanding channel 134, which is gradually increasing from the upper portion 137 to the lower portion 135 of the expanding channel, allows less of an adiabatic expansion of a gas through the expanding channel 134 which helps to control the temperature of the gas. For instance, a sudden adiabatic expansion of a gas delivered through the gas inlet 136A, 136B into the expanding channel 134 may result in a drop in the temperature of the gas which may cause condensation of the gas and formation of particles. On the other hand, a gradually expanding channel 134 according to embodiments of the present invention is believed to provide less of an adiabatic expansion of a gas. Therefore, more heat may be transferred to or from the gas, and, thus, the temperature of the gas may be more easily controlled by controlling the surrounding temperature of the gas (i.e., controlling the temperature of the chamber lid 132). The gradually expanding channel may comprise one or more tapered inner surfaces, such as a tapered straight surface, a concave surface, a convex surface, or combinations thereof or may comprise sections of one or more tapered inner surfaces (i.e., a portion tapered and a portion non-tapered).
[0051] In one embodiment, the gas inlets 136A, 136B are located adjacent the upper portion 137 of the expanding channel 134. In other embodiments, one or more gas inlets may be located along the length of the expanding channel 134 between the upper portion 137 and the lower portion 135.
[0052] In Figure 2, a control unit 180, such as a programmed personal computer, work station computer, or the like, may be coupled to the chamber 80 to control processing conditions. For example, the control unit 180 may be configured to control flow of various process gases and purge gases from gas sources 138, 139, 140 through the valves 142A, 142B during different stages of a substrate process sequence. Illustratively, the control unit 180 comprises a central processing unit (CPU) 182, support circuitry 184, and memory 186 containing associated control software 183.
[0053] The control unit 180 may be one of any form of general purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors. The CPU 182 may use any suitable memory 186, such as random access memory, read only memory, floppy disk drive, compact disc drive, hard disk, or any other form of digital storage, local or remote. Various support circuits may be coupled to the CPU 182 for supporting the chamber 100. The control unit 180 may be coupled to another controller that is located adjacent individual chamber components, such as the programmable logic controllers 148A, 148B of the valves 142A, 142B. Bi-directional communications between the control unit 180 and various other components of the chamber 80 are handled through numerous signal cables collectively referred to as signal buses 188, some of which are illustrated in Figure 2. In addition to control of process gases and purge gases from gas sources 138, 139, 140 and from the programmable logic controllers 148A, 148B of the valves 142A, 142B, the control unit 180 may be configured to be responsible for automated control of other activities used in wafer processing— such as wafer transport, temperature control, chamber evacuation, among other activities, some of which are described elsewhere herein.
Noble Metal Layer Formation
[0054] A method of noble metal layer formation for high aspect ratio interconnect features is described. The noble metal layer is deposited using a cyclical deposition process. The cyclical deposition process comprises alternately adsorbing a noble metal-containing precursor and a reducing gas on a substrate structure. The noble metal-containing precursor and the reducing gas undergo a reaction to form the noble metal layer on the substrate. Suitable noble metals may include for example ruthenium, palladium, platinum, cobalt, nickel and rhodium, among others, preferably ruthenium. The ruthenium layer has a thickness less than 500 A, preferably from about 10 A to about 100 A and most preferably about 30 A.
[0055] Figure 3 illustrates a process sequence 100 detailing the various steps used for the deposition of the silicon layer. These steps may be performed in a process chamber similar to that described above with reference to Figures 1 and 2. As shown in step 102, a substrate is provided to the process chamber. The substrate may be for example, a silicon substrate having an interconnect pattern defined in one or more dielectric material layers formed thereon. The process chamber conditions such as, for example, the temperature and pressure are adjusted to enhance the adsorption of the process gases on the substrate so as to facilitate the reaction of the noble metal-containing precursor (e.g., ruthenium metallocene) and the reducing gas. In general, for noble metal layer deposition, the substrate should be maintained at a temperature less than about 500°C, preferably in a range from about 200°C to about 400°C, more preferably at about 350°C. The process chamber pressure is maintained in a range from about 0.1 Torr to about 80 Torr, preferably from about 1 Torr to about 10 Torr. The noble metal-containing precursor may be provided at a flow rate between about 0.01 seem to about 20 seem, preferably from about 0.1 seem to about 5 seem, more preferably between about 0.1 seem to about 1 seem. The reducing gas may be provided at a flow rate between about 1 seem to about 100 seem, preferably between about 10 seem to about 50 seem.
[0056] In one embodiment where a constant carrier gas flow is desired, a carrier gas stream is established within the process chamber as indicated in step 104. Carrier gases may be selected so as to also act as a purge gas for the removal of volatile reactants and/or by-products from the process chamber. Carrier or purge gases such as, for example, helium (He), argon (Ar), nitrogen (N2), hydrogen (H2) and combinations thereof, among others may be used. The pulse of the purge gas lasts for a predetermined time interval, such as a range from about 0.01 second to about 10 seconds, preferably from about 0.07 second to about 2 seconds and more preferably from about 0.1 second to about 1 second. The carrier gas and purge gases may be provided at a flow rate between about 500 seem to about 5,000 seem, preferably between about 500 seem to about 2,500 seem for 200 mm substrates and between about 1 ,000 seem to about 5,000 seem for 300 mm substrates.
[0057] Referring to step 106, after the carrier gas stream is established within the process chamber, a pulse of a noble metal-containing precursor is added to the carrier gas stream. The term pulse as used herein refers to a dose of material injected into the process chamber or into the carrier gas stream. The pulse of the noble metal-containing precursor lasts for a predetermined time interval, such as a range from about 0.01 second to about 10 seconds, preferably from about 0.05 second to about 1.5 seconds and more preferably from about 0.1 second to about 1 second.
[0058] The noble metal-containing precursor may comprise, for example, noble metals such as ruthenium, palladium, platinum cobalt, nickel and rhodium, among others. Suitable ruthenium-containing precursors include: tris(2,2,6,6-tetramethyl-3,5-heptanedionato)ruthenium, bis(2,4-dimethylpentadienyl)ruthenium, dicarbonyl pentadienyl ruthenium, ruthenium acetyl acetonate,
(2,4-dimethylpentadienyl)ruthenium(cyclopentadienyl), bis(2,2,6,6-tetramethyl-3,5-heptanedionato)ruthenium(1 ,5-cyclooctadiene),
(2,4-dimethylpentadienyl)ruthenium(methylcyclopentadienyl),
(1 ,5-cyclooctadiene)ruthenium(cyclopentadienyl),
(1 ,5-cyclooctadiene)ruthenium(methylcyclopentadienyl),
(1 ,5-cyclooctadiene)ruthenium(ethylcyclopentadienyl),
(2,4-dimethylpentadienyl)ruthenium(ethylcyclopentadienyl),
(2,4-dimethylpentadienyl)ruthenium(isopropylcyclopentadienyl), tris(2,2,6,6-tetramethyl-3,5-heptanedionato)ruthenium, bis(N,N-dimethyl 1 ,3-tetramethyl diiminato)ruthenium(1 ,5-cyclooctadiene), bis(N,N-dimethyl 1 ,3-dimethyl diiminato)ruthenium(1 ,5-cyclooctadiene), bis(allyl)ruthenium(1 ,5-cyclooctadiene), (η6-C6H6)ruthenium(1 ,3-cyclohexadiene), bis(1 ,1-dimethyl-2-aminoethoxylato)ruthenium(1 ,5-cyclooctadiene), bis(1 ,1-dimethyl-2-aminoethylaminato)ruthenium(1 ,5-cyclooctadiene), derivatives thereof and combinations thereof. Suitable palladium-containing precursors include: bis(allyl)palladium, bis(2-methylallyl)palladium, and
(cyclopentadienyl)(allyl)palladium, among others. Suitable platinum-containing precursors include: dimethyl(cyclooctadiene)platinum, trimethyl(cyclopentadienyl)platinum, trimethyl(methylcyclopentadienyl)platinum, cyclopentadienyl(allyl)platinum, methyl(carbonyl)cyclopentadienylplatinum, trimethyl(acetylacetonato)platinum, and bis(acetylacetonato)platinum, among others. Suitable cobalt-containing precursors include: bis(cyclopentadienyl)cobalt, (cyclopentadienyl)(cyclohexadienyl)cobalt, cyclopentadienyl(1 ,3-hexadienyl)cobalt, (cyclobutadienyl)(cyclopentadienyl)cobalt, bis(methylcyclopentadienyl)cobalt,
(cyclopentadienyl)(5-methylcyclopentadienyl)cobalt, and bis(ethylene)
(pentamethylcyclopentadienyl)cobalt, among others. A suitable nickel-containing precursor includes bis(methylcyclopentadienyl) nickel, among others. Suitable rhodium-containing precursors include: bis(carbonyl)(cyclopentadienyl)rhodium, bis(propylene)rhodium, bis(carbonyl)(ethylcyclopentadienyl)rhodium, and bis(carbonyl)(methylcyclopentadienyl)rhodium.
[0059] The time interval for the pulse of the noble metal-containing precursor is variable depending upon a number of factors such as, for example, the volume capacity of the process chamber employed, the vacuum system coupled thereto and the volatility/reactivity of the reactants used. For example, (1) a large-volume process chamber may lead to a longer time to stabilize the process conditions such as, for example, carrier/purge gas flow and temperature, requiring a longer pulse time; (2) a lower flow rate for the process gas may also lead to a longer time to stabilize the process conditions requiring a longer pulse time; and (3) a lower chamber pressure means that the process gas is evacuated from the process chamber more quickly requiring a longer pulse time. In general, the process conditions are advantageously selected so that a pulse of the noble metal-containing precursor provides a sufficient amount of precursor so that at least a monolayer of the noble metal-containing precursor is adsorbed on the substrate. Thereafter, excess noble metal-containing precursor remaining in the chamber may be removed from the process chamber by the constant carrier gas stream in combination with the vacuum system.
[0060] In step 108, after the excess noble metal-containing precursor has been flushed from the process chamber by the carrier gas stream, a pulse of a reducing gas is added to the carrier gas stream. The pulse of the reducing gas also lasts for a predetermined time interval. In general, the time interval for the pulse of the reducing gas should be long enough for adsorption of at least a monolayer of the reducing gas on the noble metal-containing precursor. The pulse of reducing gas lasts for a predetermined time interval, such as a range from about 0.01 second to about 10 second, preferably from about 0.1 second to about 2 second and more preferably from about 0.1 second to about 1 second. Thereafter, excess reducing gas is flushed from the process chamber by the carrier gas stream. Suitable reducing gases may include, for example, hydrogen (e.g., H2 or atomic-H), ammonia (NH3), silane (SiH ), disilane (Si2H6), trisilane (Si3H8), tetrasilane (Si40), dimethylsilane (SiC2H8), methyl silane (SiCHβ), ethylsilane (SiC2H8), chlorosilane (CISiH3), dichlorosilane (CI2SiH2), hexachlorodisilane (Si2Cl6), borane, diborane, triborane, tetraborane, pentaborane, triethylborane, derivatives thereof and combinations thereof.
[0061] Steps 104 through 108 comprise one embodiment of a deposition cycle for a noble metal layer. For such an embodiment, a constant flow of carrier gas is provided to the process chamber modulated by alternating periods of pulsing and non-pulsing where the periods of pulsing alternate between the noble metal- containing precursor and the reducing gas along with the carrier gas stream, while the periods of non-pulsing include only the carrier gas stream.
[0062] The time interval for each of the pulses of the noble metal-containing precursor and the reducing gas may have the same duration. That is, the duration of the pulse of the noble metal-containing precursor may be identical to the duration of the pulse of the reducing gas. For such an embodiment, a time interval (Ti) for the pulse of the noble metal-containing precursor is equal to a time interval (T2) for the pulse of the reducing gas.
[0063] Alternatively, the time interval for each of the pulses of the noble metal- containing precursor and the reducing gas may have different durations. That is, the duration of the pulse of the noble metal-containing precursor may be shorter or longer than the duration of the pulse of the reducing gas. For such an embodiment, a time interval (Ti) for the pulse of the noble metal-containing precursor is different than the time interval (T2) for the pulse of the reducing gas.
[0064] In addition, the periods of non-pulsing between each of the pulses of the noble metal-containing precursor and the reducing gas may have the same duration. That is, the duration of the period of non-pulsing between each pulse of the noble metal-containing precursor and each pulse of the reducing gas is identical. For such an embodiment, a time interval (T3) of non-pulsing between the pulse of the noble metal-containing precursor and the pulse of the reducing gas is equal to a time interval (T ) of non-pulsing between the pulse of the reducing gas and the pulse of the noble metal-containing precursor. During the time periods of non-pulsing only the constant carrier gas stream is provided to the process chamber.
[0065] Alternatively, the periods of non-pulsing between each of the pulses of the noble metal-containing precursor and the reducing gas may have different duration. That is, the duration of the period of non-pulsing between each pulse of the noble metal-containing precursor and each pulse of the reducing gas may be shorter or longer than the duration of the period of non-pulsing between each pulse of the reducing gas and the noble metal-containing precursor. For such an embodiment, a time interval (T3) of non-pulsing between the pulse of the noble metal-containing precursor and the pulse of the reducing gas is different from a time interval (T4) of non-pulsing between the pulse of the reducing gas and the pulse of noble metal- containing precursor. During the time periods of non-pulsing only the constant carrier gas stream is provided to the process chamber.
[0066] Additionally, the time intervals for each pulse of the noble metal-containing precursor, the reducing gas and the periods of non-pulsing therebetween for each deposition cycle may have the same duration. For such an embodiment, a time interval (T-i) for the noble metal-containing precursor, a time interval (T2) for the reducing gas, a time interval (T3) of non-pulsing between the pulse of the noble metal-containing precursor and the pulse of the reducing gas and a time interval (T4) of non-pulsing between the pulse of the reducing gas and the pulse of the noble metal-containing precursor each have the same value for each deposition cycle. For example, in a first deposition cycle (Cι), a time interval (T-i) for the pulse of the noble metal-containing precursor has the same duration as the time interval (T-i) for the pulse of the noble metal-containing precursor in subsequent deposition cycles (C2...Cn). Similarly, the duration of each pulse of the reducing gas and the periods of non-pulsing between the pulse of the noble metal-containing precursor and the reducing gas in the first deposition cycle (C-i) is the same as the duration of each pulse of the reducing gas and the periods of non-pulsing between the pulse of the noble metal-containing precursor and the reducing gas in subsequent deposition cycles (C2 ...Cn), respectively.
[0067] Alternatively, the time intervals for at least one pulse of the noble metal- containing precursor, the reducing gas and the periods of non-pulsing therebetween for one or more of the deposition cycles of the noble metal layer deposition process may have different durations. For such an embodiment, one or more of the time intervals (Ti) for the pulses of the noble metal-containing precursor, the time intervals (T2) for the pulses of the reducing gas, the time intervals (T3) of non-pulsing between the pulse of the noble metal-containing precursor and the reducing gas and the time intervals (T4) of non-pulsing between the pulses of the reducing gas and the noble metal-containing precursor may have different values for one or more deposition cycles of the cyclical deposition process. For example, in a first deposition cycle (Cι), the time interval (T-i) for the pulse of the noble metal- containing precursor may be longer or shorter than one or more time interval (T-i) for the pulse of the noble metal-containing precursor in subsequent deposition cycles (C2...Cn). Similarly, the durations of the pulses of the reducing gas and the periods of non-pulsing between the pulse of the noble metal-containing precursor and the reducing gas in the first deposition cycle (C-i) may be the same or different than the duration of each pulse of the reducing gas and the periods of non-pulsing between the pulse of the noble metal-containing precursor and the reducing gas in subsequent deposition cycles (C2 ...Cn).
[0068] Referring to step 110, after each deposition cycle (steps 104 through 108) a thickness of the noble metal will be formed on the substrate. Depending on specific device requirements, subsequent deposition cycles may be needed to achieve a desired thickness. As such, steps 104 through 108 are repeated until the desired thickness for the noble metal layer is achieved. Thereafter, when the desired thickness for the noble metal layer is achieved the process is stopped as indicated by step 112.
[0069] In an alternate process sequence described with respect to Figure 4, the noble metal layer deposition cycle comprises separate pulses for each of the noble metal-containing precursor, the reducing gas and a purge gas. For such an embodiment, the noble metal layer deposition sequence 200 includes providing a substrate to the process chamber (step 202), providing a first pulse of a purge gas to the process chamber (step 204), providing a pulse of a noble metal-containing precursor to the process chamber (step 206), providing a second pulse of the purge gas to the process chamber (step 208), providing a pulse of a reducing gas to the process chamber (step 210), and then repeating steps 204 through 210, or stopping the deposition process (step 214) depending on whether a desired thickness for the noble metal layer has been achieved (step 212).
[0070] The time intervals for each of the pulses of the noble metal-containing precursor, the reducing gas and the purge gas may have the same or different durations as discussed above with respect to Figure 3. Alternatively, corresponding time intervals for one or more pulses of the noble metal-containing precursor, the reducing gas and the purge gas in one or more of the deposition cycles of the noble metal layer deposition process may have different durations.
[0071] In Figures 3-4, the noble metal layer deposition cycle is depicted as beginning with a pulse of the noble metal-containing precursor followed by a pulse of the reducing gas. Alternatively, the noble metal layer deposition cycle may start with a pulse of the reducing gas followed by a pulse of the noble metal-containing precursor.
[0072] One exemplary process of depositing a ruthenium layer by atomic layer deposition a substrate (e.g., 300 mm), in the process chamber 80 of Figure 2, comprises providing pulses of a ruthenium-containing compound, such as bis(2,4- dimethylpentadienyl)ruthenium, from gas source 138 at a flow rate between about 0.01 seem and about 5 seem, preferably between about 0.1 seem and about 1 seem, through valve 142A for a pulse time of about 1.5 seconds or less, such as about 0.1 seconds or less, and as low as about 0.05 seconds or less due the smaller volume of the reaction zone 164 (as compared to chamber 8 of Figure 1). Pulses of a reducing gas, such as diborane (B2H6), may be provided from gas source 139 at a flow rate between about 1 seem and about 80 seem, preferably between 10 seem and about 50 seem, through valve 142B for a pulse time of about 2 seconds or less, about 1 seconds or less, or about 0.1 seconds or less due to a smaller volume of the reaction zone 164. An argon purge gas at a flow rate between about 500 seem and about 5,000 seem, preferably, between about 1 ,500 seem and about 3,500 seem, may be continuously provided from gas source 140 through valves 142A, 142B. The time between pulses of bis(2,4-dimethylpentadienyl)ruthenium and B2H6 may be about 0.5 seconds or less, such as about 0.1 seconds or less, and as low as about 0.07 seconds or less due to the smaller volume of the reaction zone 164. It is believed to fill a reaction zone with a reactant gas and/or purge gas, pulse times as low as about 0.016 seconds are sufficient, with correspondingly shorter pulse times for a reaction zone 164 sized for smaller wafers (e.g., 200 mm). The heater temperature preferably is maintained between about 200°C and about 400°C, preferably about 350°C at a chamber pressure between about 1.0 and about 10 Torr, preferably about 4 Torr. This process provides a ruthenium layer in a thickness between about 0.5 A and about 1.0 A per cycle. The alternating sequence may be repeated until a desired thickness is achieved.
[0073] In one embodiment, the ruthenium layer is deposited to a sidewall coverage of about 50 A or less. In another embodiment, the ruthenium layer is deposited to a sidewall coverage of about 20 A or less. In still another embodiment, the ruthenium layer is deposited to a sidewall coverage of about 10 A or less. A ruthenium layer with a thickness of about 10 A or less is believed to be a sufficient thickness in the application as an underlayer to adhere copper deposition (i.e., seed layer) and prevent copper diffusion (i.e., barrier layer). In one aspect, a thin ruthenium under layer may be used to advantage in filling sub-micron (e.g., less than 0.15 ym) and smaller features having high aspect ratios (e.g., greater than 5 to 1). Of course, a layer having a sidewall coverage of greater than 50 A may be used. In one embodiment, ruthenium is deposited as a seed layer. In another embodiment, ruthenium is deposited as a barrier layer.
Formation of Copper Interconnects
[0074] Figures 5A-5C illustrate cross-sectional views of a substrate at different stages of a copper interconnect fabrication sequence incorporating the noble metal layer of the present invention. Figure 5A, for example, illustrates a cross-sectional view of a substrate 300 having metal contacts 304 and a dielectric layer 302 formed thereon. The substrate 300 may comprise a semiconductor material such as, for example, silicon, germanium, or gallium arsenide. The dielectric layer 302 may comprise an insulating material such as, for example, silicon oxide or silicon nitride, among others. The metal contacts 304 may comprise for example, copper, among others. Apertures 304H may be defined in the dielectric layer 302 to provide openings over the metal contacts 304. The apertures 304H may be defined in the dielectric layer 302 using conventional lithography and etching techniques.
[0075] A barrier layer 306 may be formed in the apertures 304H defined in the dielectric layer 302. The barrier layer 306 may include one or more refractory metal- containing layers such as, for example, titanium, titanium nitride, tantalum, tantalum nitride, tungsten and tungsten nitride, among others. The barrier layer 306 may be formed using a suitable deposition process. For example, titanium nitride may be deposited using a chemical vapor deposition (CVD) process or ALD process wherein titanium tetrachloride and ammonia are reacted.
[0076] Referring to Figure 5B, a noble metal layer 308 (e.g., ruthenium) is formed on the barrier layer 306. The noble metal layer is formed using the cyclical deposition techniques described above with reference to Figures 3-4. The thickness for the noble metal layer is variable depending on the device structure to be fabricated. Typically, the thickness for the noble metal layer is less than about 100 A, preferably between about 10 A to about 60 A. In one embodiment, a ruthenium layer has a thickness of about 30 A.
[0077] Thereafter, referring to Figure 5C, the apertures 304H may be filled with copper 310 to complete the copper interconnect. The copper 310 may be formed using one or more suitable deposition processes. In one embodiment, for example, a copper seed layer may be formed on the ruthenium layer by using a CVD process followed by deposition of bulk copper to fill the interconnects using an electrochemical plating (ECP) process. In another embodiment, a copper seed layer is deposited to the ruthenium layer via physical vapor deposition (PVD), thereafter a electroless copper plating is utilized to deposit a copper bulk fill. In another embodiment, the ruthenium layer serves as a seed layer to which a copper bulk fill is directly deposited with ECP or electroless copper plating.
[0078] Several integration sequence are conducted in order to form a ruthenium layer within the interconnect. In one embodiment, the subsequent steps follow: a) pre-clean of the substrate; b) deposition of a barrier layer (e.g., ALD of TaN); c) deposition of ruthenium by ALD; and d) deposition of copper by ECP or Cu-PVD followed by ECP. In another embodiment, the subsequent steps follow: a) deposition of a barrier layer (e.g., ALD of TaN); b) punch thru step; c) deposition of ruthenium by ALD; and d) deposition of copper by ECP or Cu-PVD followed by ECP. In another embodiment, the subsequent steps follow: a) deposition of ruthenium by ALD; b) punch thru step; c) deposition of ruthenium by ALD; and d) deposition of copper by ECP or Cu-PVD followed by ECP or Cu-PVD followed by ECP. In another embodiment, the subsequent steps follow: a) deposition of ruthenium by ALD; b) punch thru step; c) deposition of ruthenium by ALD; and d) deposition of copper by ECP. In another embodiment, the subsequent steps follow: a) pre-clean of the substrate; b) deposition of ruthenium by ALD; and c) deposition of copper by ECP or Cu-PVD followed by ECP.
[0079] The pre-clean steps include methods to clean or purify the via, such as the removal of residue at the bottom of the via (e.g., carbon) or reduction of copper oxide to copper metal. Punch thru steps include a method to remove material (e.g., barrier layer) from the bottom of the via to expose conductive layer, such as copper. Further disclosure of punch thru steps are described in more detail in the commonly assigned, U.S. Patent 6,498,091 , and is herein incorporated by reference. The punch thru steps is conducted in a process chamber, such as either a barrier chamber or a clean chamber. In embodiments of the invention, clean steps and punch thru steps are applied to ruthenium barrier layers. Further disclosure of overall integrated methods are described in more detail in the commonly assigned, U.S. Provisional Patent Application No. 60/478,663, entitled "Integration of ALD Tantalum Nitride for Copper Metallization", filed June 13, 2003, and is herein incorporated by reference.
[0080] While foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

Claims:
1. A method of forming a film on a substrate, comprising: positioning the substrate within a process chamber; and forming a ruthenium layer on at least a portion of the substrate by sequentially chemisorbing monolayers of a ruthenium-containing compound and a reducing gas.
2. The method of claim 1, wherein the process chamber is purged with a purge gas following chemisorption of each monolayer.
3. The method of claim 2, wherein the ruthenium-containing compound is selected from the group consisting of: tris(2,2,6,6-tetramethyl-3,5-heptanedionato)ruthenium, bis(2,4-dimethylpentadienyl)ruthenium, dicarbonyl pentadienyl ruthenium, ruthenium acetyl acetonate, (2,4-dimethylpentadienyl)ruthenium(cyclopentadienyl), bis(2,2,6,6-tetramethyl-3,5-heptanedionato)ruthenium(1 ,5-cyclooctadiene), (2,4-dimethylpentadienyl)ruthenium(methylcyclopentadienyl), (1 ,5-cycIooctadiene)ruthenium(cyclopentadienyl), (1 ,5-cyclooctadiene)ruthenium(methyIcyclopentadienyl), (1 ,5-cyclooctadiene)ruthenium(ethylcyclopentadienyl), (2,4-dimethylpentadienyl)ruthenium(ethylcyclopentadienyl), (2,4-dimethylpentadienyl)ruthenium(isopropylcyclopentadienyl), bis(N,N-dimethyl 1 ,3-tetramethyl diiminato)ruthenium(1 ,5-cyclooctadiene), bis(N,N-dimethyl 1 ,3-dimethyl diiminato)ruthenium(1 ,5-cyclooctadiene), bis(allyl)ruthenium(1 ,5-cyclooctadiene), (η6-CeH6)ruthenium(1 ,3-cyclohexadiene), bis(1 ,1-dimethyl-2-aminoethoxylato)ruthenium(1 ,5-cyclooctadiene), bis(1 ,1-dimethyl-2-aminoethylaminato)ruthenium(1 ,5-cyclooctadiene), derivatives thereof and combinations thereof.
4. The method of claim 3, wherein the reducing gas comprises one or more gases selected from the group consisting of hydrogen, ammonia, silane, disilane, dimethylsilane, methylsilane, ethylsilane, chlorosilane, dichlorosilane, hexachlorodisilane, borane, diborane, triborane, tetraborane, pentaborane, triethylborane, and combinations thereof.
5. The method of claim 4, wherein forming the ruthenium layer is performed at a temperature in a range from about 200°C to about 400°C.
6. The method of claim 4, wherein forming the ruthenium layer is performed at a pressure in a range from about 0.1 Torr to about 80 Torr.
7. The method of claim 2, wherein the purge gas is selected from the group consisting of helium, argon, hydrogen, nitrogen, and combinations thereof.
8. The method of claim 6, wherein the ruthenium-containing compound is pulsed into the process chamber in a range from about 0.05 seconds to about 1.5 seconds.
9. The method of claim 8, wherein the reducing gas is pulsed into the process chamber in a range from about 0.1 seconds to about 2 seconds.
10. The method of claim 7, wherein the purge gas is pulsed into the process chamber in a range from about 0.07 seconds to about 1 second.
11. The method of claim 4, wherein the ruthenium layer has a thickness in a range from about 10 A to about 100 A.
12. The method of claim 4, wherein the ruthenium-containing compound passes through a gas delivery apparatus and is injected into the process chamber.
13. The method of claim 12, wherein the ruthenium-containing compound is delivered normal to the substrate with respect to the substrate.
14. A method of forming a ruthenium layer on a substrate for use in integrated circuit fabrication, comprising: positioning the substrate within a process chamber, wherein the process chamber is in fluid communication with a gas delivery system; delivering a ruthenium-containing compound from the gas delivery system to the process chamber; chemisorbing a ruthenium-containing layer on the substrate; delivering a reducing gas from the gas delivery system to the process chamber; and reacting the reducing gas with the ruthenium-containing layer to form the ruthenium layer on the substrate.
15. The method of claim 14, wherein the chamber is purged with a purge gas preceding and following the delivery of the reducing gas.
16. The method of claim 15, wherein the ruthenium-containing compound is selected from the group consisting of: tris(2,2,6,6-tetramethyl-3,5-heptanedionato)ruthenium, bis(2,4-dimethylpentadienyl)ruthenium, dicarbonyl pentadienyl ruthenium, ruthenium acetyl acetonate, (2,4-dimethylpentadienyl)ruthenium(cyclopentadienyl), bis(2,2,6,6-tetramethyl-3,5-heptanedionato)ruthenium(1 ,5-cyclooctadiene), (2,4-dimethylpentadienyl)ruthenium(methylcyclopentadienyl), (1 ,5-cyclooctadiene)ruthenium(cyclopentadienyl), (1 ,5-cyclooctadiene)ruthenium(methylcyclopentadienyl), (1 ,5-cyclooctadiene)ruthenium(ethylcyclopentadienyl), (2,4-dimethylpentadienyl)ruthenium(ethylcyclopentadienyl), (2,4-dimethylpentadienyl)ruthenium(isopropylcyclopentadienyl), bis(N,N-dimethyl 1 ,3-tetramethyl diiminato)ruthenium(1 ,5-cyclooctadiene), bis(N,N-dimethyl 1 ,3-dimethyl diiminato)ruthenium(1 ,5-cyclooctadiene), bis(allyl)ruthenium(1 ,5-cyclooctadiene), (η6-C6H6)ruthenium(1 ,3-cyclohexadiene), bis(1 , 1 -dimethyI-2-aminoethoxylato)ruthenium(1 ,5-cyciooctadiene), bis(1 ,1-dimethyl-2-aminoethyIaminato)ruthenium(1 ,5-cyclooctadiene), derivatives thereof and combinations thereof.
17. The method of claim 16, wherein the reducing gas comprises one or more gases selected from the group consisting of hydrogen, ammonia, silane, disilane, dimethylsilane, methylsilane, ethylsilane, chlorosilane, dichlorosilane, hexachlorodisilane, borane, diborane, triborane, tetraborane, pentaborane, triethylborane, and combinations thereof.
18. The method of claim 17, wherein forming the ruthenium layer is performed at a temperature in a range from about 200°C to about 400°C.
19. The method of claim 17, wherein forming the ruthenium layer is performed at a pressure in a range from about 0.1 Torr to about 80 Torr.
20. The method of claim 15, wherein the purge gas is selected from the group consisting of helium, argon, hydrogen, nitrogen, and combinations thereof.
21. The method of claim 19, wherein the ruthenium-containing compound is pulsed into the process chamber in a range from about 0.05 seconds to about 1.5 seconds.
22. The method of claim 21 , wherein the reducing gas is pulsed into the process chamber in a range from about 0.1 seconds to about 2 seconds.
23. The method of claim 20, wherein the purge gas is pulsed into the process chamber in a range from about 0.07 seconds to about 1 second.
24. The method of claim 17, wherein the ruthenium layer has a thickness in a range from about 10 A to about 100 A.
25. The method of claim 17, wherein the ruthenium-containing compound passes through a gas delivery apparatus and is injected into the process chamber.
26. The method of claim 25, wherein the ruthenium-containing compound is delivered normal to the substrate with respect to the substrate.
27. A method for forming a layer comprising ruthenium on a substrate surface within a process chamber, comprising: a) exposing the substrate surface to a ruthenium-containing compound to form a ruthenium-containing layer on the substrate surface; b) purging the process chamber with a purge gas; c) reacting a reducing gas with the ruthenium-containing layer; and d) purging the process chamber with the purge gas.
28. The method of claim 27, wherein the layer is formed by an ALD process cycle including repeating steps a-d.
29. The method of claim 28, wherein the ruthenium-containing compound is selected from the group consisting of: tris(2,2,6,6-tetramethyl-3,5-heptanedionato)ruthenium, bis(2,4-dimethylpentadienyl)ruthenium, dicarbonyl pentadienyl ruthenium, ruthenium acetyl acetonate,
(2,4-dimethylpentadienyl)ruthenium(cyclopentadienyl), bis(2,2,6,6-tetramethyl-3,5-heptanedionato)ruthenium(1 ,5-cyclooctadiene),
(2,4-dimethylpentadienyl)ruthenium(methylcyclopentadienyl),
(1 ,5-cyclooctadiene)ruthenium(cyclopentadienyl),
(1 ,5-cyclooctadiene)ruthenium(methylcyclopentadienyl),
(1 ,5-cyclooctadiene)ruthenium(ethylcyclopentadienyl),
(2,4-dimethylpentadienyl)ruthenium(ethylcyclopentadienyl),
(2,4-dimethylpentadienyl)ruthenium(isopropylcyclopentadienyl), bis(N,N-dimethyl 1 ,3-tetramethyl diiminato)ruthenium(1 ,5-cyclooctadiene), bis(N,N-dimethyl 1 ,3-dimethyl diiminato)ruthenium(1 ,5-cyclooctadiene), bis(allyl)ruthenium(1 ,5-cyclooctadiene), (η6-C6H6)ruthenium(1 ,3-cyclohexadiene), bis(1 ,1-dimethyl-2-aminoethoxylato)ruthenium(1 ,5-cyclooctadiene), bis(1 ,1-dimethyl-2-aminoethylaminato)ruthenium(1 ,5-cyclooctadiene), derivatives thereof and combinations thereof.
30. The method of claim 29, wherein the reducing gas comprises one or more gases selected from the group consisting of hydrogen, ammonia, silane, disilane, dimethylsilane, methylsilane, ethylsilane, chlorosilane, dichlorosilane, hexachlorodisilane, borane, diborane, triborane, tetraborane, pentaborane, triethylborane, and combinations thereof.
31. The method of claim 30, wherein forming the ruthenium layer is performed at a temperature in a range from about 200°C to about 400°C.
32. The method of claim 30, wherein forming the ruthenium layer is performed at a pressure in a range from about 0.1 Torr to about 80 Torr.
33. The method of claim 28, wherein the purge gas is selected from the group consisting of helium, argon, hydrogen, nitrogen, and combinations thereof.
34. The method of claim 32, wherein the ruthenium-containing compound is pulsed into the process chamber in a range from about 0.05 seconds to about 1.5 seconds.
35. The method of claim 34, wherein the reducing gas is pulsed into the process chamber in a range from about 0.1 seconds to about 2 seconds.
36. The method of claim 33, wherein the purge gas is pulsed into the process chamber in a range from about 0.07 seconds to about 1 second.
37. The method of claim 30, wherein repeating steps a-d forms the ruthenium layer with a thickness in a range from about 10 A to about 100 A.
38. The method of claim 30, wherein the ruthenium-containing compound passes through a gas delivery apparatus and is injected into the process chamber.
39. The method of claim 38, wherein the ruthenium-containing compound is delivered normal to the substrate surface with respect to the substrate surface.
40. A method of forming a ruthenium layer on a substrate, comprising: positioning the substrate within a process chamber, wherein the process chamber comprises: a substrate support having the substrate; a chamber lid comprising a passageway at a central portion of the chamber lid and comprising a bottom surface extending from the passageway to a peripheral portion of the chamber lid, the bottom surface shaped and sized to substantially cover the substrate; one or more valves coupled to the passageway; one or more gas sources coupled to each valve; and a reaction zone defined between the chamber lid and the substrate, the reaction zone comprising a small volume; and forming the ruthenium layer on at least a portion of the substrate by sequentially chemisorbing monolayers of a ruthenium-containing compound and a reducing gas.
41. The method of claim 40, wherein the process chamber is purged with a purge gas following chemisorption of each monolayer.
42. The method of claim 41 , wherein the ruthenium-containing compound is selected from the group consisting of: tris(2,2,6,6-tetramethyl-3,5-heptanedionato)ruthenium, bis(2,4-dimethylpentadienyl)ruthenium, dicarbonyl pentadienyl ruthenium, ruthenium acetyl acetonate,
(2,4-dimethylpentadienyl)ruthenium(cyclopentadienyl), bis(2,2,6,6-tetramethyl-3,5-heptanedionato)ruthenium(1 ,5-cyclooctadiene),
(2,4-dimethylpentadienyl)ruthenium(methylcyclopentadienyl),
(1 ,5-cyclooctadiene)ruthenium(cyclopentadienyl),
(1 ,5-cyclooctadiene)ruthenium(methylcyclopentadienyl),
(1 ,5-cyclooctadiene)ruthenium(ethylcyclopentadienyl),
(2,4-dimethylpentadienyl)ruthenium(ethylcyclopentadienyl),
(2,4-dimethylpentadienyl)ruthenium(isopropylcyclopentadienyl), bis(N,N-dimethyl 1 ,3-tetramethyl diiminato)ruthenium(1 ,5-cyclooctadiene), bis(N,N-dimethyl 1 ,3-dimethyl diiminato)ruthenium(1 ,5-cyclooctadiene), bis(allyl)ruthenium(1 ,5-cyclooctadiene), (η6-CeH6)ruthenium(1 ,3-cyclohexadiene), bis(1 , 1 -dimethyl-2-aminoethoxylato)ruthenium(1 ,5-cyclooctadiene), bis(1 , 1 -dimethyl-2-aminoethylaminato)ruthenium(1 ,5-cyclooctadiene), derivatives thereof and combinations thereof.
43. The method of claim 42, wherein the reducing gas comprises one or more gases selected from the group consisting of hydrogen, ammonia, silane, disilane, dimethylsilane, methylsilane, ethylsilane, chlorosilane, dichlorosilane, hexachlorodisilane, borane, diborane, triborane, tetraborane, pentaborane, triethylborane, and combinations thereof.
44. The method of claim 43, wherein forming the ruthenium layer is performed at a temperature in a range from about 200°C to about 400°C.
45. The method of claim 43, wherein forming the ruthenium layer is performed at a pressure in a range from about 0.1 Torr to about 80 Torr.
46. The method of claim 42, wherein the purge gas is selected from the group consisting of helium, argon, hydrogen, nitrogen, and combinations thereof.
47. The method of claim 45, wherein the ruthenium-containing compound is pulsed into the process chamber in a range from about 0.05 seconds to about 1.5 seconds.
48. The method of claim 47, wherein the reducing gas is pulsed into the process chamber in a range from about 0.1 seconds to about 2 seconds.
49. The method of claim 46, wherein the purge gas is pulsed into the process chamber in a range from about 0.07 seconds to about 1 second.
50. The method of claim 43, wherein the ruthenium layer has a thickness in a range from about 10 A to about 100 A.
51. The method of claim 43, wherein the ruthenium-containing compound passes through a gas delivery apparatus and is injected into the process chamber.
52. The method of claim 51 , wherein the ruthenium-containing compound is delivered normal to the substrate with respect to the substrate.
53. A method of forming a ruthenium layer on a substrate for use in integrated circuit fabrication, comprising: positioning the substrate within a process chamber; chemisorbing a ruthenium-containing layer on the substrate by exposing the substrate to bis(2,4-dimethylpentadienyl)ruthenium; purging the process chamber; exposing the ruthenium-containing layer to a reagent; and reacting the reagent with the ruthenium-containing layer to form the ruthenium layer on the substrate.
54. A method of forming a ruthenium layer on a substrate for use in integrated circuit fabrication, comprising: positioning the substrate within a process chamber; exposing the substrate to sequential pulses of a reagent and a process gas comprising bis(2,4-dimethylpentadienyl)ruthenium; purging the process chamber between the sequential pulses of the reagent and the process gas; and reducing the bis(2,4-dimethylpentadienyl)ruthenium to form the ruthenium layer on the substrate.
55. A method of forming a ruthenium layer on a substrate for use in integrated circuit fabrication, comprising: positioning the substrate within a process chamber; exposing the substrate to sequential pulses of a reagent and a process gas comprising a ruthenium-containing compound selected from the group consisting of: tris(2,2,6,6-tetramethyl-3,5-heptanedionato)ruthenium, bis(2,4-dimethylpentadienyl)ruthenium, dicarbonyl pentadienyl ruthenium, ruthenium acetyl acetonate, (2,4-dimethylpentadienyl)ruthenium(cyclopentadienyl), bis(2,2,6,6-tetramethyl-3,5-heptanedionato)ruthenium(1 ,5- cyclooctadiene), (2,4-dimethylpentadienyl)ruthenium(methylcyclopentadienyl), (1 ,5-cyclooctadiene)ruthenium(cyclopentadienyl), (1 ,5-cyclooctadiene)ruthenium(methylcyclopentadienyl), (1 ,5-cyclooctadiene)ruthenium(ethylcyclopentadienyl), (2,4-dimethylpentadienyl)ruthenium(ethylcyclopentadienyl), (2,4-dimethylpentadienyl)ruthenium(isopropylcyclopentadienyl), bis(N,N-dimethyl 1 ,3-tetramethyl diiminato)ruthenium(1 ,5- cyclooctadiene), bis(N,N-dimethyi 1 ,3-dimethyl diiminato)ruthenium(1 ,5- cyclooctadiene), (η6-C6H6)ruthenium(1 ,3-cyclohexadiene), bis(allyl)ruthenium(1 ,5-cyclooctadiene), bis(1 ,1 -dimethyl-2-aminoethoxylato)ruthenium(1 ,5-cyclooctadiene), bis(1 , 1 -dimethyl-2-aminoethylaminato)ruthenium(1 ,5-cyclooctadiene), derivatives thereof and combinations thereof; purging the process chamber between the sequential pulses of the reagent and the process gas; and reducing the ruthenium-containing compound to form the ruthenium layer on the substrate.
PCT/US2004/024805 2003-08-04 2004-08-02 Ruthenium layer formation for copper film deposition WO2005020317A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/634,662 US7264846B2 (en) 2002-06-04 2003-08-04 Ruthenium layer formation for copper film deposition
US10/634,662 2003-08-04

Publications (2)

Publication Number Publication Date
WO2005020317A2 true WO2005020317A2 (en) 2005-03-03
WO2005020317A3 WO2005020317A3 (en) 2005-04-21

Family

ID=34216303

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/024805 WO2005020317A2 (en) 2003-08-04 2004-08-02 Ruthenium layer formation for copper film deposition

Country Status (3)

Country Link
US (2) US7264846B2 (en)
TW (1) TWI376014B (en)
WO (1) WO2005020317A2 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005098938A1 (en) * 2004-03-26 2005-10-20 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
WO2005104191A1 (en) * 2004-04-27 2005-11-03 L'air Liquide, Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Methods for producing ruthenium film and ruthenium oxide film
WO2006101646A1 (en) * 2005-03-16 2006-09-28 Tokyo Electron Limited Method for forming a ruthenium metal layer on a patterned substrate
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
EP1887102A1 (en) * 2006-08-08 2008-02-13 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Precursors having open ligands for ruthenium containing films deposition
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
WO2008142653A2 (en) * 2007-05-21 2008-11-27 L'air Liquide-Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude New cobalt precursors for semiconductor applications
US7737028B2 (en) 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US7833358B2 (en) 2006-04-07 2010-11-16 Applied Materials, Inc. Method of recovering valuable material from exhaust gas stream of a reaction chamber
US7906175B2 (en) 2007-02-21 2011-03-15 Air Liquide Electronics U.S. Lp Methods for forming a ruthenium-based film on a substrate
US8329583B2 (en) 2007-05-21 2012-12-11 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal precursors for semiconductor applications
US8343580B2 (en) 2009-09-14 2013-01-01 Rohm And Haas Electronic Materials Llc Organometallic compounds
US8859047B2 (en) 2010-02-23 2014-10-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Use of ruthenium tetroxide as a precursor and reactant for thin film depositions

Families Citing this family (133)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW460942B (en) * 1999-08-31 2001-10-21 Mitsubishi Material Silicon CVD device, purging method, method for determining maintenance time for a semiconductor making device, moisture content monitoring device, and semiconductor making device with such moisture content monitoring device
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
KR100476556B1 (en) * 2002-04-11 2005-03-18 삼성전기주식회사 Piezoelectric transformer, housing for piezoelectric transformer and manufacture thereof
US7279423B2 (en) * 2002-10-31 2007-10-09 Intel Corporation Forming a copper diffusion barrier
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US7169706B2 (en) * 2003-10-16 2007-01-30 Advanced Micro Devices, Inc. Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006089790A (en) * 2004-09-22 2006-04-06 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for producing film of noble metal, oxide film of noble metal, and silicide film of noble metal
US20060068098A1 (en) * 2004-09-27 2006-03-30 Tokyo Electron Limited Deposition of ruthenium metal layers in a thermal chemical vapor deposition process
US7270848B2 (en) 2004-11-23 2007-09-18 Tokyo Electron Limited Method for increasing deposition rates of metal layers from metal-carbonyl precursors
US7279421B2 (en) 2004-11-23 2007-10-09 Tokyo Electron Limited Method and deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors
US7442267B1 (en) * 2004-11-29 2008-10-28 Novellus Systems, Inc. Anneal of ruthenium seed layer to improve copper plating
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
WO2006078666A2 (en) 2005-01-18 2006-07-27 Asm America, Inc. Reaction system for growing a thin film
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060251801A1 (en) * 2005-03-18 2006-11-09 Weidman Timothy W In-situ silicidation metallization process
US20060246217A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US8197898B2 (en) * 2005-03-29 2012-06-12 Tokyo Electron Limited Method and system for depositing a layer from light-induced vaporization of a solid precursor
US7351285B2 (en) 2005-03-29 2008-04-01 Tokyo Electron Limited Method and system for forming a variable thickness seed layer
US7396766B2 (en) * 2005-03-31 2008-07-08 Tokyo Electron Limited Low-temperature chemical vapor deposition of low-resistivity ruthenium layers
US7485338B2 (en) 2005-03-31 2009-02-03 Tokyo Electron Limited Method for precursor delivery
US7288479B2 (en) * 2005-03-31 2007-10-30 Tokyo Electron Limited Method for forming a barrier/seed layer for copper metallization
US7345184B2 (en) 2005-03-31 2008-03-18 Tokyo Electron Limited Method and system for refurbishing a metal carbonyl precursor
US7632351B2 (en) * 2005-08-08 2009-12-15 E. I. Du Pont De Nemours And Company Atomic layer deposition processes for the formation of ruthenium films, and ruthenium precursors useful in such processes
US20070077750A1 (en) * 2005-09-06 2007-04-05 Paul Ma Atomic layer deposition processes for ruthenium materials
US20070054487A1 (en) * 2005-09-06 2007-03-08 Applied Materials, Inc. Atomic layer deposition processes for ruthenium materials
US20070071888A1 (en) * 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
US7459395B2 (en) 2005-09-28 2008-12-02 Tokyo Electron Limited Method for purifying a metal carbonyl precursor
US7713876B2 (en) * 2005-09-28 2010-05-11 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
US20070128862A1 (en) 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US8222746B2 (en) * 2006-03-03 2012-07-17 Intel Corporation Noble metal barrier layers
US20070207611A1 (en) * 2006-03-03 2007-09-06 Lavoie Adrien R Noble metal precursors for copper barrier and seed layer
US7432195B2 (en) * 2006-03-29 2008-10-07 Tokyo Electron Limited Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
US20070231489A1 (en) * 2006-03-29 2007-10-04 Tokyo Electron Limited Method for introducing a precursor gas to a vapor deposition system
US7892358B2 (en) * 2006-03-29 2011-02-22 Tokyo Electron Limited System for introducing a precursor gas to a vapor deposition system
US7858522B2 (en) * 2006-03-29 2010-12-28 Tokyo Electron Limited Method for reducing carbon monoxide poisoning in a thin film deposition system
US7297719B2 (en) * 2006-03-29 2007-11-20 Tokyo Electron Limited Method and integrated system for purifying and delivering a metal carbonyl precursor
US20070234956A1 (en) * 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
TWI395335B (en) * 2006-06-30 2013-05-01 Applied Materials Inc Nanocrystal formation
US8278216B1 (en) 2006-08-18 2012-10-02 Novellus Systems, Inc. Selective capping of copper
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US7871678B1 (en) 2006-09-12 2011-01-18 Novellus Systems, Inc. Method of increasing the reactivity of a precursor in a cyclic deposition process
US7473634B2 (en) * 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
US20080081464A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
US20080078325A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
WO2008042691A2 (en) * 2006-09-29 2008-04-10 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US7605078B2 (en) * 2006-09-29 2009-10-20 Tokyo Electron Limited Integration of a variable thickness copper seed layer in copper metallization
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
KR100821082B1 (en) * 2006-12-15 2008-04-08 동부일렉트로닉스 주식회사 The fabricating method of semiconductor device
US20080237860A1 (en) * 2007-03-27 2008-10-02 Tokyo Electron Limited Interconnect structures containing a ruthenium barrier film and method of forming
US7704858B2 (en) * 2007-03-29 2010-04-27 Intel Corporation Methods of forming nickel silicide layers with low carbon content
US20080242088A1 (en) * 2007-03-29 2008-10-02 Tokyo Electron Limited Method of forming low resistivity copper film structures
US8039379B1 (en) 2007-07-02 2011-10-18 Novellus Systems, Inc. Nanoparticle cap layer
US7994640B1 (en) 2007-07-02 2011-08-09 Novellus Systems, Inc. Nanoparticle cap layer
US7829454B2 (en) * 2007-09-11 2010-11-09 Tokyo Electron Limited Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7704879B2 (en) * 2007-09-27 2010-04-27 Tokyo Electron Limited Method of forming low-resistivity recessed features in copper metallization
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7884012B2 (en) * 2007-09-28 2011-02-08 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
KR20090067505A (en) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 Method of depositing ruthenium film
US7776740B2 (en) * 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8247030B2 (en) * 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7808106B1 (en) * 2008-05-09 2010-10-05 Eric Eisenbraun Nano-laminate difussion barrier for direct electrochemical deposition copper
US7799681B2 (en) * 2008-07-15 2010-09-21 Tokyo Electron Limited Method for forming a ruthenium metal cap layer
US7776743B2 (en) * 2008-07-30 2010-08-17 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US7871929B2 (en) * 2008-07-30 2011-01-18 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20100081274A1 (en) * 2008-09-29 2010-04-01 Tokyo Electron Limited Method for forming ruthenium metal cap layers
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7977235B2 (en) * 2009-02-02 2011-07-12 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
US8716132B2 (en) * 2009-02-13 2014-05-06 Tokyo Electron Limited Radiation-assisted selective deposition of metal-containing cap layers
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US20120141667A1 (en) * 2010-07-16 2012-06-07 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US9926639B2 (en) * 2010-07-16 2018-03-27 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US8661664B2 (en) * 2010-07-19 2014-03-04 International Business Machines Corporation Techniques for forming narrow copper filled vias having improved conductivity
KR101881181B1 (en) 2010-11-04 2018-08-16 노벨러스 시스템즈, 인코포레이티드 Ion-induced atomic layer deposition of tantalum
US9048296B2 (en) 2011-02-11 2015-06-02 International Business Machines Corporation Method to fabricate copper wiring structures and structures formed thereby
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
KR20140054058A (en) 2011-08-18 2014-05-08 모멘티브 퍼포먼스 머티리얼즈 게엠베하 Irradiating and molding unit
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
CN102881677A (en) * 2012-09-24 2013-01-16 复旦大学 Alloy copper diffusion barrier layer for copper interconnection and manufacturing method thereof
US20140134351A1 (en) * 2012-11-09 2014-05-15 Applied Materials, Inc. Method to deposit cvd ruthenium
US9005704B2 (en) * 2013-03-06 2015-04-14 Applied Materials, Inc. Methods for depositing films comprising cobalt and cobalt nitrides
CN103325769A (en) * 2013-06-15 2013-09-25 复旦大学 Copper interconnection structure and manufacturing method thereof
CN103325729A (en) * 2013-06-16 2013-09-25 复旦大学 Copper interconnection structure manufacturing method
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10014255B2 (en) 2016-03-14 2018-07-03 International Business Machines Corporation Contacts having a geometry to reduce resistance
US10731250B2 (en) 2017-06-06 2020-08-04 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10790188B2 (en) * 2017-10-14 2020-09-29 Applied Materials, Inc. Seamless ruthenium gap fill
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US20190348369A1 (en) * 2018-05-10 2019-11-14 Mehul B. Naik Method and apparatus for protecting metal interconnect from halogen based precursors
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11124874B2 (en) 2018-10-25 2021-09-21 Applied Materials, Inc. Methods for depositing metallic iridium and iridium silicide
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US20210062330A1 (en) * 2019-08-30 2021-03-04 Applied Materials, Inc. Selective cobalt deposition on copper surfaces
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
CN115734826A (en) 2020-07-03 2023-03-03 应用材料公司 Method for refurbishing aircraft components

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020004293A1 (en) * 2000-05-15 2002-01-10 Soininen Pekka J. Method of growing electrical conductors
US20020173054A1 (en) * 2001-05-03 2002-11-21 Hynix Semiconductor Inc. Method for fabricating ruthenium thin layer
WO2003056612A1 (en) * 2001-12-28 2003-07-10 Genitech Co., Ltd. Method of forming copper interconnections for semiconductor integrated circuits on a substrate
US20040105934A1 (en) * 2002-06-04 2004-06-03 Mei Chang Ruthenium layer formation for copper film deposition

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5314727A (en) 1992-07-28 1994-05-24 Minnesota Mining & Mfg. Co./Regents Of The University Of Minnesota Chemical vapor deposition of iron, ruthenium, and osmium
US6323071B1 (en) 1992-12-04 2001-11-27 Semiconductor Energy Laboratory Co., Ltd. Method for forming a semiconductor device
US5933753A (en) * 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
KR100319888B1 (en) 1998-06-16 2002-01-10 윤종용 Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US6482367B1 (en) * 1998-06-18 2002-11-19 Kanken Techno Co., Ltd. Method and apparatus for removing harmful components in an exhaust gas
US6541067B1 (en) 1998-08-27 2003-04-01 Micron Technology, Inc. Solvated ruthenium precursors for direct liquid injection of ruthenium and ruthenium oxide and method of using same
US6074945A (en) * 1998-08-27 2000-06-13 Micron Technology, Inc. Methods for preparing ruthenium metal films
US5962716A (en) 1998-08-27 1999-10-05 Micron Technology, Inc. Methods for preparing ruthenium and osmium compounds
US6063705A (en) 1998-08-27 2000-05-16 Micron Technology, Inc. Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide
US6517616B2 (en) 1998-08-27 2003-02-11 Micron Technology, Inc. Solvated ruthenium precursors for direct liquid injection of ruthenium and ruthenium oxide
US6780758B1 (en) 1998-09-03 2004-08-24 Micron Technology, Inc. Method of establishing electrical contact between a semiconductor substrate and a semiconductor device
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
KR20010017820A (en) 1999-08-14 2001-03-05 윤종용 Semiconductor device and manufacturing method thereof
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100376267B1 (en) 1999-12-22 2003-03-17 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
KR100389913B1 (en) 1999-12-23 2003-07-04 삼성전자주식회사 Forming method of Ru film using chemical vapor deposition with changing process conditions and Ru film formed thereby
US6627995B2 (en) 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
KR100403611B1 (en) 2000-06-07 2003-11-01 삼성전자주식회사 Metal-insulator-metal capacitor and manufacturing method thereof
KR100372644B1 (en) 2000-06-30 2003-02-17 주식회사 하이닉스반도체 Method for manufacturing capacitor in nonvolatile semiconductor memory device
US6440495B1 (en) 2000-08-03 2002-08-27 Applied Materials, Inc. Chemical vapor deposition of ruthenium films for metal electrode applications
US6903005B1 (en) 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
EP1340269B1 (en) 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
US6346477B1 (en) 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
US6536602B2 (en) 2001-01-25 2003-03-25 Walter August Ruescher Food waster separator
JP2002222934A (en) 2001-01-29 2002-08-09 Nec Corp Semiconductor device and manufacturing method thereof
JP2002285333A (en) 2001-03-26 2002-10-03 Hitachi Ltd Method for producing semiconductor device
US6479100B2 (en) 2001-04-05 2002-11-12 Applied Materials, Inc. CVD ruthenium seed for CVD ruthenium deposition
KR100727372B1 (en) * 2001-09-12 2007-06-12 토소가부시키가이샤 Ruthenium complex, manufacturing process thereof and the method for forming thin-film using the complex
KR20030025494A (en) * 2001-09-21 2003-03-29 삼성전자주식회사 Semiconductor device having contact between ruthenium layer and metal layer and method for manufacturing the same
US6423619B1 (en) 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6713373B1 (en) 2002-02-05 2004-03-30 Novellus Systems, Inc. Method for obtaining adhesion for device manufacture
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
US20040108217A1 (en) * 2002-12-05 2004-06-10 Dubin Valery M. Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby
US6737313B1 (en) * 2003-04-16 2004-05-18 Micron Technology, Inc. Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer
US7107998B2 (en) 2003-10-16 2006-09-19 Novellus Systems, Inc. Method for preventing and cleaning ruthenium-containing deposits in a CVD apparatus
JP2005314713A (en) * 2004-04-27 2005-11-10 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for manufacturing ruthenium film or ruthenium oxide film

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020004293A1 (en) * 2000-05-15 2002-01-10 Soininen Pekka J. Method of growing electrical conductors
US20020173054A1 (en) * 2001-05-03 2002-11-21 Hynix Semiconductor Inc. Method for fabricating ruthenium thin layer
WO2003056612A1 (en) * 2001-12-28 2003-07-10 Genitech Co., Ltd. Method of forming copper interconnections for semiconductor integrated circuits on a substrate
US20040105934A1 (en) * 2002-06-04 2004-06-03 Mei Chang Ruthenium layer formation for copper film deposition

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
LASHDAF M ET AL: "Deposition of palladium and ruthenium beta-diketonates on alumina and silica supports in gas and liquid phase" APPLIED CATALYSIS A: GENERAL, ELSEVIER SCIENCE, AMSTERDAM, NL, vol. 241, no. 1-2, 20 February 2003 (2003-02-20), pages 51-63, XP004409336 ISSN: 0926-860X *

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
WO2005098938A1 (en) * 2004-03-26 2005-10-20 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
WO2005104191A1 (en) * 2004-04-27 2005-11-03 L'air Liquide, Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Methods for producing ruthenium film and ruthenium oxide film
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US7273814B2 (en) 2005-03-16 2007-09-25 Tokyo Electron Limited Method for forming a ruthenium metal layer on a patterned substrate
WO2006101646A1 (en) * 2005-03-16 2006-09-28 Tokyo Electron Limited Method for forming a ruthenium metal layer on a patterned substrate
US7833358B2 (en) 2006-04-07 2010-11-16 Applied Materials, Inc. Method of recovering valuable material from exhaust gas stream of a reaction chamber
US7807223B2 (en) 2006-08-08 2010-10-05 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Precursors having open ligands for ruthenium containing films deposition
EP1887102A1 (en) * 2006-08-08 2008-02-13 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Precursors having open ligands for ruthenium containing films deposition
US7906175B2 (en) 2007-02-21 2011-03-15 Air Liquide Electronics U.S. Lp Methods for forming a ruthenium-based film on a substrate
US8329583B2 (en) 2007-05-21 2012-12-11 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal precursors for semiconductor applications
JP2010528183A (en) * 2007-05-21 2010-08-19 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード New cobalt precursors for semiconductor applications
WO2008142653A3 (en) * 2007-05-21 2009-01-15 Air Liquide New cobalt precursors for semiconductor applications
WO2008142653A2 (en) * 2007-05-21 2008-11-27 L'air Liquide-Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude New cobalt precursors for semiconductor applications
US8372473B2 (en) 2007-05-21 2013-02-12 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Cobalt precursors for semiconductor applications
US7737028B2 (en) 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US8343580B2 (en) 2009-09-14 2013-01-01 Rohm And Haas Electronic Materials Llc Organometallic compounds
US8859047B2 (en) 2010-02-23 2014-10-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Use of ruthenium tetroxide as a precursor and reactant for thin film depositions

Also Published As

Publication number Publication date
US7264846B2 (en) 2007-09-04
US20060153973A1 (en) 2006-07-13
WO2005020317A3 (en) 2005-04-21
TW200509303A (en) 2005-03-01
US20040105934A1 (en) 2004-06-03
TWI376014B (en) 2012-11-01

Similar Documents

Publication Publication Date Title
US7264846B2 (en) Ruthenium layer formation for copper film deposition
US7910165B2 (en) Ruthenium layer formation for copper film deposition
US7658970B2 (en) Noble metal layer formation for copper film deposition
US7691442B2 (en) Ruthenium or cobalt as an underlayer for tungsten film deposition
JP5019430B2 (en) A method of forming a metal layer using an intermittent precursor gas flow process.
US7595263B2 (en) Atomic layer deposition of barrier materials
US6720027B2 (en) Cyclical deposition of a variable content titanium silicon nitride layer
US7244683B2 (en) Integration of ALD/CVD barriers with porous low k materials
US20060240187A1 (en) Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7682946B2 (en) Apparatus and process for plasma-enhanced atomic layer deposition
US20070054487A1 (en) Atomic layer deposition processes for ruthenium materials
TWI449803B (en) In-situ chamber treatment and deposition process
US20070077750A1 (en) Atomic layer deposition processes for ruthenium materials
US20040077183A1 (en) Titanium tantalum nitride silicide layer
US20030124262A1 (en) Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application
US20040009336A1 (en) Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US20040009665A1 (en) Deposition of copper films
WO2016032468A1 (en) Improved through silicon via
US20050069641A1 (en) Method for depositing metal layers using sequential flow deposition

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase