WO2005029192A2 - Surface triangulation and profiling through a thin film coating - Google Patents

Surface triangulation and profiling through a thin film coating Download PDF

Info

Publication number
WO2005029192A2
WO2005029192A2 PCT/US2004/030051 US2004030051W WO2005029192A2 WO 2005029192 A2 WO2005029192 A2 WO 2005029192A2 US 2004030051 W US2004030051 W US 2004030051W WO 2005029192 A2 WO2005029192 A2 WO 2005029192A2
Authority
WO
WIPO (PCT)
Prior art keywords
light
ofthe
optical path
spatial
pattern
Prior art date
Application number
PCT/US2004/030051
Other languages
French (fr)
Other versions
WO2005029192A3 (en
Inventor
Xavier Colonna De Lega
Peter J. De Groot
Michael Kuchel
Original Assignee
Zygo Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zygo Corporation filed Critical Zygo Corporation
Priority to JP2006526408A priority Critical patent/JP2007506070A/en
Priority to DE602004019231T priority patent/DE602004019231D1/en
Priority to EP04784044A priority patent/EP1664931B1/en
Publication of WO2005029192A2 publication Critical patent/WO2005029192A2/en
Publication of WO2005029192A3 publication Critical patent/WO2005029192A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0675Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating using interferometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02015Interferometers characterised by the beam path configuration
    • G01B9/02017Interferometers characterised by the beam path configuration with multiple interactions between the target object and light beams, e.g. beam reflections occurring from different locations
    • G01B9/02019Interferometers characterised by the beam path configuration with multiple interactions between the target object and light beams, e.g. beam reflections occurring from different locations contacting different points on same face of object
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02015Interferometers characterised by the beam path configuration
    • G01B9/02022Interferometers characterised by the beam path configuration contacting one object by grazing incidence
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02084Processing in the Fourier or frequency domain when not imaged in the frequency domain
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02087Combining two or more images of the same region
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/0209Low-coherence interferometers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70608Monitoring the unpatterned workpiece, e.g. measuring thickness, reflectivity or effects of immersion liquid on resist
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70775Position control, e.g. interferometers or encoders for determining the stage position
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7049Technique, e.g. interferometric
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/30Grating as beam-splitter
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/70Using polarization in the interferometer

Definitions

  • the invention relates to interferometric analysis of objects, such as to the interferometric analysis of objects including a substrate having one or more at least partially transparent layers.
  • an interferometer combines a measurement wavefront reflected from the surface of interest with a reference wavefront reflected from a reference surface to produce an interferogram. Fringes in the interferogram are indicative of spatial variations between the surface of interest and the reference surface.
  • a scanning interferometer scans the optical path length difference (OPD) between the reference and measurement legs ofthe interferometer over a range comparable to, or larger than, the coherence length ofthe interfering wavefronts, to produce a scanning interferometry signal for each camera pixel used to measure the interferogram.
  • OPD optical path length difference
  • a limited coherence length can be produced, for example, by using a white-light source and/or a spatially extended source.
  • An exemplary technique is scanning white light interferometry (SWLI), which includes use of a broadband source.
  • SWLI scanning white light interferometry
  • a typical scanning white light interferometry (SWLI) signal is a few fringes localized near the zero optical path difference (OPD) position.
  • OPD optical path difference
  • the signal is typically characterized by a sinusoidal carrier modulation (the "fringes”) with bell- shaped fringe-contrast envelope.
  • the conventional idea underlying SWLI metrology is to make use of he localization ofthe fringes to measure surface profiles.
  • Techniques for processing low-coherence interferometry data include two principle trends.
  • the first approach is to locate the peak or center ofthe envelope, assuming that this position corresponds to the zero optical path difference (OPD) of a two-beam interferometer for wliich one beam reflects from the object surface.
  • the second approach is to transfo ⁇ n the signal into the f equency domain and calculate the rate of change of phase with wavelength, assuming that an essentially linear slope is directly proportional to object position. See, for example, U.S. Patent No. 5,398,113 to Peter de Groot. This latter approach is referred to as Frequency Domain Analysis (FDA).
  • FDA Frequency Domain Analysis
  • the invention relates to an optical system comprising a photolithography system configured to illuminate a portion of an object with a light pattern, the photolithography system comprising a reference surface, a low coherence interferometer having a reference optical path and a measurement optical path, light that passes along the reference optical path reflecting at least once from the reference surface and light that passes along the measurement optical path reflecting at least once from the object, and a detector configured to detect a low coherence interference signal comprising light that has passed along the reference optical path and light that has passed along the measurement optical path.
  • the low coherence interference signal is indicative of a spatial relationship between the reference surface and the object.
  • the photolithography system includes an illumination optic having an illumination optic surface.
  • Light ofthe light pattern travels along an optical path that includes the illumination optic surface.
  • the illumination optic surface and the reference surface are at least partially coextensive.
  • the light that passes along the measurement optical path may reflect at least once from the portion ofthe object to be illuminated by the photolithography system.
  • the light ofthe low coherence interference signal that has passed along the reference optical path and the light ofthe low coherence interference signal that has passed along the measurement optical path may have a range of optical path length differences. The range maybe at least 20%, at least 50%, at least 75%, or more of a coherence length ofthe low coherence interferometer.
  • the detector comprises a plurality of detector elements each configured to detect a respective low coherence interference signal.
  • Each low coherence interference signal may comprise light that has passed along a respective different portion of the reference optical path and light that has passed along a respective different portion ofthe measurement optical path.
  • Each low coherence interference signal may be indicative of a spatial relationship between a different point ofthe object and the reference surface.
  • the optical system may be configured to determine the spatial relationship between each ofthe different points of he object and the reference surface based on at least a respective one ofthe low coherence interference signals.
  • the optical system may include a translation stage for manipulating a relative position and orientation between the object and the photolithography system.
  • the processor may be further configured to modify a relative position of the obj ect and the photolithography system based on the spatial relationships.
  • Another aspect ofthe invention relates to a method comprising positioning an object generally along an optical path of a photolithography system, reflecting a first portion of light from a light source from a reference surface ofthe photolithography system, reflecting a second portion of light from the light source from the object, and forming a low coherence interference signal comprising light reflected from the reference surface and light reflected from the object, the low coherence interference signal indicative of a spatial relationship between the object and the imaging system.
  • the method includes reflecting a respective first portion of light from the light source from each of a plurality of locations ofthe reference surface ofthe photolithography system, reflecting a respective second portion of light from the light source from each of a plurality of locations ofthe object, e.g., at a grazing angle of incidence, and forming plurality of low coherence interference signals.
  • Each low coherence interference signal comprises light reflected from a respective one ofthe different locations ofthe reference surface and light reflected from a respective one ofthe different locations ofthe object.
  • Each low coherence interference signal may be indicative of a spatial relationship between at least one ofthe different locations ofthe object and the photolithography system.
  • first and second portions of light can be reflected after positioning the object.
  • the method can further comprise changing a relative position ofthe object and the reference surface based on the spatial relationship.
  • the reference surface can be a surface of an optic ofthe photolithography system.
  • the photolithography system can be used to project an ultraviolet light image onto the object. Light that forms the ultraviolet image passes along an optical path including the surface of he optic.
  • the object can include a substrate and an overlying thin film having an outer surface and the forming can comprise combining light reflected from the reference surface and light reflected from the outer surface ofthe thin film.
  • the spatial relationship may be between the outer surface of he thin film and the photolithography system.
  • the light ofthe second portion of light from the light maybe substantially attenuated, e.g., absorbed, by the thin film.
  • the thin film can include photoresist, with the light ofthe second portion of light from the light source having an energy insufficient to expose the photoresist.
  • the object can include a substrate and a thin film having an outer surface. The with the formign and the forming comprises combining light reflected from the reference surface and light reflected from the substrate, and the spatial relationship is between the substrate and the imaging system. The object can be illuminated at Brewster's angle, which may enhance spatial information related to the substrate as opposed to the outer surface. Another aspect ofthe invention relates to a system for determining a spatial property of an object.
  • the system can include a light source, an optical system configured to, illuminate the object at a grazing angle of incidence with a first portion of light from the light source, at least some ofthe first portion of light reflecting from the object, combine, over a range of optical path differences, light reflected from the object and a second portion of light derived from the same light source, and a detector configured to detect the light combined over the range of optical path differences as a plurality of interference fringes each having a peak amplitude, the range of optical path differences being sufficient to modulate the peak amplitudes of he interference fringes.
  • the range of optical path differences may be at least as great as a coherence length of the optical system.
  • Another aspect ofthe invention relates to a method including illuminating an object a grazing angle of incidence with light from a light source. At least some ofthe illuminating light reflects from the object. Light reflected from the object and a second portion of light from the light source are combining over a range of optical path differences. The light combined over a range of optical path differences as a plurality of interference fringes each having a peak amplitude. The range of optical path differences is sufficient to modulate the peak amplitudes ofthe interference fringes.
  • Another aspect ofthe invention relates to a including projecting a first pattern of light on an object comprising a substrate and an overlying thin film, imaging light ofthe first projected pattern that is diffusely scattered by the substrate, and determining a spatial property ofthe object based on the diffusely scattered light.
  • the overlying thin film may be photoresist and determining a spatial property may include determining a position of a portion ofthe object relative to a photolithography system.
  • the portion of the object may be an interface between the substrate and the overlying photoresist.
  • the first pattern of light may include comprises first and second portions of light from the same light source and the first pattern of light may be an interference pattern.
  • the interference pattern can include a plurality of fringes modulated by an envelope and the determining a spatial property ofthe object comprises determining a position of a portion of the envelope relative to the fringes.
  • the object can be repositioned based on the position ofthe portion ofthe envelope.
  • Some embodiments include projecting a reference pattern of light on a reference surface, detecting light ofthe reference pattern projected onto the reference surface, and the determining a spatial property ofthe object comprises dete ⁇ nining a relative spatial property ofthe object and the reference surface based on the detected light ofthe reference pattern.
  • the object can be moved based on the relative spatial property.
  • Some embodiments further include modifying a property ofthe light source to project a second interference pattern comprising a plurality of fringes having a substantially similar amplitude onto the object, imaging light ofthe second interference pattern that is diffusely scattered by the substrate, and determining a second spatial property of the object based on the diffusely scattered light from the second interference pattern.
  • the second spatial property may be a topography of a portion ofthe object.
  • the second spatial property may be indicative of an absolute position ofthe object.
  • At least the modifying can be performed before projecting the first pattern of light.
  • the methods and systems can change a relative position between a photolithography system and the object based on the spatial property.
  • Methods and systems described herein can be used to determine a spatial property of a portion of a liquid crystal display.
  • Methods and systems described herein can be used in scribing objects, e.g., by a laser.
  • a spatial property of a scribed line formed on an object by the scribing is determined. Further scribing ofthe object or another object is performed.
  • a parameter e.g., a laser power, object scan rate, or laser focus size is selected based on the spatial property ofthe scribed line.
  • Methods and systems described herein can be used to determine a spatial property of a structure formed during solder bump manufacturing.
  • the spatial property can be a spatial property of a portion ofthe object non-wettable by solder.
  • Another aspect ofthe invention relates to an apparatus including a photolithography system configured to illuminate a portion of an object with an first light pattern.
  • the photolithography system includes a reference surface.
  • the object includes a substrate and an overlying thin film.
  • the apparatus also includes a positioner to change a relative position between the photolithography system and the object, a light projector configured to project a second light pattern on the overlying thin film ofthe object, an optical system to image light ofthe second light pattern that is diffusely scattered by the substrate, and a processor configured to determine a spatial property ofthe object based on the diffusely scattered light and change the relative position between the photolithography system and the object.
  • Another aspect ofthe invention relates to an optical system including a detector comprising a plurality of elements arranged in at least two dimensions, and an optical system configured to illuminate a plurality of spaced-apart points of an object with light from a light source, form a respective interference pattern conesponding to each illuminated point, each interference pattern extending along a first dimension ofthe detector, the interference patterns for different points being spaced apart along a second dimension ofthe detector.
  • Another aspect ofthe invention relates to an optical system including a light source, an array of detector elements extending in at least one dimension, an interferometer configured to illuminate, with a first portion of light from the light source, a point of an object, and focus light reflected from the illuminated point as an elongated focus extending along the first dimension ofthe array, and focus a second portion of light from the source as a second focus extending along the first dimension ofthe array, the second focus and the elongated focus being at least partially coincident along the first dimension ofthe array, an optical path difference (OPD) between the light reflected from the illuminated point and the second portion of light from the source varying along the first dimension ofthe array by an amount greater than a coherence length ofthe light reflected from the illuminated point.
  • OPD optical path difference
  • Another aspect ofthe invention relates to an interferometry method, comprising illuminating a plurality of spaced-apart points of an object with a first portion of light from a light source, at least some ofthe first portion of light reflecting from each ofthe spaced-apart points, and forming a plurality of interference patterns on a detector having a plurality of detector elements arranged in at least two dimensions, wherein each interference pattern comprises light reflected from a respective spaced-apart point ofthe object, each interference pattern extends along a first dimension ofthe detector, and different interference patterns are spaced apart along a second dimension ofthe detector.
  • Fig. la is a cross-section of a measurement object including a substrate and an overlying layer, e.g., a thin film.
  • Fig. lb is a top view ofthe object of Fig. l .
  • Fig. 2 is a low coherence interference signal including first and second interference patterns with amplitudes that vary according to a respective envelope function.
  • Fig. 3 is a grazing incidence interferometry system.
  • Fig. 4 is a grazing incidence interferometry system.
  • Fig. 5 a illustrates shift between light passing along the measurement optical path and reference optical path ofthe interferometry system of Fig.
  • Fig. 5b illustrates displacement vectors showing rotation between light passing along the measurement optical path and reference optical path resulting from the measurement object tilt referred to in Fig. 5a.
  • Fig. 6 is a grazing incidence interferometry system.
  • Figs. 7a and 7b show detector images for a triangulation mode of a grazing incidence interferometry system.
  • Fig. 8a is a grazing incidence interferometry system.
  • Fig. 8b is a magnified view of a portion ofthe system of Fig. 8a.
  • Fig. 9a is a low coherence interferometry system.
  • Fig. 9b is the interferometry system of Fig.
  • Fig. 10 illustrates correspondence between illuminated points of a measurement object and respective, elongated images detected for the illuminated points using the system of Fig. 9a.
  • Figs. 1 la and 1 lb are exemplary components of a reference leg ofthe interferometry system of Fig. 9a.
  • Figs. 12a and 12b are exemplary structures having copper interconnects.
  • Figs. 12a and 12b showing the structure before and after planarization, respectively.
  • Figs. 13a and 13b are exemplary structures formed during solder bump processing.
  • Fig. 13a showing the structure before addition of solder.
  • Fig. 13b showing the structure after addition of solder but prior to flowing the solder.
  • Fig. 14 is a portion of an exemplary liquid crystal display.
  • Embodiments of methods and systems described herein relate to the use of interferometry to measure a spatial property, e.g., a surface topography, a position, an orientation, and/or other characteristics, of objects having more than one interface, such as thin film structures, discrete structures of dissimilar materials, or discrete structures that may be underresolved by the optical resolution of an interference microscope.
  • a spatial property e.g., a surface topography, a position, an orientation, and/or other characteristics
  • interfaces include interfaces formed at the outer surface of an object or interfaces formed internally between dissimilar materials.
  • Spatial properties of obj ects having more than one interface are relevant to a variety of fields including flat panel displays, microelectronics, photolithography, thin films characterization, and dissimilar materials analysis.
  • each interface can produce an interference pattern. If the interfaces are closely spaced, the interference patterns may overlap, distorting one another. The distortion can lead to erroneous determinations of spatial properties ofthe object.
  • the quality of photolithography is related to how precisely the wafer and photolithography system can be positioned with respect to one another.
  • the outer surface of the photoresist and the interface between the photoresist and the wafer generate resulting interference patterns, which makes determining the exact position and orientation ofthe photoresist outer surface or the wafer difficult. Consequently, the quality of photolithography may suffer.
  • Systems and methods described herein can determine a spatial property of a selected interface of an obj ect even in the presence of other adjacent or closely spaced interfaces.
  • Some embodiments include illuminating the object with light at a grazing angle of incidence ⁇ and detecting a low coherence interference signal including light reflected from the object.
  • Angle ⁇ taken with respect to a dimension extending normal to the object, may be at least 60°, at least 70°, at least 75°, e.g., at least 80°.
  • Grazing incidence illumination can increase the reflectivity ofthe outer surface relative to other interfaces ofthe illuminated object. The reflectivity increase enliances interference patterns from the outer interface as opposed to internal interfaces ofthe object. Hence, interference signals obtained with grazing incidence can be more sensitive than normal angle of incidence illumination to spatial properties ofthe outer surfaces of objects.
  • Embodiments for enhancing interference patterns from the outer surface of a layer also (or alternatively) include selecting wavelengths of illuminating light that are attenuated, e.g., absorbed, by the layer. Because the layer absorbs the light, interference patterns from underlying interfaces are relatively attenuated. Also disclosed are embodiments in which grazing incidence techniques are combined with low-coherence interferometry. As described further below, low-coherence interferometry data can be processed to provide spatial information about one or more interfaces of a complex sample, such as a thin film structure. Such low coherence interferometry data can be obtained by using a spectrally broadband light and/or a spatially extended source.
  • some embodiments for enhancing the interference pattern from the outer surface of an object include illuminating the object at grazing incidence with broadband light, e.g., light having a full width half maximum (FWHM) of at least 6 nm, at least 12.25 nm, at least 25 nm, at least 50 nm, at least 100 nm, or at least 150 nm.
  • broadband light e.g., light having a full width half maximum (FWHM) of at least 6 nm, at least 12.25 nm, at least 25 nm, at least 50 nm, at least 100 nm, or at least 150 nm.
  • FWHM full width half maximum
  • interference patterns obtained from the outer surface of an object may be enhanced relative to interference patterns obtained from internal interfaces of an object. Enhancing the interference patterns resulting from an outer surface of a layer can benefit a number of applications, e.g., the photolithography positioning mentioned above.
  • grazing incidence methods and systems described herein can determine the thickness of a photoresist layer overlying a substrate with a relative accuracy of about 1% or better for thin films, e.g., films about 400 nm thick or thicker.
  • a thickness of a 450 nm thick layer of XF1 157 nm UV photoresist overlying a silicon film having a thickness of 450 nm was determined with an error of ⁇ 4.9 nm using light having a nominal wavelength of 600 nm, a FWHM of 200 nm, an angle of incidence ⁇ of 80° and a ⁇ of+/-3°.
  • Embodiments of grazing incidence illumination are not limited to enhancing interference patterns resulting from an outer surface of an object. Interference patterns from internal interfaces can also be enhanced. For example, an object can be illuminated at Brewster's angle with light polarized in a plane defined by the angle of incidence, hi this case, Brewster's angle is determined by the optical properties, e.g., refractive index, ofthe overlying layer, and by the wavelengths ofthe illuminating light. At Brewster's angle, interference patters from underlying interfaces are enhanced relative to interference patterns from the outer surface. Grazing angle of incidence illumination provides other advantages compared to normal angle of incidence illumination.
  • an illumination beam having a grazing angle of incidence can be used to illuminate an object in close proximity with other objects or systems.
  • grazing incidence interferometers described herein can introduce an illumination beam between an the imaging optics of a photolithography system and an object with photoresist to be imaged.
  • benefits of grazing incidence with respect to thin film analysis can be realized in situ for complex, crowded working environments. All o the interferometers and optical systems discussed herein may be used to determine a spatial property of an object, e.g., a subset ofthe object to be imaged, with respect to a photolithography apparatus and, with feedback, modify the relative position and/or orientation ofthe object and photolithography apparatus.
  • each interferometer and optical system may include a reference surface that is itself a surface of a photolithography apparatus, e.g., a surface of an optic ofthe photolithography apparatus.
  • grazing incidence illumination allows enhancing interference patterns from a selected interface (whether an outer surface or an internal interface) to increase the accuracy of spatial properties determined based on the interference patterns.
  • Methods and systems for determining one or more spatial properties of objects are described below. We begin with a general description of an object having more than one interface and describe interference patterns that might bfe obtained from such an object using, e.g., a low coherence grazing incidence interferometer. Then, embodiments of optical systems are discussed. Referring to Fig.
  • an object 30 includes a substrate 32 and a layer 34.
  • Object 30 includes a plurality of interfaces as occur between materials of different refractive index.
  • an object-surroundings interface 38 is defined where an outer surface 39 of layer 34 contacts the environment surrounding object 30, e.g., liquid, air, other gas, or vacuum.
  • a substrate-layer interface 36 is defined between a surface 35 of substrate 32 and a bottom surface 37 of layer 34.
  • Surface 35 ofthe substrate may include a plurality of patterned features 29. Some of these features have the same height as adjacent portions ofthe substrate but a different refractive index. Other features may extend upward or downward relative to adjacent portions ofthe substrate. Accordingly, interface 36 (and, of course, interface 38) may exhibit a complex, varying topography.
  • an interference signal 90 is exemplary of a low coherence interference signal that may be obtained from objects having closely spaced interfaces using systems and methods described herein.
  • Interference signal 90 includes first and second overlapping interference patterns 92,96 respectively resulting from outer surface 39 and interface 36.
  • the X-axis of interference signal 90 corresponds to an optical path difference (OPD) between light reflected from the object and reference light.
  • OPD optical path difference
  • An interferometer can vary the OPD by scanning, e.g., by moving an optic and/or the object to vary the optical path traveled by the light reflecting from the object or the reference light.
  • An interferometer may, alternatively or in combination, vary the OPD by detecting a spatial distribution of light reflected from the object and the reference light with the OPD varying as a function of spatial position.
  • ' ⁇ Interference patterns 92,96 are modulated as a function of OPD by respective coherence envelopes 97,95, which have similar shapes and widths. In the absence ofthe low coherence envelope, the fringes 98,99 typically have similar amplitudes.
  • the width of an interference pattern envelope corresponds generally to the coherence length ofthe detected light.
  • the coherence length decreases as: (a) the spectral bandwidth ofthe source increases and/or (b) the range of angles of incidence increases.
  • one or the other of these coherence phenomena may dominate or they may both contribute substantially to the overall coherence length.
  • grazing angle of incidence interferometers described herein illuminate objects with broadband light having a range ⁇ of angles of incidence.
  • the light source may be extended.
  • the range ⁇ can be ⁇ 20° or less, ⁇ 10° or less, ⁇ 5° or less, or ⁇ 3° or less.
  • the illumination numerical aperture is 0.2 or less, 0.1 or less, 0.07 or less, 0.06 or less, e.g., 0.05 or less.
  • both spatial and temporal coherence phenomena contribute to the coherence length, which may desirably attenuate interference patterns resulting from internal interfaces.
  • the coherence length of an interferometer can be determined by obtaining an interference signal from an object having a single reflecting surface, e.g., not a thin film structure.
  • the coherence length corresponds to the full width half maximum ofthe envelope modulating the observed interference pattern. As can be seen from Fig.
  • interference signal 90 results from detecting light having a range of optical path differences that varies by more than the width ofthe coherence envelopes and, therefore, by more than the coherence length ofthe detected light.
  • a low coherence interference signal includes interference fringes that are amplitude modulated by the coherence envelope ofthe detected light.
  • the interference pattern may be obtained over an OPD for which the amplitude of the observed interference fringes differs by at least 20%, at least 30% or at least 50% relative to one another.
  • fringe 98 has a peak amplitude that is about 50% less than a peak amplitude of a fringe 99.
  • the low coherence interference signal is detected over a range of OPD' s that is comparable to or greater than the coherence length.
  • the range of OPD' s may be at least 2 times greater or at least 3 times greater than the coherence length.
  • the coherence length ofthe detected light is on the order ofthe height variations of features ofthe object, e.g., on the order of a couple of microns or less.
  • Interference signals obtained using methods and systems described herein can be processed in a number of ways to detem ine a spatial property ofthe object.
  • processing the interference signal includes transformation ofthe signal to an inverse dimension. Such transformation can include Fourier transformation of a signal.
  • the transformation may be performed during Frequency Domain Analysis (FDA) or an extension thereof.
  • FDA Frequency Domain Analysis
  • Exemplary FDA methods are described in U.S. Patent No. 5,398,113 entitled “METHOD AND APPARATUS FOR SURFACE TOPOGRAPHY MEASUREMENTS BY SPATIAL-FREQUENCY ANALYSIS OF LNTERFEROGRAMS" and U.S. Patent
  • a spatial property, e.g., a position and/or height, of surface 39 can be determined based upon portion 94, which constitutes only a subset ofthe entire interference signal 90.
  • portion 94 which constitutes only a subset ofthe entire interference signal 90.
  • an optical system 100 illuminates uses difff ctive optics to illuminate an object at grazing angle of incidence.
  • System 100 includes an interferometry system 101 and an illumination system 150, which systems are configured for use with measurement object 30 and other objects, such as objects lacking any overlying layer or objects including a plurality of such layers.
  • system 101 can determine a characteristic, e.g., a spatial or optical property, of measurement object 30 using grazing incidence illumination and is illustrative ofthe benefits of such a configuration.
  • the spatial or optical property may be related to surface 39 of layer 34 or a subset 40,- thereof.
  • the spatial or optical property may be related to interface 36, e.g., to surface 35 of substrate 32.
  • System 101 typically employs phase-shifting techniques to provide information related to object 30.
  • Illumination system 150 is typically configured to illuminate surface object 30 with light 167, such as to image a selected pattern, e.g., a ckcuit pattern onto surface 38.
  • Interferometry system 101 is a grazing incidence system arranged for analyzing a measurement object.
  • a light source 111 which may be a lamp, a light-emitting diode, a multimode laser diode or a gas laser generates a beam 102. After passing through expansion optics 103, the beam 102 produces an initial illumination wavefront 104.
  • a diffractive-optic beam splitter 105 which maybe a linear phase grating with zero-order suppression, separates initial illumination wavefront 104 into a reference wavefront 115 and a measurement wavefront 110.
  • Reference wavefront 115 reflects once from reference surface 130 prior to traveling to a diffractive-optic beam combiner 170, which may be similar to diffractive-optic beam splitter 105.
  • reference surface 130 may also be configured as a portion of illumination system 150, such as a portion of projection optics that direct patterned light onto object 30, e.g., a subset 40i ofthe object.
  • reference surface 130 may be optically flat, e.g., to about 1/15 ofthe average wavelength of wavefront 115 or have a known surface shape.
  • the projection objects may have an arcuate surface with known curvature.
  • a measurement ray 181 illustrates the interaction of light of measurement wavefront 110 with object 30.
  • a portion of measurement ray 181 impinges and reflects from layer surface 38 at a grazing angle ⁇ , and then travels as a reflected ray 181'.
  • a reflected measurement wavefront 110' including ray 181' propagates to diffractive-optic beam combiner 170 where it recombines with the reference wavefront 115 to form an output wavefront 120.
  • a second portion of measurement ray 181 may penetrate layer 34 and reflect from interface 36 at the surface of substrate 32 as a reflected ray 181".
  • the light reflected from interface 36 generates a second reflected wavefront (not shown) that propagates generally along the same path as wavefront 181' but spaced apart by an amount ⁇ s along a dimension perpendicular to the propagation path.
  • the second wavefront would also combine and interfere with reference wavefront 115.
  • system 100 is configured to obtain a single measurement wavefront reflected from surface 39 at the interface 38 between layer 34 and the environment around object 30 and to attenuate or exclude measurement wavefronts reflected from interface 36 between substrate 32 and layer 34.
  • Measurement wavefronts reflected from substrate-surface layer interface 36 can be attenuated or excluded by selecting a source 111 wavelength that is substantially absorbed by the surface layer 34. Because the source light is absorbed by the surface layer, there is essentially no reflected wavefront arising from the substrate-surface layer interface 38. Instead, substantially the only reflected wavefront arises from the surface layer-surroundings interface 38, e.g., an interface between the surface layer and air, other gas, or vacuum surrounding object 30. Hence, ray 181" and any associated wavefronts would be attenuated or excluded.
  • the surface layer 34 is photoresist configured to be exposed by ultraviolet light emitted by illumination system 150.
  • a component ofthe photoresist layer e.g., a solvent or an optically active component ofthe resist itself, absorbs lower energy radiation, such as visible, near-infrared, or infrared radiation, without exposing the optically active component.
  • lower energy radiation such as visible, near-infrared, or infrared radiation
  • the resist absorbs a portion ofthe measurement light and system 101 generates a measurement wavefront including measurement ray 181 ' and resulting substantially only from the interface 38 between the surface layer 34 and the surroundings.
  • a wavefront carries information about spatial properties ofthe interface 38, e.g., a topography and or position of surface 39.
  • system 100 is configured to obtain a single measurement wavefront reflected from surface 36 at the interface between substrate 32 and layer 34 and to attenuate or exclude measurement wavefronts reflected from interface 38 at the surface of layer 34 and the surroundings.
  • Measurement wavefronts reflected from interface 38 can be attenuated or excluded by selecting the polarization P and angle of incidence ⁇ ofthe incident light, e.g., measurement ray 181, to minimize the intensity of measurement ray 181' relative to measurement ray 181 " reflected from surface 36.
  • the polarization P can be configured to be parallel to the plane of incidence at surface 38.
  • the angle of incidence ⁇ can be configured to be equal to Brewster's angle, the angle at which reflection from surface 39 is minimized for the aforementioned polarization.
  • the reflection from surface 39 is reduced or eliminated and system 101 generates a measurement wavefront including measurement ray 181" and resulting substantially only from the interface 36 between the substrate 32 and surface layer 34.
  • Such a wavefront ca ⁇ ies information about spatial properties ofthe interface 36, e.g., a topography and or position of surface 36 of the substrate. The spatial properties may be given with reference to a portion of illumination system 150, such as surface 130 of projection optics thereof.
  • Output wavefront 120 travels through a lens 171 and an imaging lens 173 to a viewing screen, e.g., a two-dimensional detector such as a CCD 175 where an image 190 of sample surface 160 forms.
  • Detector 175 is inclined obliquely to achieve proper focus across the detected image. The tilt also reduces foreshortening in image 190 caused by imaging sample surface 160 at grazing angle ⁇ .
  • diffractive-optic is intended to include diffraction gratings, binary optics, surface-relief diffractive lenses, holographic optical elements, and computer- generated holograms. These devices can function in transmission or in reflection as beam splitters and combiners. They may suppress unwanted diffraction orders, e.g., the zero'th order transmission, so as to reduce scattered light and improve efficiency. Methods of fabricating difff active-optical devices include diamond machining, coherent beam interference (holography), injection molding, and advanced micro-lithographic techniques. Diffractive-optics are recognized by those skilled in the art as distinct from refractive and reflective optical elements such as lenses, prisms, mirrors and plate beam splitters.
  • measurement wavefront 110' and reference wavefront 115 come together to form output wavefront 120
  • overlapping portions of measurement wavefront 110' and reference wavefront 115 originate from substantially the same portion of initial illumination wavefront 104.
  • a reference ray 185 and measurement ray 181 recombimng at a point 189 on diffractive-optic beam combiner 170 originate substantially from the same point 109 on diffractive-optic beam splitter 105.
  • aberrations or spatial incoherence in initial illumination wavefront 104 generate, at most, weak effects on the interference fringes observed in image 190.
  • small defects in beam expansion optics 103 or distortions resulting from air turbulence produce, at most, weak effects on the analysis of image 190.
  • the equivalent wavelength A D.
  • different source wavelengths ⁇ produce the same equivalent wavelength A, which is equal to the grating pitch.
  • the optical path traversed by measurement wavefront 110 is substantially equal to the optical path traversed by reference wavefront 115. This facilitates the use of multimode laser diodes or other devices having a wavelength range sufficient to reduce the effects of spurious fringe patterns and speckle noise characteristic of single-mode lasers or other high-coherence spectrally narrow-band or monochromatic devices, e.g., wavelength range ⁇ 0.1 nm, when used as sources for interferometry.
  • One method for obtaining interferometry data from system 101 is a phase-shifting method for which a number of interference states are measured by the detector 175.
  • Phase- shifted data can be obtained by introducing an OPD between the measurement and reference beams.
  • Such an OPD can be generated by in-plane translation of one ofthe diffraction gratings, such as in a direction perpendicular to the grating lines.
  • Another method for introducing an OPD includes introducing a slight difference in grating period between the two gratings.
  • illumination system 150 an illumination source 152 emits light 160, which is received by a beam conditioner 154.
  • the beam conditioner directs a conditioned beam 162 to illumination optics 156, which transmit light 164 through a mask or reticle 158 onto interface 38 of object 30 via projection optics 157.
  • Illumination source 152 is typically an ultraviolet source, e.g., a laser emitting an ultraviolet laser beam.
  • source 152 emits light 160 having a wavelength including 248 nanometers (nm), 193 nm, or 157 nm.
  • Source 152 maybe a pulsed laser or a continuous wave laser.
  • Beam conditioner 154 conditions light 160 received from illumination source 152, such as to produce a collimated beam 162 having a defined cross-section.
  • Exemplary beam conditions can include, e.g., refractive and/or reflective optics, such as described in U.S. Pat. No. 5,631,721, titled Hybrid Illumination System for Use in Photolithography, by S. Stanton, et al., incorporated herein by reference in its entirety.
  • Illumination optics 156 receive conditioned light 162 and output light 164 as an illumination field, which irradiates mask or reticle 158.
  • Optics 156 can be configured to provide an illumination field having a uniform irradiance and to preserve the angular distribution and characteristics ofthe illumination field at the reticle as the size ofthe illumination field is varied.
  • Mask or reticle 159 typically includes a pattern, e.g., a circuit pattern, to be projected onto an object.
  • the optics 156 can focus the pattern onto a subset 40j ofthe object.
  • the interferometry system 101 provides a large working distance to the interface 38 and reference surface 130. Working distance refers to the distance between a sample surface and the closest optical component.
  • System 100 may include a positioning stage 119 configured to position object 30 with respect to another object, e.g., with respect to surface 130 of projection optics of illumination system 150.
  • Stage 119 which is under computer control with feedback from data acquired by interferometry system 101, provides translational and rotational positioning to bring object 30 into a desired spatial relationship with the other object.
  • system 100 can maneuver object 30 so that surface 39 or a subset 40j thereof is brought into a desired spatial relationship with respect to surface 130, e.g., parallel thereto and/or at a certain distance therefrom.
  • System 100 can maneuver object 30 into a similar spatial relationship between surface 36 and surface 130.
  • a low coherence interferometry system 250 is another example of a grazing incidence interferometer than can be used to determine a spatial property of an object having a plurality of interfaces.
  • the system includes a low coherence interferometer with optics can be compactly arranged so that the system occupies a small footprint and can be used in conjunction with other systems used to manipulate an object.
  • System 250 determines a spatial property of an object 252 by illuminating the object with light at a grazing angle of incidence.
  • System 254 includes a light source 254, which can be a broadband and/or extended source emitting a light beam 255.
  • Exemplary sources include a white light LED having a central wavelength of 550 nm and a full width half maximum (FWHM) of 120 nm and a xenon arc lamp having a 200 nm FWHM.
  • a ratio of a FWHM of light beam 255 to a central wavelength of beam 255 is at least 5%, at least 10%, at least 15%, e.g., at least 20%.
  • Beam 255 is received by an optic, e.g., a lens 256, which prepares a collimated beam 257.
  • the focal length of lens 265 is (in the embodiment shown) 150 mm, which corresponds to an illumination numerical aperture of 0.004.
  • a beam splitter 258 splits beam 257 into a measurement beam 259 and a reference beam 261.
  • Measurement beam 259 reflects from a mirror 262 and impinges upon object 252 at grazing angle ⁇ of incidence.
  • object 252 may be a wafer bearing photoresist to be illuminated by a photolithography system.
  • System 250 has a 25 x 80 mm field of view ofthe surface of object 252.
  • Light 263 reflected by object 252 is received by a beam splitter 264.
  • the optical path of the measurement beam can include a compensator 260, which can be used to modify the distance through dense media, e.g., glass, traveled by the measurement beam and/or to modify a lateral displacement of beam 259.
  • beams 259 and 263 occupy a plane parallel to the X-Y plane.
  • Beam splitter 264 combines light 263 and reference beam 261 to form a beam 265, which is detected by a detector, e.g., an imaging detector 276 having a plurality of detector elements, e.g., pixels.
  • Imaging optics e.g., telecentiic optics 272,274 image the beam 265 at the detector 275 so that different pixels detect light corresponding to different points of object 252.
  • Reference beam 261 can follow a path including mirrors 276,270 and a compensator 268, which can serve the same function as compensator 260.
  • System 250 can be configured so that an optical path traveled by beam 259 between beam splitters 258 and 264 is identical to an optical path traveled by reference beam 261 between beam splitters 258 and 264.
  • an optical path difference (OPD) between beams 259,261 may be negligible or zero. Interference is observed when the OPD between the beams is less than a coherence length ofthe detected light.
  • system 250 can be configured to detect beams 259,261 over a range of optical path differences. For example, system 250 can be configured so that one of beams 259,261 travels an initially longer optical path. System 250 then moves at least one component to scan the OPD, e.g., until the OPD reaches zero or until the other beam travels a longer optical path.
  • system 252 detects beams 259,261 over an OPD range that varies by an amount at least as great as a coherence length of light detected by detector 276.
  • Different detector elements of detector 276 record an interference signal as a function of OPD.
  • the interference signals may resemble interference signal 90 by including one or more interference patterns modulated by an envelope.
  • the interference signals can be analyzed as discussed with respect to system 50 to determine a spatial property of an object, e.g., a spatial property of an outer surface of a layer of photoresist.
  • source 254 is an extended source, it is possible to misalign system 250 so that the OPD varies as a function of position across the reference and measurement beams 259,261, wliich form images ofthe source at apupil plane 276' of optics 272,274.
  • the optical axes ofthe reference and measurement legs may be parallel but not coextensive, e.g., parallel but laterally displaced. This results in lateral shear ofthe illumination bundle. Shear in the XY plane of about 50 ⁇ m can reduce fringe contrast by
  • system 250 can be aligned to correct lateral shear to within 10 ⁇ m or less, 5 ⁇ m or less, e.g., 2 ⁇ m or less.
  • Another source of contrast loss can result from rotation of beam 263 about its axis of propagation without a corresponding rotation of beam 261 about its axis of propagation.
  • the rotation of beam 263 can result from rotation ofthe object about an axis U extending through the object, hi fact, alignment seeking to reduce lateral shear can introduce such rotation.
  • the axis U occupies the plane defined by beams 259 and 263.
  • An axis V is normal to the plane defined by beams 259 and 263.
  • Tilting object 252 about the U or V axis laterally displaces the image ofthe source at pupil 276'. Tilting the about about the U axis, however, also rotates beam 263 about its axis.
  • a simulation of relative displacement of (a) an image of source 254 formed by light passing along the reference leg o and an image ofthe source 254 formed by light passing along the measurement leg 0 of a grazing incidence interferometer 250 is discussed.
  • the source images are as formed at pupil 276' of telecentric optics 272,274 by beams 261,263.
  • the simulation is for light incident upon an object at an 80° angle of incidence with a numerical aperture of 0.004.
  • the images for the reference and measurement legs show distortion resulting from an object rotation of 0.5° about the U-axis.
  • the measurement leg 0 image is laterally shifted by 0.44 mm with respect to the reference leg image o.
  • the rigid body motion ofthe measurement leg image is subtracted to obtain displacement vectors that demonstrate that beam 263 is, in addition to the lateral displacement, rotated by 0.96° relative to beam 261.
  • the maximum horizontal and vertical distortion ofthe image reflected from the object is about ⁇ 21 ⁇ m, which is about ⁇ 5% ofthe 0.44 mm shift.
  • the rotation introduces a ⁇ 5% variation in the pitch and orientation of interference fringes that would be detected.
  • System 250 can be aligned for minimal lateral and rotational shear by, e.g., confining the optical axes of each segment ofthe reference and measurement legs ofthe system within the same plane. Object defocus does not affect fringe contrast (to a first approximation) for low numerical aperture interferometers.
  • a low coherence interferometry system 300 determines a spatial property of an object by illuminating the object at a grazing angle of incidence.
  • System 200 includes a low coherence interferometer having a measurement leg and a reference leg.
  • System 200 includes a source 202, which may be broadband and/or extended.
  • Source 202 generates a beam 204.
  • An optic 206 receives beam 204 and outputs a collimated beam 207, which impinges upon a pair of spaced apart optical flats 208,210.
  • Beam 207 is refracted by flat 208 and passes through a gap 233 and impinges upon flat 210 at site 235'.
  • Gap 233 has a different refractive index than either of flats 208,210.
  • gap 233 includes a gas, e.g., air, a liquid, e.g., water, or a vacuum.
  • the flats 208,210 can be formed of any suitable optical medium, such as glass or fused silica.
  • a portion 220 of beam 207 is reflected from site 235' by flat 210.
  • a portion 222 of beam 207 is refracted at site 235' by flat 210 and impinges upon object 30 at a grazing angle of incidence ⁇ . Beam 222 is reflected by object 30 and impinges upon and is refracted by flat 210.
  • object 30 may be a wafer bearing photoresist to be illuminated by a photolithography system.
  • Beam 222 reflected from object 30 and beam 220 combine at site 235" of flat 210 to form combined beam 224 within gap 233.
  • the combined beam impinges upon and is refracted by flat 208.
  • Imaging optics 226,228 image the combined beams on a detector 230, which can be a two-dimensional imaging detector including a plurality of pixels. Each pixel of detector 230 detects light reflected from a different point of object 30. Hence, different pixels can detect interference signals sensitive to spatial properties of different portions of object 30.
  • System 200 includes a reference leg and a measurement leg. Beam 220 travels a reference optical path between sites 235' and 235".
  • system 200 includes piezoelectric spacers 237 configured to vary a thickness of gap 233. As spacers 237 vary gap 233, detector 230 detects images including a plurality of points of object 30. The OPD can also be scanned by moving object 30 with respect to flats 208,210. In some embodiments, an OPD is achieved by introducing a wedge into at least one of flats 208,210, e.g., flat 208. The upper and lower surfaces of a flat with such a wedge are not parallel.
  • the wedge tilts the wavefront ofthe reference beam 220 with respect to the measurement beam 222. Accordingly, the combined beam 224 forms a spatial interference pattern at detector 230.
  • the spatial interference pattern can be processed to determine a height of surface 38 over a line of points parallel to the X-axis.
  • system 200 can provide spatial information about object 30. Additionally, object 30 can be positioned absolutely with respect to system 200 by monitoring a lateral location of peak interference contrast ofthe spatial interference pattern.
  • the combined beam 224 may be detected over an OPD range that is at least as great as a coherence length ofthe detected light.
  • system 200 can detect interference patterns modulated by an envelope indicative ofthe coherence length ofthe light as discussed with respect to interference signal 90. Interference patterns obtained with system 200 can be analyzed as discussed elsewhere herein to determine a spatial property of an object.
  • Optical flats 208,210 have optical and mechanical properties that allow system 200 to image a desired field of view, e.g., 100 mm x 100 mm, of object 30.
  • the flats are formed of fused silica and are at least 2 mm, at least 5 mm, e.g., at least 10 mm thick. Surfaces of optical flats 208,210 can be modified to determine the amount of light that is reflected or refracted by each flat.
  • portions 230 of optical flats 208,210 can be modified with an anti-reflection coating configured to increase the relative amount of refracted light compared to the amount of reflected light.
  • the coating can be a broadband coating matched to the emission spectrum of source 202.
  • Light incidence upon portions 235 of optical flat 235 is both reflected and refracted. Accordingly, portions 235 may be uncoated or can be coated to obtain a desired ratio of reflection and refraction.
  • a portion 231 of optical flat 208 can have a highly reflective, e.g., metal or dielectric, coating.
  • Grazing incidence interferometers discussed herein can be used to determine an absolute position of an object with respect to the interferometer. The reference and measurement legs ofthe interferometers operate as triangulation sensors.
  • an aperture e.g., a slit
  • Interferometer 101 Fig. 3
  • the aperture acts as the field stop of a microscope.
  • first and second images 200a,201a ofthe aperture are imaged onto the detector ofthe interferometer.
  • Image 200a is an object image corresponding to light reflected from the object.
  • Image 201a is a reference image corresponding to light passing along the reference path ofthe interferometer.
  • the aperture is small enough that the images 200a,201a occupy only a fraction ofthe field of view ofthe detector.
  • the object is positioned with respect to the interferometer by a staging mechanism, e.g., a translation stage 119 of interferometer 101, that can be accurately displaced along its normal.
  • a staging mechanism e.g., a translation stage 119 of interferometer 101
  • the object is typically not positioned so that the OPD ofthe measurement and reference legs is zero. Accordingly, the images 200a,201a are spaced apart from one another as seen in Fig. 6a.
  • the relative positions ofthe object and the interferometer are modified, as by displacing the object along its normal.
  • the object displacement is known precisely and accurately based movement ofthe translation stage.
  • a second pair of images 200b (a second object image),201b (a second reference image) are then obtained.
  • the detector signal including images 200a,201a (Fig. 6a) and the detector signal including images 200b,201b (Fig. 6b) are processed to co ⁇ elate the position of object images 200a,200b in the two detector signals.
  • relative positions of object images 200a,200b can be determined in terms of spatial units at the detector (for example a number of pixels).
  • the object image displacement as a function of object displacement can be determined from the relative positions of images 200a,200b. Once the relationship between the displacement ofthe object image and object displacement is determined, the displacement between object image 200b and reference image 201b is determined.
  • the object can then be translated to the position of zero OPD (at which the object image and reference image overlap) based on the displacement between images 200b,201b and the relationship between object image displacement and object displacement.
  • the object can be positioned with respect to the interferometer with an absolute position ofthe object surface known to better than a fraction of a fringe of an interference pattern.
  • the grazing incidence interferometer can be switched back to interferometry mode by removing the slit.
  • the position ofthe object surface can then be refined using interference signals as described herein.
  • the triangulation based on the object and reference images is insensitive to object tilt since the object surface is imaged onto the detector. Referring to Figs.
  • an optical system 400 is configured to image light diffusely scattered from an interface between a substrate and a layer overlying the substrate. By imaging the diffusely scattered light, the optical system is sensitive to spatial properties of the interface rather than the outer surface ofthe object.
  • System 400 can be used to position a substrate having a thin film relative to a photolithography system.
  • Optical system 400 includes a structured light projector 408, which projects a pattern 402 of light onto the object, and a telecentric imaging system 406, which images the pattern 402 onto a detector 420. Imaging system 406 detects light from the object arising at an angle that avoids light 407 specularly reflected from interface 36 or 38.
  • system 406 may detect light 409 diffusely scattered generally along an optical axis aligned at an angle ⁇ with respect to light 407.
  • the diffuse scattering may arise from patterned features 29 of substrate 32. Such features can be small with respect to a wavelength of light, e.g., 1 ⁇ m or less, or 0.5 microns or less.
  • the top surface 39 of layer 34 tends to be smooth. Accordingly, the diffuse scattering can be localized with respect to the substrate-layer interface 36.
  • the object top surface 39 and each individual interface, e.g., interface 36, inside the object reflect the illumination light in a specular direction at the angle of incidence, away from the entrance pupil ofthe imaging system.
  • structured light projector 404 is a Michelson interferometer including a source 408, which emits a light beam 411, which is collimated by an optic 410, e.g., a lens positioned at its focal length from source 408.
  • source 408 is broadband and/or spatially extended, such as a light emitting diode.
  • Source 408 may be narrowband or, as discussed below, switchable between narrow and broadband.
  • a beam splitter 415 splits light beam 411 into first and second portions, which respectively reflect from minors 412 and 414.
  • Beam splitter 415 recombines at least some light of he first and second portions to fonn a combined beam 417.
  • a telecentiic optical relay 416 relays the combined beam 417 to the object at a grazing angle of incidence ⁇ .
  • the first and second portions ofthe combined beam 417 impinge on the object with an OPD that differs across the object (Fig. 1 lb).
  • the first and second portions ofthe combined beam interfere at the wafer surface 36 forming interference fringes, i.e., pattern 402. Interference fringes can be observed even if source 408 is broadband and/or spatially extended because the first and second portions ofthe combined beam 417 can have identical path lengths between beam splitter 415 and the object.
  • pattern 402 and the detected image avoid coherent artifacts (speckles).
  • structured light projector 404 fo ⁇ ns a pattern based on interference fringes
  • the projector may form a pattern by projecting an image without relying upon interference to form features ofthe pattern.
  • the fringes formed by patterned light projector extend parallel to the x-axis and are spaced apart along the y-axis ofthe object. Diffusely scattered light 409 from the fringes is imaged on detector 420, which may be a two-dimensional detector such as a CCD.
  • detector 420 which may be a two-dimensional detector such as a CCD.
  • the detector is typically configured to detect a plurality of parallel fringes, e.g., the fringes 402 are projected across the entire field of view ofthe detector. Height variations (such as steps or surface discontinuities ofthe substrate) will shift the phase ofthe fringes.
  • a spacing ⁇ s between the fringes is a function ofthe wavelength ofthe light of beam 417 and the angle of incidence ⁇ . Even if the object and the beam 417 are fixed relative to one another, the angle of incidence ⁇ will vary as a function ofthe surface topography. In particular, the fringe spacing ⁇ s will decrease or increase for portions of interface 36 that are respectively tilted toward or away from beam 417 so as to decrease or increase the angle ⁇ .
  • spatial properties ofthe object can be determined from even a single image ofthe pattern 402.
  • one or more detector images of pattern 402 are obtained.
  • a spatial property ofthe detected portions ofthe surface e.g., the height of one or more points ofthe substrate, is determined based upon the fringes 402, e.g., based upon spacing ⁇ s.
  • the detected image can be analyzed using, e.g., FDA via fransformation ofthe image or directly from the image itself.
  • the spacing ⁇ s can be determined directly from the detected fringes and related to the topography ofthe object.
  • phase shifting approach multiple detector exposures ofthe pattern are recorded while the pattern 402 is shifted across the object.
  • the pattern can be shifted by, e.g., modifying an optical path length of one ofthe first and second portions ofthe combined beam.
  • one ofthe minors 412,414 can be translated or tilted using a piezoelectric transducer.
  • the phase of light detected from each of many points ofthe substrate is indicative ofthe topography ofthe substrate.
  • Exemplary approaches suitable for determining a spatial property of interface 36 based on the projected pattern 402 are described in "Interferogram analysis: digital fringe pattern measurement techniques," D. Robinson, G. Reid Eds., IOP Publishing, 1993, the contents of which are incorporated herein by reference.
  • device 350 is operated with a source that is broadband and/or spatially extended.
  • a temporal and spatial coherence envelope modulates the amplitude ofthe fringes of pattern 402.
  • the envelope modulates the fringes typically even within the field of view of detector 406.
  • detector 420 images a plurality of parallel fringes, each extending parallel to the x-axis and each having a different intensity than the adjacent fringes.
  • the maximum ofthe envelope corresponds with the location of zero OPD between the first and second portions ofthe combined beam.
  • the position ofthe maximum ofthe envelope relative to the detected fringes is indicative ofthe absolute position and orientation ofthe object. Accordingly, the maximum o the envelope can be used to absolutely localize the object with respect to system 350.
  • system 400 includes a reference surface 427, which can be located adjacent object 30 and at approximately the same height as surface 35 or surface 39.
  • the object 30 and reference surface 427 may be fixed with a translation stage 119.
  • the reference surface may be configured to diffusely scatter light.
  • the reference surface may be an etched or frosted glass surface, i use with the reference surface, system 350 is typically operated with a broad band source to provide a modulating envelope.
  • the reference surface 427 is positioned so that the pattern is projected onto the reference surface and imaged by the detector.
  • the stage 119 (and thus reference surface 427) are positioned so that the envelope maximum has a predetermined relationship with the observed fringe pattern, e.g., centrally located.
  • system 400 can be switched between a spectrally broadband and nanowband source.
  • the broadband source operates as discussed above creating an amplitude modulated interference pattern that varies even within the field of view ofthe detector.
  • the object is positioned based upon the location ofthe maximum ofthe interference pattern so that the position of zero OPD coincides with a predetermined portion ofthe object.
  • the object can be absolutely positioned with respect to system 400.
  • the system 400 switches to a nanowband source having a coherence length sufficiently long that the fringes are essentially unmodulated within the field of view ofthe detector.
  • the properties ofthe fringes are analyzed to determine a spatial property ofthe object.
  • the system can use a spectral filter to switch the beam 411 between nanowband and broadband light.
  • an interferometry system 50 can obtain low coherence interference signals from object 30 and other objects, such as objects lacking any overlying layer or objects including a plurality of such layers.
  • System 50 illuminates a plurality of points of an object with an illumination stripe extending in a first dimension and detects an interference pattern resulting from each point.
  • the interference patterns extend along a first dimension of a detector and are spaced apart along a second dimension ofthe detector.
  • System 50 can, therefore, obtain interference patterns without moving any element that modifies an optical path length difference.
  • system 50 rapidly obtain interference signals from a plurality of object points for an object being subjected to other processing steps.
  • a light source e.g., a light source 52 emits a beam 54 of light elongated in a direction parallel to the X-axis.
  • Source 52 may be a broadband source having a full width at half maximum (FWHM) bandwidth that is at least at least 5%, at least 10%, at least 15%, or at least 20% of a nominal wavelength ofthe source.
  • source 52 has a nominal wavelength of between about 300 nm and about 1000 nm, e.g., between about 500 and about 600 nm.
  • Beam 54 is received by a cylindrical lens LI, which has a major longitudinal axis parallel both to the X-axis and beam 54 and a minor longitudinal axis parallel to the Y-axis.
  • Lens LI collimates beam 54 in the Y-Z plane and transmits a collimated beam 55 to a beam splitter Bl, which reflects a first portion ofthe collimated beam 55 to a cylindrical lens L2 and transmits a second portion ofthe collimated beam 55 to a cylindrical lens L3.
  • Beam splitter Bl is typically non-polarizing.
  • Lens L2 has a major longitudinal axis parallel to the X-axis and a minor longitudinal axis parallel to the Z-axis.
  • Lens L3 has a major longitudinal axis parallel to the X-axis and a minor longitudinal axis parallel to the Y-axis.
  • lens L2 focuses the reflected portion ofthe collimated beam received from beam splitter Bl onto the object 30 to an elongated object focus, e.g., an illumination line 67, parallel to the X-axis.
  • a ratio of a dimension of illumination line 67 taken along a major axis thereof (e.g., parallel to the X-axis) to a dimension perpendicular to the major axis thereof (e.g., parallel to the Y-axis) can be at least 5, at least 10, at least 25, at least 50, e.g., at least 100.
  • Such dimensions of illumination line 67 may be determined &om locations corcesponding to 25% ofthe maximum illumination intensity.
  • Illumination line 67 may have a generally uniform or slowly varying intensity along its length and irradiates a plurality of points of object 30. The points may be considered as being spaced apart along an illumination dimension ofthe object 30, e.g., the X-axis.
  • illumination dimension ofthe object 30, e.g., the X-axis e.g., the X-axis.
  • lens L2 collimates the reflected light in the Y-Z plane and transmits the collimated light to beam splitter Bl, which transmits a portion ofthe light to a beam splitter B2, which is typically non-polarizing.
  • Light that passes from beam splitter Bl, reflects from object 30, and passes to beam splitter B2 travels a measurement optical path.
  • the second portion ofthe collimated beam transmitted by Bl is received by lens L3, which transmits a converging beam to a 90° roof minor 62.
  • the converging beam comes to a focus fl, which is typically elongated and extends parallel to the X-axis.
  • the roof minor 62 transmits a diverging beam to a cylindrical lens L4, which has a major longitudinal axis aligned with the X-axis and a minor longitudinal axis aligned with the Y-axis.
  • Lens L4 transmits a beam collimated in the Y-Z plane to beam splitter B2.
  • Lenses L2, L3, and L4 may have identical optical properties, e.g., focal lengths.
  • Lenses and other optics of system 50 may be achromatic.
  • Light passing from beam splitter Bl and to beam splitter B2 via roof minor 62 travels a reference optical path.
  • the measurement optical path defines a measurement leg of an interferometer 89 of system 50.
  • the reference optical path defines a reference leg ofthe interferometer 89 of system 50.
  • Beam splitter B2 combines light from the measurement and reference legs of interferometer 89 and transmits a combined beam 59.
  • the contributions to combined beam 59 from both the measurement and reference legs are collimated in the Y-Z plane.
  • Beam 59 may be diverging in the X-Z plane.
  • a cylindrical lens L5 receives the combined beam 59 and focuses the light on a detector, which is typically a two dimensional detector 71 including a plurality of pixels 73, ananged in rows extending along the Y-axis and columns extending along the X-axis. Different columns have a different Y-coordinate. Different rows have a different X-coordinate.
  • Detector 71 may be a charge coupled device (CCD) or other imaging detector.
  • Lens L5 has a major longitudinal axis aligned with the Y-axis and a minor longitudinal axis aligned with the Z-axis.
  • lens L5 has substantially more focusing power in the X-Z plane than in the Y-Z plane, e.g., lens L5 may have essentially no focusing power in the Y-Z plane.
  • interferometer 50 images light from the measurement and reference legs onto detector 71. Light reflected from each illuminated point of object 30 is imaged as an elongated focus, e.g., a detection line.
  • light from an illuminated point 81a is imaged to a co ⁇ esponding elongated focus 81b along a pixel row 83 of detector 71 and light from an illuminated point 85a is imaged to a co ⁇ esponding elongated focus 85b along a pixel row 87 of detector 71.
  • Light from focus fl ofthe reference leg is imaged as a reference focus 99, which overlaps elongated foci conesponding to the illuminated points of object 0.
  • a ratio of a dimension of each elongated focus 81b,85b taken along a major axis thereof (e.g., parallel to the Y-axis) to a dimension perpendicular to the major axis thereof (e.g., parallel to the X-axis) can be at least 5, at least 10, at least 25, at least 50, e.g., at least 100. As seen in Fig.
  • elongated foci conesponding to points spaced apart along the illumination dimension of object 30 are spaced apart along a first detection dimension of detector 71, e.g., elongated foci 81b,85b conesponding to spaced apart points 81a,85a are imaged into different rows of pixels 73 and are spaced apart along the X-axis of detector 71.
  • the major axis of each elongated focus extends along a second detection dimension generally perpendicular to the first detection dimension, e.g., elongated foci 81b,85b extend across a plurality of columns of pixels 73 and extend along the Y-axis of detector 71.
  • interferometer 50 can image light reflected from a plurality of points extending along an illumination dimension of an object as a two-dimensional image. Illuminated points spaced apart along the illumination dimension are imaged as elongated foci spaced apart along a first dimension ofthe image and extending along the second dimension ofthe image.
  • the reference and measurement legs of interferometer 89 may be configured to have a nominally equal path length when lenses L1-L5 are centered with respect to the optical paths of interferometer 89. In the equal path length state, an OPD between elongated foci 81b,85b and reference focus 99 may be constant along the rows of detector 71 (Fig. 4).
  • An optical medium having a refractive index greater than 1, e.g., a silica or glass plate 97, can be positioned along the reference optical path between beam splitters B1,B2 and the lenses L3, L4 in order to match the optical path in such media ofthe two interferometer legs.
  • an OPD between the measurement and reference legs of interferometer 98 is introduced by translating lens L4 by an amount ⁇ d perpendicular to the reference optical path, e.g., by translating lens L4 parallel to the Z-axis (Fig. 4).
  • the translation of an optic, e.g., lens L4, perpendicular to the reference optical path causes the beam passing along the reference leg to deviate, e.g., tilt, by an angle ⁇ with respect to the beam passing along the reference leg in the absence of such a translation, e.g., the reference beam may deviate with respect to a true reference optical path.
  • the deviation ofthe angle ⁇ may be contained in a single plane, e.g., the X-Y plane.
  • the angular deviation ofthe reference beam creates an OPD variation between each elongated focus 81b,85b and reference focus 99.
  • the OPD between the measurement and reference optical paths varies along the major axis of each elongated focus on the detector, e.g., along foci 81b,85b.
  • an OPD between the measurement and reference optical paths for light imaged to a column 91 of detector 71 is different from an OPD for light imaged to a column 93 (Fig. 4).
  • the OPD between the measurement and reference optical paths varies as a function ofthe Y-coordinate of detector 71.
  • the OPD is a linear function ofthe Y coordinate, e.g., the OPD is a linear function ofthe column of detector 71 at which the light is imaged.
  • each row of pixels records an interference signal, which includes one or more interference patterns.
  • each interference pattern of an interference signal results from a particular interface ofthe object.
  • one detector dimension conesponds to a line of object positions, while the other dimension provides multiple phase-shifted samples ofthe interference pattern generated by each object point.
  • interference signal 90 is exemplary ofthe intensity variation of light detected by pixels along rows of detector 71 , e.g., along detector row 83 for point 81 a of object 30.
  • the OPD difference of light detected along a row can vary by an amount at least as large as the coherence length ofthe detected light.
  • the range of optical path differences of light detected along the pixels of one or more rows can be larger than the full width ofthe envelope modulating each of one or more detected interference patterns. Because ofthe low-coherence nature ofthe interference signal, a position and/or height of point 81a can be established without ambiguity with respect to the system 50.
  • interferometer 89 does not have moving parts or does not move any parts during the acquisition of interference patterns from each of a plurality of spaced apart object points and can be manufactured as a rigid or fixed assembly.
  • the range of illumination angles at the object 30 can be made arbitrarily small by increasing the focal length ofthe optics of interferometer 89.
  • the OPD between the measurement and reference beams may be obtained by decentering an optic, e.g., lens L4 with respect to an optical path ofthe reference beam.
  • obtaining an optical path difference includes adjusting roof minor 62 to introduce an angular deviation to the reference beam.
  • obtaining an OPD includes rotating or tilting beam splitter B2 about the X-axis. Refening to Figs.
  • system 50 is, in some embodiments, configured to reduce or eliminate a wavefront inversion ofthe reference beam with respect to the measurement beam.
  • Wavefront inversion can be reduced or eliminated by having the same number of reflections in each leg ofthe interferometer or an even multiple thereof.
  • wavefront inversion introduced by roof prism 62 is evidenced by the inversion of beams 77a,77b upon reflection.
  • a three minor reflector 62b does not introduce wavefront inversion as evidenced by the paths of beams 77c,77d. Reducing wavefront inversion can ' enhance accuracy of interferometer 89. Refening back to Fig.
  • source 52 may include a slit 57 having a major dimension extending generally parallel to the X-axis.
  • the projected width ofthe slit 57 in the Y- direction defines the lateral resolution of system 50 in the Y-direction while the numerical aperture of lens L5 in the X-dimension defines lateral resolution in the X-direction.
  • Slit 57 can be defined by, for example, mechanical aperture or a linear fiber anay.
  • source 52 includes a spatial filter to limit the divergence ofthe illumination beam in the XZ plane.
  • An exemplary spatial filter includes a slit 61 having a major dimension extending generally parallel to the Z-axis and telecentiic lenses 80a,80b, which image a Lambertian emitter 70 onto slit 57.
  • illumination line 67 typically has a uniform intensity, in some embodiments, the line may be non-uniform.
  • light source 52 may include the ends of a plurality of optical fibers ananged in an elongated anay, e.g., slit.
  • Lenses L1,L2 may image light emitted from the elongated array to illuminate object 30 with light having a non-uniform intensity.
  • Any ofthe computer analysis methods described above can be implemented in hardware or software, or a combination of both.
  • the methods can be implemented in computer programs using standard programming techniques following the method and figures described herein.
  • Program code is applied to input data to perform the functions described herein and generate output information.
  • the output information is apphed to one or more output devices such as a display monitor.
  • Each program may be implemented in a high level procedural or object oriented programming language to communicate with a computer system.
  • the programs can be implemented in assembly or machine language, if desired, hi any case, the language can be a compiled or interpreted language.
  • the program can run on dedicated integrated circuits preprogrammed for that purpose.
  • Each such computer program is preferably stored on a storage medium or device (e.g., ROM or magnetic diskette) readable by a general or special purpose programmable computer, for configuring and operating the computer when the storage media or device is read by the computer to perform the procedures described herein.
  • the computer program can also reside in cache or main memory during program execution.
  • the analysis method can also be implemented as a computer-readable storage medium, configured with a computer program, where the storage medium so configured causes a computer to operate in a specific and predefined manner to perform the functions described herein.
  • variable parameter of interest may be the film thickness, the refractive index ofthe film, the refractive index ofthe substrate, or some combination thereof.
  • the surface may comprise a combination of thin film and a solid metal, and a fit ofthe angle-dependent surface properties would be made to a library of theoretical predictions which would include both surface structure types to automatically identify the film or the solid metal by a match to the conesponding interference intensity signal. Exemplary applications including objects and devices exhibit such features are discussed next.
  • Photolithography hi many microelectronics applications, photolithography is used to pattern a layer of photoresist overlying a substrate, e.g., a silicon wafer.
  • substrate 32 may conespond to a wafer and layer 34 with a thin layer of photoresist.
  • the interface 38 conesponds with the upper surface ofthe photoresist and interface 36 conesponds with the wafer-photoresist interface.
  • Surface 35 ofthe substrate may have a plurality of patterned features of varying topography and/or composition that underlie the photoresist. Accordingly, the object may exhibit a plurality of interfaces underlying the photoresist outer surface.
  • a photolithography apparatus images a pattern onto the object.
  • the pattern may conespond with elements of an electronic circuit (or the negative ofthe circuit).
  • portions ofthe photoresist are removed revealing the substrate underlying the removed photoresist.
  • the revealed substrate can be etched, covered with deposited material, or otherwise modified. Remaining photoresist protects other portions ofthe substrate from such modification.
  • more than one device is sometimes prepared from a single wafer. The devices may be the same or different. Each device requires that a subset ofthe wafer be imaged with a pattern. In some cases, the pattern is sequentially imaged onto different subsets. Sequential imaging can be performed for several reasons.
  • object 30 is shown with a number N subsets 40;, each smaller than a total area 41 the object to be imaged.
  • spatial property variations e.g., height and slope variations ofthe wafer or photoresist, are typically smaller than when taken over the total area 41.
  • each subset ofthe object may have a different spatial relationship with the photolithography imager.
  • the quality of focus is related to the spatial relationship, e.g., the distance between the object and the photolithography imager. Bringing different subsets ofthe object into proper focus may require relative repositioning ofthe object and imager.
  • proper subset focus cannot be achieved solely by dete ⁇ nining the position and orientation ofthe object with respect to a portion ofthe object that is remote to the imaged subset, e.g., a side 43 ofthe object.
  • Proper focus can be achieved by determining a spatial property of an object within a subset ofthe object to be imaged (or otherwise processed). Once the position ofthe subset has been determined, the object (and/or a portion ofthe photolithography imager) can be moved, e.g., translated, rotated, and/or tilted, to modify the position ofthe subset with respect to a reference, e.g., a portion ofthe photolithography imager.
  • the determination and movement can be repeated for each subset to be imaged.
  • the determination ofthe spatial property ofthe subset can include detennining a position and/or height of one or more points of an outer surface of a thin layer ofthe object, the one or more points lying within the subset ofthe object to be imaged.
  • the position and orientation ofthe outer surface 39 of subset 40 2 (Fig. la) can be determined based upon the positions of points 42]-42 3 within the subset.
  • the determination ofthe spatial property ofthe subset to be imaged can include using an interferometer to illuminate the subset with light and detecting an interference signal including light reflected from the illuminated subset, i some embodiments, a plurality of subsets are simultaneously imaged with light to obtain a plurality of interference signals.
  • Each interference signal is indicative of one or more spatial properties of a subset.
  • the interference signals can be used to prepare an image indicative ofthe topography ofthe object over a plurality ofthe subsets.
  • the wafer is positioned based upon the topography of the individual subsets as determined from the plurality of interference signals.
  • each subset can be positioned for optimum focus with respect to the photolithography apparatus.
  • Detecting an interference signal from each subset of an object to be imaged can include detecting light reflected from the subset and reference light over an OPD range that is at least as large as a coherence length ofthe detected light.
  • the light may be detected at least over its coherence length
  • the interferometer is configured so that the light reflected from the illuminated subset is dominated by light reflected from either an outer interface (such as outer surface 39) or an inner interface (such as interface 36).
  • a spatial property of an object is determined based on only a portion ofthe interference signal.
  • a spatial property ofthe object can be determined based upon a portion of one ofthe interference patterns that is dominated by contributions from a single interface ofthe object.
  • Copper Interconnect Structures And Chemical Mechanical Polishing It is becoming common among chip makers to use the so-called 'dual damascene copper' process to fabricate electrical interconnects between different parts of a chip. This is an example of a process which may be effectively characterized using a suitable surface topography system.
  • the dual damascene process maybe considered to have six parts: (1) an interlayer dielectric (ILD) deposition, in which a layer of dielectric material (such as a polymer, or glass) is deposited onto the surface of a wafer (containing a plurality of individual chips); (2) chemical mechanical polishing (CMP), in which the dielectric layer is polished so as to create a smooth surface, suitable for precision optical lithography, (3) a combination of lithographic patterning and reactive ion etching steps, in which a complex network is created comprising narrow trenches running parallel to the ' wafer surface and small vias running from the bottom ofthe trenches to a lower (previously defined) electrically conducting layer, (4) a combination of metal deposition steps which result in the deposition of copper trenches and vias, (5) a dielectric deposition step in which a dielectric is applied over the copper trenches and vias, and (6) a final CMP step in which the excess copper is removed, leaving a network of copper filled trenches (and possibly
  • a device 500 is exemplary ofthe a film structure resulting from the deposition of a dielectric 504 over copper features 502 deposited on a substrate 501.
  • the dielectric 504 has a non-uniform outer surface 506 exhibiting height variations therealong.
  • Interference signals obtained from device 500 can include interference patterns resulting from surface 506, an interface 508 between copper features 502 and dielectric 504, and an interface 510 between substrate 501 and dielectric 504.
  • the device 500 may include a plurality of other features that also generate interference patterns.
  • a device 500' illustrates the state of device 500 after the final CMP step.
  • the upper surface 506 has been planarized to a surface 506', and interface 508 may now be exposed to the su ⁇ oundings. Interface 510 at the substrate surface remains intact.
  • Device performance and uniformity depends critically on monitoring the planarization of surface 504. It is important to appreciate that the polishing rate, and therefore the remaining copper (and dielectric) thickness after polishing, depends strongly and in a complex manner on the polishing conditions (such as the pad pressure and polishing slrary composition), as well as on the local detailed a ⁇ angement (i.e., orientation, proximity and shape) of copper and sunounding dielectric regions. Hence, portions of surface 506 over copper elements 502 may etch at different rates than other portions of surface 506.
  • the dielectric and copper elements may exhibit different etch rates.
  • This 'position dependent polishing rate' is known to give rise to variable surface topography on many lateral length scales. For example, it may mean that chips located closer to the edge of a wafer on aggregate are polished more rapidly than those located close to the center, creating copper regions which are thinner than desired near the edges, and thicker than desired at the center. This is an example of a 'wafer scale' process nonuniformity - i.e., one occurring on length scale comparable to the wafer diameter. It is also known that regions which have a high density of copper trenches polish at a higher rate than nearby regions with low copper line densities.
  • CMP induced wafer and chip scale process nonuniformiti.es are inherently difficult to predict, and they are subject to change over time as conditions within the CMP processing system evolve.
  • one or more spatial properties e.g., the topography of surface 506 and/or the thickness of dielectric 504, are monitored by obtaining low coherence interference signals from the structure before and/or during CMP.
  • the polishing conditions can be changed to achieve the desired planar surface 506' .
  • the pad pressure, pad pressure distribution, polishing agent characteristics, solvent composition and flow, and other conditions can be determined based on the spatial properties.
  • the spatial property can again be determined and the polishing conditions changed as needed.
  • the topography and/or thickness is also indicative ofthe end-point at which, e.g., surface 504' is achieved.
  • the low coherence interference signals can be used to avoid depressions caused by over polishing different regions ofthe object.
  • the low coherence interference methods and systems are advantageous in this respect because spatial properties ofthe device, e.g., the relative heights ofthe surface ofthe dielectric (a) over copper elements 502 and (b) over substrate surface 510 but adjacent copper elements 502 can be determined even in the presence ofthe multiple interfaces.
  • a structure 550 is exemplary of a structure produced during solder bump processing.
  • Structure 550 includes a substrate 551, regions 502 non- wettable by solder, and a region 503 wettable by solder. Regions 502 have an outer surface 507. Region 503 has an outer surface 509. Accordingly, an interface 505 is formed between regions 502 and substrate 501.
  • a mass of solder 504 is positioned in contact with wettable region 503. Upon flowing the solder, the solder forms a secure contact with the wettable region 503. Adjacent non-wettable regions 502 act like a dam preventing the flowed solder from undesirable migration about the structure.
  • structure 550 includes a plurality of interfaces that may each result in an interference pattern. Overlap between the interference patterns prevents accurate determinate ofthe spatial properties using known interference techniques. Application ofthe systems and methods discussed herein allow the spatial properties to be determined. Spatial properties determined from stracture 550 can be used to change manufacturing conditions, such as deposition times for layers 502,503 and the amount of solder 504 used per area of region 503. Additionally, heating conditions used to flow the solder can also be changed based on the spatial properties to achieve adequate flow and or prevent migration ofthe solder. ,
  • a passive matrix LCD 450 is composed of several layers.
  • the main parts are two glass plates 452,453 connected by seals 454.
  • a polarizer 456 is applied to the front glass plate 453 in order to polarize incoming light in a single direction. The polarized light passes through the front glass plate 453.
  • An Indium Tin Oxide (ITO) layer 458 is used as an electrode.
  • a passivation layer 460 sometimes called hard coat layer, based on SiOx is coated over the ITO 458 to electrically insulate the surface.
  • Polyimide 462 is printed over the passivation layer 460 to align the liquid crystal fluid 464.
  • the liquid crystal fluid is sensitive to electric fields and changes orientation when an electric field is applied.
  • the liquid crystal is also optically active and rotates the polarization direction ofthe incoming light.
  • the cell gap ⁇ g i.e., thickness ofthe liquid crystal layer 464, is determined by spacers 466, which keep the two glass plates 452,453 at a fixed distance.
  • the polarized light is rotated 90° as it passes through the liquid crystal layer 464.
  • an electric potential is applied from one plate to the other plate the light is not rotated.
  • the light After the light has passed through the liquid crystal layer 464, it passes through another polyimide layer 468, another hard coat layer 470, a rear ITO electrode 472, and the rear glass plate 452.
  • the cell 450 may include filters 476 or other colorizing elements to provide a color display.
  • the cell gap ⁇ g determines to a great extent the optoelectrical properties pf the LCD, e.g., the contrast ratio and brightness. Cell gap control during manufacturing is critical to obtaining uniform, quality displays.
  • the actual cell gap may differ from the dimensions of spacers 466 because, during assembly, pressure or vacuum is applied to introduce the liquid crystal medium, seals 454 cure and may change dimensions, and the added liquid crystal medium generates capillary forces between plates 452,453.
  • surfaces 480,482 of plates 452,453 reflect light that results in an interference pattern indicative ofthe cell gap ⁇ g.
  • the low coherence nature ofthe interference signal either itself or in combination with the described interference signal processing techniques can be used to monitor properties ofthe cell including the cell gap ⁇ g during manufacture even in the presence of interfaces formed by other layers ofthe cell.
  • An exemplary method can include obtaining a low coherence interference signal including interference patterns indicative ofthe cell gap ⁇ g prior to adding layer 464.
  • the cell gap (or other spatial property ofthe cell) is determined from the interference patterns and can be compared to a specified value.
  • Manufacturing conditions e.g., a pressure or vacuum applied to plates 452,453 can be changed to modify the cell gap ⁇ g if a difference between the specified value and the determined cell gap exceeds tolerances. This process can be repeated until achieving the desired cell gap.
  • Liquid crystal medium is then introduced into the cell.
  • the amount of liquid crystal medium to be added can be determined from the measured spatial property ofthe cell. This can avoid over- or underfilling the cell.
  • the filling process can also be monitored by observing interference signals from the surfaces 480,482. Once the cell has been filed, additional low coherence interference patterns are obtained to monitor the cell gap ⁇ g (or other spatial property). Again, the manufacturing conditions can be changed so that the cell gap is maintained or brought within tolerances.
  • Laser Scribing and Cutting Lasers can be used to scribe objects in preparation for separating different, concurrently manufactured structures, e.g., microelectronics structures.
  • the quality of separation is related to the scribing conditions, e.g., laser focus size, laser power, translation rate of the object, and scribe depth.
  • the scribe lines may be adjacent thin film or layers ofthe structures. Interfaces associated with the thin film or layers may create interference patterns that appear when interferometry is used to determine the scribe depth.
  • the methods and systems described herein can be used to determine the scribe depth even in the presence of such adjacent films or layers.
  • An exemplary method can include scribing one or more electronic structures and separating the structures along the scribe lines.
  • low coherence interference signals can be used to determine the depth of scribe.
  • Other scribing conditions are known, e.g., laser spot size, laser power, translation rate.
  • the scribe depth can be determined from the interference signals.
  • the quality of separation as a function ofthe scribing conditions, including the scribe depth, can be determined by evaluating the separated structures. Based on such determinations, the scribing conditions necessary to achieve a desired separation quality can be determined.
  • low coherence interference signals can be obtained from scribed regions to monitor the process. Scribing conditions can be changed to maintain or bring the scribe properties within tolerances. Other embodiments are within the scope ofthe claims.

Abstract

An apparatus for positioning an object having a substrate and an overlying film and a portion of a photolithography apparatus relative to one another includes a photolithography apparatus relative to one another includes a photolithography system, a positioner, an optical system, and a processor. The photolithography system is configured to illuminate a portion of an object with an first light pattern and includes a reference surface. The positioner can change a relative position between the photolithography system and the object. The light projector is configured to project a second light pattern on the overlying thin film of the object. The optical system images light of the second light pattern that is diffusely scattered by the substrate. The processor is configured to determine a spatial property of the object based on the diffusely scatterd light and operate the positioner to change the relative position between the photolitography system and the object.

Description

TRIANGULATION METHODS AND SYSTEMS FOR PROFILING SURFACES THROUGH A THIN FILM COATING
RELATED APPLICATIONS The present application claims the benefit of U.S. provisional application nos.
60/502,932, filed September 15, 2003, for High Speed Scanning Interferometer for Surface Profiling and for Focus and Tilt Sensing, 60/502,933, filed September 15, 2003, for Grazing Incidence Interferometer for Profiling Surfaces Which May Have a Thin Film Coating, 60/502,907, filed September 15, 2003, for Triangulation Sensor for Profiling Surfaces Through a Thin Film Coating, 60/502,930, filed September 15, 2003, for Rapid Measurement of Surface Topographies in the Presence of Thin Films, and 60/539,437, filed January 26, 2004, for Surface Profiling Using An Interference Pattern Matching Template, each of which applications in incorporated herein by reference. FIELD OF THE INVENTION The invention relates to interferometric analysis of objects, such as to the interferometric analysis of objects including a substrate having one or more at least partially transparent layers.
BACKGROUND Interferometric techniques are commonly used to measure the profile of a surface of an object. To do so, an interferometer combines a measurement wavefront reflected from the surface of interest with a reference wavefront reflected from a reference surface to produce an interferogram. Fringes in the interferogram are indicative of spatial variations between the surface of interest and the reference surface. A scanning interferometer scans the optical path length difference (OPD) between the reference and measurement legs ofthe interferometer over a range comparable to, or larger than, the coherence length ofthe interfering wavefronts, to produce a scanning interferometry signal for each camera pixel used to measure the interferogram. A limited coherence length can be produced, for example, by using a white-light source and/or a spatially extended source. An exemplary technique is scanning white light interferometry (SWLI), which includes use of a broadband source. A typical scanning white light interferometry (SWLI) signal is a few fringes localized near the zero optical path difference (OPD) position. The signal is typically characterized by a sinusoidal carrier modulation (the "fringes") with bell- shaped fringe-contrast envelope. The conventional idea underlying SWLI metrology is to make use of he localization ofthe fringes to measure surface profiles. Techniques for processing low-coherence interferometry data include two principle trends. The first approach is to locate the peak or center ofthe envelope, assuming that this position corresponds to the zero optical path difference (OPD) of a two-beam interferometer for wliich one beam reflects from the object surface. The second approach is to transfoπn the signal into the f equency domain and calculate the rate of change of phase with wavelength, assuming that an essentially linear slope is directly proportional to object position. See, for example, U.S. Patent No. 5,398,113 to Peter de Groot. This latter approach is referred to as Frequency Domain Analysis (FDA).
SUMMARY Systems and methods described herein can be used to determine spatial properties of objects having more than one interface. In one aspect, the invention relates to an optical system comprising a photolithography system configured to illuminate a portion of an object with a light pattern, the photolithography system comprising a reference surface, a low coherence interferometer having a reference optical path and a measurement optical path, light that passes along the reference optical path reflecting at least once from the reference surface and light that passes along the measurement optical path reflecting at least once from the object, and a detector configured to detect a low coherence interference signal comprising light that has passed along the reference optical path and light that has passed along the measurement optical path. The low coherence interference signal is indicative of a spatial relationship between the reference surface and the object. In some embodiments, the photolithography system includes an illumination optic having an illumination optic surface. Light ofthe light pattern travels along an optical path that includes the illumination optic surface. The illumination optic surface and the reference surface are at least partially coextensive. The light that passes along the measurement optical path may reflect at least once from the portion ofthe object to be illuminated by the photolithography system. The light ofthe low coherence interference signal that has passed along the reference optical path and the light ofthe low coherence interference signal that has passed along the measurement optical path may have a range of optical path length differences. The range maybe at least 20%, at least 50%, at least 75%, or more of a coherence length ofthe low coherence interferometer. The range may be at least as great as the coherence length ofthe low coherence interferometer. In some embodiments, the detector comprises a plurality of detector elements each configured to detect a respective low coherence interference signal. Each low coherence interference signal may comprise light that has passed along a respective different portion of the reference optical path and light that has passed along a respective different portion ofthe measurement optical path. Each low coherence interference signal may be indicative of a spatial relationship between a different point ofthe object and the reference surface. The optical system may be configured to determine the spatial relationship between each ofthe different points of he object and the reference surface based on at least a respective one ofthe low coherence interference signals. The optical system may include a translation stage for manipulating a relative position and orientation between the object and the photolithography system. The processor may be further configured to modify a relative position of the obj ect and the photolithography system based on the spatial relationships. Another aspect ofthe invention relates to a method comprising positioning an object generally along an optical path of a photolithography system, reflecting a first portion of light from a light source from a reference surface ofthe photolithography system, reflecting a second portion of light from the light source from the object, and forming a low coherence interference signal comprising light reflected from the reference surface and light reflected from the object, the low coherence interference signal indicative of a spatial relationship between the object and the imaging system. In some embodiments, the method includes reflecting a respective first portion of light from the light source from each of a plurality of locations ofthe reference surface ofthe photolithography system, reflecting a respective second portion of light from the light source from each of a plurality of locations ofthe object, e.g., at a grazing angle of incidence, and forming plurality of low coherence interference signals. Each low coherence interference signal comprises light reflected from a respective one ofthe different locations ofthe reference surface and light reflected from a respective one ofthe different locations ofthe object. Each low coherence interference signal may be indicative of a spatial relationship between at least one ofthe different locations ofthe object and the photolithography system. In some embodiments, first and second portions of light can be reflected after positioning the object. The method can further comprise changing a relative position ofthe object and the reference surface based on the spatial relationship. The reference surface can be a surface of an optic ofthe photolithography system. The photolithography system can be used to project an ultraviolet light image onto the object. Light that forms the ultraviolet image passes along an optical path including the surface of he optic. The object can include a substrate and an overlying thin film having an outer surface and the forming can comprise combining light reflected from the reference surface and light reflected from the outer surface ofthe thin film. The spatial relationship may be between the outer surface of he thin film and the photolithography system. The light ofthe second portion of light from the light maybe substantially attenuated, e.g., absorbed, by the thin film. The thin film can include photoresist, with the light ofthe second portion of light from the light source having an energy insufficient to expose the photoresist. The object can include a substrate and a thin film having an outer surface. The with the formign and the forming comprises combining light reflected from the reference surface and light reflected from the substrate, and the spatial relationship is between the substrate and the imaging system. The object can be illuminated at Brewster's angle, which may enhance spatial information related to the substrate as opposed to the outer surface. Another aspect ofthe invention relates to a system for determining a spatial property of an object. The system can include a light source, an optical system configured to, illuminate the object at a grazing angle of incidence with a first portion of light from the light source, at least some ofthe first portion of light reflecting from the object, combine, over a range of optical path differences, light reflected from the object and a second portion of light derived from the same light source, and a detector configured to detect the light combined over the range of optical path differences as a plurality of interference fringes each having a peak amplitude, the range of optical path differences being sufficient to modulate the peak amplitudes of he interference fringes. The range of optical path differences may be at least as great as a coherence length of the optical system. Another aspect ofthe invention relates to a method including illuminating an object a grazing angle of incidence with light from a light source. At least some ofthe illuminating light reflects from the object. Light reflected from the object and a second portion of light from the light source are combining over a range of optical path differences. The light combined over a range of optical path differences as a plurality of interference fringes each having a peak amplitude. The range of optical path differences is sufficient to modulate the peak amplitudes ofthe interference fringes. Another aspect ofthe invention relates to a including projecting a first pattern of light on an object comprising a substrate and an overlying thin film, imaging light ofthe first projected pattern that is diffusely scattered by the substrate, and determining a spatial property ofthe object based on the diffusely scattered light. The overlying thin film may be photoresist and determining a spatial property may include determining a position of a portion ofthe object relative to a photolithography system. The portion of the object may be an interface between the substrate and the overlying photoresist. The first pattern of light may include comprises first and second portions of light from the same light source and the first pattern of light may be an interference pattern. The interference pattern can include a plurality of fringes modulated by an envelope and the determining a spatial property ofthe object comprises determining a position of a portion of the envelope relative to the fringes. The object can be repositioned based on the position ofthe portion ofthe envelope. Some embodiments include projecting a reference pattern of light on a reference surface, detecting light ofthe reference pattern projected onto the reference surface, and the determining a spatial property ofthe object comprises deteπnining a relative spatial property ofthe object and the reference surface based on the detected light ofthe reference pattern. The object can be moved based on the relative spatial property. Some embodiments further include modifying a property ofthe light source to project a second interference pattern comprising a plurality of fringes having a substantially similar amplitude onto the object, imaging light ofthe second interference pattern that is diffusely scattered by the substrate, and determining a second spatial property of the object based on the diffusely scattered light from the second interference pattern. The second spatial property may be a topography of a portion ofthe object. The second spatial property may be indicative of an absolute position ofthe object. At least the modifying can be performed before projecting the first pattern of light. Methods and systems described herein can be used to deteraiine a spatial property of an object comprising a substrate comprising an overlying layer of photoresist having an outer surface. The spatial property can be a ofthe outer surface. The methods and systems can change a relative position between a photolithography system and the object based on the spatial property. Methods and systems described herein can be used to determine a spatial property of a portion of a liquid crystal display. Methods and systems described herein can be used in scribing objects, e.g., by a laser. A spatial property of a scribed line formed on an object by the scribing is determined. Further scribing ofthe object or another object is performed. A parameter, e.g., a laser power, object scan rate, or laser focus size is selected based on the spatial property ofthe scribed line. Methods and systems described herein can be used to determine a spatial property of a structure formed during solder bump manufacturing. The spatial property can be a spatial property of a portion ofthe object non-wettable by solder. Another aspect ofthe invention relates to an apparatus including a photolithography system configured to illuminate a portion of an object with an first light pattern. The photolithography system includes a reference surface. The object includes a substrate and an overlying thin film. The apparatus also includes a positioner to change a relative position between the photolithography system and the object, a light projector configured to project a second light pattern on the overlying thin film ofthe object, an optical system to image light ofthe second light pattern that is diffusely scattered by the substrate, and a processor configured to determine a spatial property ofthe object based on the diffusely scattered light and change the relative position between the photolithography system and the object. Another aspect ofthe invention relates to an optical system including a detector comprising a plurality of elements arranged in at least two dimensions, and an optical system configured to illuminate a plurality of spaced-apart points of an object with light from a light source, form a respective interference pattern conesponding to each illuminated point, each interference pattern extending along a first dimension ofthe detector, the interference patterns for different points being spaced apart along a second dimension ofthe detector. Another aspect ofthe invention relates to an optical system including a light source, an array of detector elements extending in at least one dimension, an interferometer configured to illuminate, with a first portion of light from the light source, a point of an object, and focus light reflected from the illuminated point as an elongated focus extending along the first dimension ofthe array, and focus a second portion of light from the source as a second focus extending along the first dimension ofthe array, the second focus and the elongated focus being at least partially coincident along the first dimension ofthe array, an optical path difference (OPD) between the light reflected from the illuminated point and the second portion of light from the source varying along the first dimension ofthe array by an amount greater than a coherence length ofthe light reflected from the illuminated point. Another aspect ofthe invention relates to an interferometry method, comprising illuminating a plurality of spaced-apart points of an object with a first portion of light from a light source, at least some ofthe first portion of light reflecting from each ofthe spaced-apart points, and forming a plurality of interference patterns on a detector having a plurality of detector elements arranged in at least two dimensions, wherein each interference pattern comprises light reflected from a respective spaced-apart point ofthe object, each interference pattern extends along a first dimension ofthe detector, and different interference patterns are spaced apart along a second dimension ofthe detector. Unless otherwise defined, all technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. Other features, objects, and advantages of the invention will be apparent from the following detailed description. BRIEF DESCRIPTION OF THE DRAWINGS Fig. la is a cross-section of a measurement object including a substrate and an overlying layer, e.g., a thin film. Fig. lb is a top view ofthe object of Fig. l . Fig. 2 is a low coherence interference signal including first and second interference patterns with amplitudes that vary according to a respective envelope function. Fig. 3 is a grazing incidence interferometry system. Fig. 4 is a grazing incidence interferometry system. Fig. 5 a illustrates shift between light passing along the measurement optical path and reference optical path ofthe interferometry system of Fig. 4 as a result of a tilt of a measurement object. Fig. 5b illustrates displacement vectors showing rotation between light passing along the measurement optical path and reference optical path resulting from the measurement object tilt referred to in Fig. 5a. Fig. 6 is a grazing incidence interferometry system. Figs. 7a and 7b show detector images for a triangulation mode of a grazing incidence interferometry system. Fig. 8a is a grazing incidence interferometry system. Fig. 8b is a magnified view of a portion ofthe system of Fig. 8a. Fig. 9a is a low coherence interferometry system. Fig. 9b is the interferometry system of Fig. 9a viewed along the X-axis thereof. Fig. 10 illustrates correspondence between illuminated points of a measurement object and respective, elongated images detected for the illuminated points using the system of Fig. 9a. Figs. 1 la and 1 lb are exemplary components of a reference leg ofthe interferometry system of Fig. 9a. Figs. 12a and 12b are exemplary structures having copper interconnects. Figs. 12a and 12b showing the structure before and after planarization, respectively. Figs. 13a and 13b are exemplary structures formed during solder bump processing.
Fig. 13a showing the structure before addition of solder. Fig. 13b showing the structure after addition of solder but prior to flowing the solder. Fig. 14 is a portion of an exemplary liquid crystal display.
DETAILED DESCRIPTION Embodiments of methods and systems described herein relate to the use of interferometry to measure a spatial property, e.g., a surface topography, a position, an orientation, and/or other characteristics, of objects having more than one interface, such as thin film structures, discrete structures of dissimilar materials, or discrete structures that may be underresolved by the optical resolution of an interference microscope. Examples of interfaces include interfaces formed at the outer surface of an object or interfaces formed internally between dissimilar materials. Spatial properties of obj ects having more than one interface are relevant to a variety of fields including flat panel displays, microelectronics, photolithography, thin films characterization, and dissimilar materials analysis. When an object with multiple interfaces is analyzed by interferometry, each interface can produce an interference pattern. If the interfaces are closely spaced, the interference patterns may overlap, distorting one another. The distortion can lead to erroneous determinations of spatial properties ofthe object. As an example, consider efforts to position a semiconductor wafer with overlying photoresist at a focus position with respect to a photolithography system. The quality of photolithography is related to how precisely the wafer and photolithography system can be positioned with respect to one another. However, the outer surface of the photoresist and the interface between the photoresist and the wafer generate resulting interference patterns, which makes determining the exact position and orientation ofthe photoresist outer surface or the wafer difficult. Consequently, the quality of photolithography may suffer. Systems and methods described herein can determine a spatial property of a selected interface of an obj ect even in the presence of other adjacent or closely spaced interfaces.
Some embodiments include illuminating the object with light at a grazing angle of incidence α and detecting a low coherence interference signal including light reflected from the object. Angle α, taken with respect to a dimension extending normal to the object, may be at least 60°, at least 70°, at least 75°, e.g., at least 80°. Grazing incidence illumination can increase the reflectivity ofthe outer surface relative to other interfaces ofthe illuminated object. The reflectivity increase enliances interference patterns from the outer interface as opposed to internal interfaces ofthe object. Hence, interference signals obtained with grazing incidence can be more sensitive than normal angle of incidence illumination to spatial properties ofthe outer surfaces of objects. Embodiments for enhancing interference patterns from the outer surface of a layer also (or alternatively) include selecting wavelengths of illuminating light that are attenuated, e.g., absorbed, by the layer. Because the layer absorbs the light, interference patterns from underlying interfaces are relatively attenuated. Also disclosed are embodiments in which grazing incidence techniques are combined with low-coherence interferometry. As described further below, low-coherence interferometry data can be processed to provide spatial information about one or more interfaces of a complex sample, such as a thin film structure. Such low coherence interferometry data can be obtained by using a spectrally broadband light and/or a spatially extended source. Accordingly, some embodiments for enhancing the interference pattern from the outer surface of an object include illuminating the object at grazing incidence with broadband light, e.g., light having a full width half maximum (FWHM) of at least 6 nm, at least 12.25 nm, at least 25 nm, at least 50 nm, at least 100 nm, or at least 150 nm. When grazing incidence illumination is combined with broadband light, interference patterns obtained from the outer surface of an object may be enhanced relative to interference patterns obtained from internal interfaces of an object. Enhancing the interference patterns resulting from an outer surface of a layer can benefit a number of applications, e.g., the photolithography positioning mentioned above. For example, grazing incidence methods and systems described herein can determine the thickness of a photoresist layer overlying a substrate with a relative accuracy of about 1% or better for thin films, e.g., films about 400 nm thick or thicker. In a particular example, a thickness of a 450 nm thick layer of XF1 157 nm UV photoresist overlying a silicon film having a thickness of 450 nm was determined with an error of ± 4.9 nm using light having a nominal wavelength of 600 nm, a FWHM of 200 nm, an angle of incidence α of 80° and a Δα of+/-3°. Embodiments of grazing incidence illumination are not limited to enhancing interference patterns resulting from an outer surface of an object. Interference patterns from internal interfaces can also be enhanced. For example, an object can be illuminated at Brewster's angle with light polarized in a plane defined by the angle of incidence, hi this case, Brewster's angle is determined by the optical properties, e.g., refractive index, ofthe overlying layer, and by the wavelengths ofthe illuminating light. At Brewster's angle, interference patters from underlying interfaces are enhanced relative to interference patterns from the outer surface. Grazing angle of incidence illumination provides other advantages compared to normal angle of incidence illumination. For example, an illumination beam having a grazing angle of incidence can be used to illuminate an object in close proximity with other objects or systems. For example, grazing incidence interferometers described herein can introduce an illumination beam between an the imaging optics of a photolithography system and an object with photoresist to be imaged. Hence, benefits of grazing incidence with respect to thin film analysis can be realized in situ for complex, crowded working environments. All o the interferometers and optical systems discussed herein may be used to determine a spatial property of an object, e.g., a subset ofthe object to be imaged, with respect to a photolithography apparatus and, with feedback, modify the relative position and/or orientation ofthe object and photolithography apparatus. Additionally, each interferometer and optical system may include a reference surface that is itself a surface of a photolithography apparatus, e.g., a surface of an optic ofthe photolithography apparatus. Accordingly, grazing incidence illumination allows enhancing interference patterns from a selected interface (whether an outer surface or an internal interface) to increase the accuracy of spatial properties determined based on the interference patterns. Methods and systems for determining one or more spatial properties of objects are described below. We begin with a general description of an object having more than one interface and describe interference patterns that might bfe obtained from such an object using, e.g., a low coherence grazing incidence interferometer. Then, embodiments of optical systems are discussed. Referring to Fig. 1 a, an object 30 includes a substrate 32 and a layer 34. Object 30 includes a plurality of interfaces as occur between materials of different refractive index. For example, an object-surroundings interface 38 is defined where an outer surface 39 of layer 34 contacts the environment surrounding object 30, e.g., liquid, air, other gas, or vacuum. A substrate-layer interface 36 is defined between a surface 35 of substrate 32 and a bottom surface 37 of layer 34. Surface 35 ofthe substrate may include a plurality of patterned features 29. Some of these features have the same height as adjacent portions ofthe substrate but a different refractive index. Other features may extend upward or downward relative to adjacent portions ofthe substrate. Accordingly, interface 36 (and, of course, interface 38) may exhibit a complex, varying topography. Referring to Fig. 2, an interference signal 90 is exemplary of a low coherence interference signal that may be obtained from objects having closely spaced interfaces using systems and methods described herein. Interference signal 90 includes first and second overlapping interference patterns 92,96 respectively resulting from outer surface 39 and interface 36. The X-axis of interference signal 90 corresponds to an optical path difference (OPD) between light reflected from the object and reference light. An interferometer can vary the OPD by scanning, e.g., by moving an optic and/or the object to vary the optical path traveled by the light reflecting from the object or the reference light. An interferometer may, alternatively or in combination, vary the OPD by detecting a spatial distribution of light reflected from the object and the reference light with the OPD varying as a function of spatial position. '< Interference patterns 92,96 are modulated as a function of OPD by respective coherence envelopes 97,95, which have similar shapes and widths. In the absence ofthe low coherence envelope, the fringes 98,99 typically have similar amplitudes. The width of an interference pattern envelope corresponds generally to the coherence length ofthe detected light. Among the factors that determine the coherence length are temporal coherence phenomena related to, e.g., the spectral bandwidth ofthe source, and spatial coherence , phenomena related to, e.g., the range of angles of incidence of light illuminating the object. Typically, the coherence length decreases as: (a) the spectral bandwidth ofthe source increases and/or (b) the range of angles of incidence increases. Depending upon the configuration of an interferometer used to acquire the data, one or the other of these coherence phenomena may dominate or they may both contribute substantially to the overall coherence length. In some embodiments, grazing angle of incidence interferometers described herein illuminate objects with broadband light having a range Δα of angles of incidence. The light source may be extended. The range Δα can be ±20° or less, ±10° or less, ±5° or less, or ±3° or less. In some embodiments, the illumination numerical aperture is 0.2 or less, 0.1 or less, 0.07 or less, 0.06 or less, e.g., 0.05 or less. Because ofthe grazing angle of incidence, spatial coherence phenomena contribute to the observed interference signals at lower ranges of angles of incidence than that for normal incidence. This is because the spatial coherence phenomena are related to changes in path length for oblique rays, which scale inversely with the cosine ofthe angle of incidence α. Descriptions of interference signals including contributions from spatial coherence phenomena are described in US patent application no. 10/659,060, titled Interferometry Method for Ellipsometry, Reflectometry, and Scatterometry Measurements, Including Characterization of Thin Fihns, which is incorporated herein by reference. In some embodiments, both spatial and temporal coherence phenomena contribute to the coherence length, which may desirably attenuate interference patterns resulting from internal interfaces. The coherence length of an interferometer can be determined by obtaining an interference signal from an object having a single reflecting surface, e.g., not a thin film structure. The coherence length corresponds to the full width half maximum ofthe envelope modulating the observed interference pattern. As can be seen from Fig. 2, interference signal 90 results from detecting light having a range of optical path differences that varies by more than the width ofthe coherence envelopes and, therefore, by more than the coherence length ofthe detected light. In general, a low coherence interference signal includes interference fringes that are amplitude modulated by the coherence envelope ofthe detected light. For example, the interference pattern may be obtained over an OPD for which the amplitude of the observed interference fringes differs by at least 20%, at least 30% or at least 50% relative to one another. For example, fringe 98 has a peak amplitude that is about 50% less than a peak amplitude of a fringe 99. In some embodiments, the low coherence interference signal is detected over a range of OPD' s that is comparable to or greater than the coherence length. ' For example, the range of OPD' s may be at least 2 times greater or at least 3 times greater than the coherence length. In some embodiments, the coherence length ofthe detected light is on the order ofthe height variations of features ofthe object, e.g., on the order of a couple of microns or less. Interference signals obtained using methods and systems described herein can be processed in a number of ways to detem ine a spatial property ofthe object. In some embodiments, processing the interference signal includes transformation ofthe signal to an inverse dimension. Such transformation can include Fourier transformation of a signal. The transformation may be performed during Frequency Domain Analysis (FDA) or an extension thereof. Exemplary FDA methods are described in U.S. Patent No. 5,398,113 entitled "METHOD AND APPARATUS FOR SURFACE TOPOGRAPHY MEASUREMENTS BY SPATIAL-FREQUENCY ANALYSIS OF LNTERFEROGRAMS" and U.S. Patent
Application No. 10/795,808 filed March 8, 2003 and entitled "PROFILING COMPLEX SURFACE STRUCTURES USING HEIGHT SCANNING INTERFEROMETRY," the contents said patent and patent application being incorporated herein by reference. It should be understood, however, that processing an interference signal does not require transformation. For example, the maximum of an interference envelope can provide spatial property information even without transfoπnation ofthe interference signal. As seen in Fig. 2, a portion 94 of interference signal 90 is dominated by contributions from interference pattern 92 as opposed to interference pattern 96. As discussed above, interference pattern 92 results from the outer surface 39 of object 30. A spatial property, e.g., a position and/or height, of surface 39 can be determined based upon portion 94, which constitutes only a subset ofthe entire interference signal 90. Methods and systems for locating and analyzing such subsets of interference signals are described in U.S. patent application no. **/***s***5 titled METHODS AND SYSTEMS FOR INTERFEROMETRIC ANALYSIS OF SURFACES AND RELATED APPLICATIONS by Peter de Groot, filed September 15, 2004. This application is incorporated in its entirety herein by reference. Interferometry systems and methods for obtaining and processing interference signals, e.g., in some embodiments, low coherence interference signals, from objects, such as objects having a plurality of interfaces are discussed next. Referring to Fig. 3, an optical system 100 illuminates uses difff ctive optics to illuminate an object at grazing angle of incidence. System 100 includes an interferometry system 101 and an illumination system 150, which systems are configured for use with measurement object 30 and other objects, such as objects lacking any overlying layer or objects including a plurality of such layers. While not a low coherence interferometer, system 101 can determine a characteristic, e.g., a spatial or optical property, of measurement object 30 using grazing incidence illumination and is illustrative ofthe benefits of such a configuration. With reference back to Figs, la and lb, the spatial or optical property may be related to surface 39 of layer 34 or a subset 40,- thereof. Alternatively, or in combination, the spatial or optical property may be related to interface 36, e.g., to surface 35 of substrate 32. System 101 typically employs phase-shifting techniques to provide information related to object 30. Illumination system 150 is typically configured to illuminate surface object 30 with light 167, such as to image a selected pattern, e.g., a ckcuit pattern onto surface 38. Returning to Fig. 3, various aspects of systems 101 and 150 are discussed below. Interferometry system 101 is a grazing incidence system arranged for analyzing a measurement object. A light source 111, which may be a lamp, a light-emitting diode, a multimode laser diode or a gas laser generates a beam 102. After passing through expansion optics 103, the beam 102 produces an initial illumination wavefront 104. A diffractive-optic beam splitter 105, which maybe a linear phase grating with zero-order suppression, separates initial illumination wavefront 104 into a reference wavefront 115 and a measurement wavefront 110. The two wavefronts 115 and 110 result from opposite grating orders, e.g., the positive and negative first grating orders, and consequently travel in divergent directions. Reference wavefront 115 reflects once from reference surface 130 prior to traveling to a diffractive-optic beam combiner 170, which may be similar to diffractive-optic beam splitter 105. As discussed further below, reference surface 130 may also be configured as a portion of illumination system 150, such as a portion of projection optics that direct patterned light onto object 30, e.g., a subset 40i ofthe object. In any event, reference surface 130 may be optically flat, e.g., to about 1/15 ofthe average wavelength of wavefront 115 or have a known surface shape. For example, the projection objects may have an arcuate surface with known curvature. Refeπing also to Fig. la, a measurement ray 181 illustrates the interaction of light of measurement wavefront 110 with object 30. In the presence of layer 34 and substrate 32, a portion of measurement ray 181 impinges and reflects from layer surface 38 at a grazing angle α, and then travels as a reflected ray 181'. As seen in Fig. 6, a reflected measurement wavefront 110' including ray 181' propagates to diffractive-optic beam combiner 170 where it recombines with the reference wavefront 115 to form an output wavefront 120. Turning back to Fig. la, a second portion of measurement ray 181 may penetrate layer 34 and reflect from interface 36 at the surface of substrate 32 as a reflected ray 181". The light reflected from interface 36 generates a second reflected wavefront (not shown) that propagates generally along the same path as wavefront 181' but spaced apart by an amount Δs along a dimension perpendicular to the propagation path. The second wavefront would also combine and interfere with reference wavefront 115. In some embodiments, system 100 is configured to obtain a single measurement wavefront reflected from surface 39 at the interface 38 between layer 34 and the environment around object 30 and to attenuate or exclude measurement wavefronts reflected from interface 36 between substrate 32 and layer 34. Measurement wavefronts reflected from substrate-surface layer interface 36 can be attenuated or excluded by selecting a source 111 wavelength that is substantially absorbed by the surface layer 34. Because the source light is absorbed by the surface layer, there is essentially no reflected wavefront arising from the substrate-surface layer interface 38. Instead, substantially the only reflected wavefront arises from the surface layer-surroundings interface 38, e.g., an interface between the surface layer and air, other gas, or vacuum surrounding object 30. Hence, ray 181" and any associated wavefronts would be attenuated or excluded. In some embodiments, the surface layer 34 is photoresist configured to be exposed by ultraviolet light emitted by illumination system 150. Typically, a component ofthe photoresist layer, e.g., a solvent or an optically active component ofthe resist itself, absorbs lower energy radiation, such as visible, near-infrared, or infrared radiation, without exposing the optically active component. Such non-exposing absorptions can result from vibrational excitation ofthe resist rather than electronic excitation as by ultraviolet light. In any event, the resist absorbs a portion ofthe measurement light and system 101 generates a measurement wavefront including measurement ray 181 ' and resulting substantially only from the interface 38 between the surface layer 34 and the surroundings. Such a wavefront carries information about spatial properties ofthe interface 38, e.g., a topography and or position of surface 39. The spatial properties may be given with reference to a portion of illumination system 150, such as surface 130 of projection optics thereof. In some embodiments, system 100 is configured to obtain a single measurement wavefront reflected from surface 36 at the interface between substrate 32 and layer 34 and to attenuate or exclude measurement wavefronts reflected from interface 38 at the surface of layer 34 and the surroundings. Measurement wavefronts reflected from interface 38 can be attenuated or excluded by selecting the polarization P and angle of incidence α ofthe incident light, e.g., measurement ray 181, to minimize the intensity of measurement ray 181' relative to measurement ray 181 " reflected from surface 36. For example, the polarization P can be configured to be parallel to the plane of incidence at surface 38. Alternatively or in combination, the angle of incidence α can be configured to be equal to Brewster's angle, the angle at which reflection from surface 39 is minimized for the aforementioned polarization. In any event, the reflection from surface 39 is reduced or eliminated and system 101 generates a measurement wavefront including measurement ray 181" and resulting substantially only from the interface 36 between the substrate 32 and surface layer 34. Such a wavefront caπies information about spatial properties ofthe interface 36, e.g., a topography and or position of surface 36 of the substrate. The spatial properties may be given with reference to a portion of illumination system 150, such as surface 130 of projection optics thereof. Output wavefront 120, whether including information about surface 36, surface 39 or both surfaces, travels through a lens 171 and an imaging lens 173 to a viewing screen, e.g., a two-dimensional detector such as a CCD 175 where an image 190 of sample surface 160 forms. Detector 175 is inclined obliquely to achieve proper focus across the detected image. The tilt also reduces foreshortening in image 190 caused by imaging sample surface 160 at grazing angle α. Image 190 contains interference fringe information related to the topography of sample surface 160. In such interpretations the equivalent wavelength A relevant to these fringes is given by A = λ/cos(α), where λ is the nominal wavelength of wavefront 104. The term "diffractive-optic", as used herein, is intended to include diffraction gratings, binary optics, surface-relief diffractive lenses, holographic optical elements, and computer- generated holograms. These devices can function in transmission or in reflection as beam splitters and combiners. They may suppress unwanted diffraction orders, e.g., the zero'th order transmission, so as to reduce scattered light and improve efficiency. Methods of fabricating difff active-optical devices include diamond machining, coherent beam interference (holography), injection molding, and advanced micro-lithographic techniques. Diffractive-optics are recognized by those skilled in the art as distinct from refractive and reflective optical elements such as lenses, prisms, mirrors and plate beam splitters. When measurement wavefront 110' and reference wavefront 115 come together to form output wavefront 120, overlapping portions of measurement wavefront 110' and reference wavefront 115 originate from substantially the same portion of initial illumination wavefront 104. For example, a reference ray 185 and measurement ray 181 recombimng at a point 189 on diffractive-optic beam combiner 170 originate substantially from the same point 109 on diffractive-optic beam splitter 105. As a consequence, aberrations or spatial incoherence in initial illumination wavefront 104 generate, at most, weak effects on the interference fringes observed in image 190. As a further consequence, small defects in beam expansion optics 103 or distortions resulting from air turbulence produce, at most, weak effects on the analysis of image 190. As a further consequence, deviations from flatness in the diffractive-optic beam splitter 105 or the diffractive-optic beam combiner 170 generate, at most, weak effects on the interference fringes observed in image 190. An additional characteristic of system 101 is that equivalent wavelength A is substantially independent ofthe wavelength λ of source 111. This may be understood as follows. From the geometry ofthe system 101 and well-known properties of diffraction gratings, it can be shown that the angle of incidence α is given by cos(α)=λ/D, where D is the grating pitch, i.e., the linear separation between grating lines, ofthe diffractive-optic beam splitter 105 and the diffractive-optic beam combiner 170. Accordingly, the equivalent wavelength A = D. Thus, different source wavelengths λ produce the same equivalent wavelength A, which is equal to the grating pitch. The optical path traversed by measurement wavefront 110 is substantially equal to the optical path traversed by reference wavefront 115. This facilitates the use of multimode laser diodes or other devices having a wavelength range sufficient to reduce the effects of spurious fringe patterns and speckle noise characteristic of single-mode lasers or other high-coherence spectrally narrow-band or monochromatic devices, e.g., wavelength range <0.1 nm, when used as sources for interferometry. The substantial equality of the optical paths traversed by the wavefronts 115 and 110 also desensitizes this first embodiment to instability in the wavelength of source 101, which might otherwise be a problem for laser diodes, which can oscillate between lasing modes unexpectedly. One method for obtaining interferometry data from system 101 is a phase-shifting method for which a number of interference states are measured by the detector 175. Phase- shifted data can be obtained by introducing an OPD between the measurement and reference beams. Such an OPD can be generated by in-plane translation of one ofthe diffraction gratings, such as in a direction perpendicular to the grating lines. Another method for introducing an OPD includes introducing a slight difference in grating period between the two gratings. This creates a tilt between the two wavefronts that ' interfere at the detector, resulting in a spatial carrier fringe pattern. In this case one of a number of techniques can be used to extract height information from a single exposure ofthe detector. For example, a Fourier transform phase measurement can be used. Turning to illumination system 150, an illumination source 152 emits light 160, which is received by a beam conditioner 154. The beam conditioner directs a conditioned beam 162 to illumination optics 156, which transmit light 164 through a mask or reticle 158 onto interface 38 of object 30 via projection optics 157. Illumination source 152 is typically an ultraviolet source, e.g., a laser emitting an ultraviolet laser beam. In some embodiments, source 152 emits light 160 having a wavelength including 248 nanometers (nm), 193 nm, or 157 nm. Source 152 maybe a pulsed laser or a continuous wave laser. Beam conditioner 154 conditions light 160 received from illumination source 152, such as to produce a collimated beam 162 having a defined cross-section. Exemplary beam conditions can include, e.g., refractive and/or reflective optics, such as described in U.S. Pat. No. 5,631,721, titled Hybrid Illumination System for Use in Photolithography, by S. Stanton, et al., incorporated herein by reference in its entirety. Illumination optics 156 receive conditioned light 162 and output light 164 as an illumination field, which irradiates mask or reticle 158. Optics 156 can be configured to provide an illumination field having a uniform irradiance and to preserve the angular distribution and characteristics ofthe illumination field at the reticle as the size ofthe illumination field is varied. Mask or reticle 159 typically includes a pattern, e.g., a circuit pattern, to be projected onto an object. For example, the optics 156 can focus the pattern onto a subset 40j ofthe object. Still referring to Fig. 6, the interferometry system 101 provides a large working distance to the interface 38 and reference surface 130. Working distance refers to the distance between a sample surface and the closest optical component. The large working distance in grazing incidence system 101 means that sample interface 38 can be conveniently positioned without concern for possible damage to sample interface 38 or other components. System 100, like all interferometry systems discussed herein, -may include a positioning stage 119 configured to position object 30 with respect to another object, e.g., with respect to surface 130 of projection optics of illumination system 150. Stage 119, which is under computer control with feedback from data acquired by interferometry system 101, provides translational and rotational positioning to bring object 30 into a desired spatial relationship with the other object. For example, based on interferometry data acquired from wavefronts reflected from surface 39 or a subset 40j thereof, system 100 can maneuver object 30 so that surface 39 or a subset 40j thereof is brought into a desired spatial relationship with respect to surface 130, e.g., parallel thereto and/or at a certain distance therefrom. System 100 can maneuver object 30 into a similar spatial relationship between surface 36 and surface 130. Referring to Fig. 4, a low coherence interferometry system 250 is another example of a grazing incidence interferometer than can be used to determine a spatial property of an object having a plurality of interfaces. In this embodiment, the system includes a low coherence interferometer with optics can be compactly arranged so that the system occupies a small footprint and can be used in conjunction with other systems used to manipulate an object. System 250 determines a spatial property of an object 252 by illuminating the object with light at a grazing angle of incidence. System 254 includes a light source 254, which can be a broadband and/or extended source emitting a light beam 255. Exemplary sources include a white light LED having a central wavelength of 550 nm and a full width half maximum (FWHM) of 120 nm and a xenon arc lamp having a 200 nm FWHM. hi general, a ratio of a FWHM of light beam 255 to a central wavelength of beam 255 is at least 5%, at least 10%, at least 15%, e.g., at least 20%. Beam 255 is received by an optic, e.g., a lens 256, which prepares a collimated beam 257. The focal length of lens 265 is (in the embodiment shown) 150 mm, which corresponds to an illumination numerical aperture of 0.004. A beam splitter 258 splits beam 257 into a measurement beam 259 and a reference beam 261. Measurement beam 259 reflects from a mirror 262 and impinges upon object 252 at grazing angle α of incidence. For example, object 252 may be a wafer bearing photoresist to be illuminated by a photolithography system. System 250 has a 25 x 80 mm field of view ofthe surface of object 252. Light 263 reflected by object 252 is received by a beam splitter 264. The optical path of the measurement beam can include a compensator 260, which can be used to modify the distance through dense media, e.g., glass, traveled by the measurement beam and/or to modify a lateral displacement of beam 259. With reference to Fig. 7, beams 259 and 263 occupy a plane parallel to the X-Y plane. Beam splitter 264 combines light 263 and reference beam 261 to form a beam 265, which is detected by a detector, e.g., an imaging detector 276 having a plurality of detector elements, e.g., pixels. Imaging optics, e.g., telecentiic optics 272,274 image the beam 265 at the detector 275 so that different pixels detect light corresponding to different points of object 252. Reference beam 261 can follow a path including mirrors 276,270 and a compensator 268, which can serve the same function as compensator 260. System 250 can be configured so that an optical path traveled by beam 259 between beam splitters 258 and 264 is identical to an optical path traveled by reference beam 261 between beam splitters 258 and 264. Hence, an optical path difference (OPD) between beams 259,261 may be negligible or zero. Interference is observed when the OPD between the beams is less than a coherence length ofthe detected light. Moreover, system 250 can be configured to detect beams 259,261 over a range of optical path differences. For example, system 250 can be configured so that one of beams 259,261 travels an initially longer optical path. System 250 then moves at least one component to scan the OPD, e.g., until the OPD reaches zero or until the other beam travels a longer optical path. In some embodiments, system 252 detects beams 259,261 over an OPD range that varies by an amount at least as great as a coherence length of light detected by detector 276. Different detector elements of detector 276 record an interference signal as a function of OPD. The interference signals may resemble interference signal 90 by including one or more interference patterns modulated by an envelope. The interference signals can be analyzed as discussed with respect to system 50 to determine a spatial property of an object, e.g., a spatial property of an outer surface of a layer of photoresist. Because source 254 is an extended source, it is possible to misalign system 250 so that the OPD varies as a function of position across the reference and measurement beams 259,261, wliich form images ofthe source at apupil plane 276' of optics 272,274. In such misalignment, the optical axes ofthe reference and measurement legs may be parallel but not coextensive, e.g., parallel but laterally displaced. This results in lateral shear ofthe illumination bundle. Shear in the XY plane of about 50 μm can reduce fringe contrast by
50%. To reduce or prevent such lost of fringe contrast, system 250 can be aligned to correct lateral shear to within 10 μm or less, 5 μm or less, e.g., 2 μm or less. Another source of contrast loss can result from rotation of beam 263 about its axis of propagation without a corresponding rotation of beam 261 about its axis of propagation. The rotation of beam 263 can result from rotation ofthe object about an axis U extending through the object, hi fact, alignment seeking to reduce lateral shear can introduce such rotation. The axis U occupies the plane defined by beams 259 and 263. An axis V is normal to the plane defined by beams 259 and 263. Tilting object 252 about the U or V axis laterally displaces the image ofthe source at pupil 276'. Tilting the about about the U axis, however, also rotates beam 263 about its axis. Referring to Figs. 4a and 4b, a simulation of relative displacement of (a) an image of source 254 formed by light passing along the reference leg o and an image ofthe source 254 formed by light passing along the measurement leg 0 of a grazing incidence interferometer 250 is discussed. The source images are as formed at pupil 276' of telecentric optics 272,274 by beams 261,263. The simulation is for light incident upon an object at an 80° angle of incidence with a numerical aperture of 0.004. In Fig. 4a, the images for the reference and measurement legs show distortion resulting from an object rotation of 0.5° about the U-axis. The measurement leg 0 image is laterally shifted by 0.44 mm with respect to the reference leg image o. In Fig. 4b, the rigid body motion ofthe measurement leg image is subtracted to obtain displacement vectors that demonstrate that beam 263 is, in addition to the lateral displacement, rotated by 0.96° relative to beam 261. The maximum horizontal and vertical distortion ofthe image reflected from the object is about ± 21 μm, which is about ± 5% ofthe 0.44 mm shift. The rotation introduces a ± 5% variation in the pitch and orientation of interference fringes that would be detected. Hence, the rotation resulting from object tilt about the U-axis would create a substantial contrast variation over the field of view ofthe detector. System 250 can be aligned for minimal lateral and rotational shear by, e.g., confining the optical axes of each segment ofthe reference and measurement legs ofthe system within the same plane. Object defocus does not affect fringe contrast (to a first approximation) for low numerical aperture interferometers. Referring to Fig. 5, a low coherence interferometry system 300 determines a spatial property of an object by illuminating the object at a grazing angle of incidence. System 200 includes a low coherence interferometer having a measurement leg and a reference leg. As discussed below, a pair of optical flats act as a beam splitter and beam combiner. Light traveling along the reference leg travels within a gap between the optical flats. Light traveling along the measurement leg can exit the gap between the optical flats to reflect from the object before reentering the gap. System 200 includes a source 202, which may be broadband and/or extended. Source 202 generates a beam 204. An optic 206 receives beam 204 and outputs a collimated beam 207, which impinges upon a pair of spaced apart optical flats 208,210. Beam 207 is refracted by flat 208 and passes through a gap 233 and impinges upon flat 210 at site 235'. Gap 233 has a different refractive index than either of flats 208,210. hi some embodiments, gap 233 includes a gas, e.g., air, a liquid, e.g., water, or a vacuum. The flats 208,210 can be formed of any suitable optical medium, such as glass or fused silica. A portion 220 of beam 207 is reflected from site 235' by flat 210. A portion 222 of beam 207 is refracted at site 235' by flat 210 and impinges upon object 30 at a grazing angle of incidence α. Beam 222 is reflected by object 30 and impinges upon and is refracted by flat 210. For example, object 30 may be a wafer bearing photoresist to be illuminated by a photolithography system. Beam 222 reflected from object 30 and beam 220 combine at site 235" of flat 210 to form combined beam 224 within gap 233. The combined beam impinges upon and is refracted by flat 208. Imaging optics 226,228 image the combined beams on a detector 230, which can be a two-dimensional imaging detector including a plurality of pixels. Each pixel of detector 230 detects light reflected from a different point of object 30. Hence, different pixels can detect interference signals sensitive to spatial properties of different portions of object 30. System 200 includes a reference leg and a measurement leg. Beam 220 travels a reference optical path between sites 235' and 235". Beam 222 travels a measurement optical path between sites 235' and 235". An OPD difference between the reference and measurement optical paths can be varied in several ways, hi some embodiments, system 200 includes piezoelectric spacers 237 configured to vary a thickness of gap 233. As spacers 237 vary gap 233, detector 230 detects images including a plurality of points of object 30. The OPD can also be scanned by moving object 30 with respect to flats 208,210. In some embodiments, an OPD is achieved by introducing a wedge into at least one of flats 208,210, e.g., flat 208. The upper and lower surfaces of a flat with such a wedge are not parallel. The wedge tilts the wavefront ofthe reference beam 220 with respect to the measurement beam 222. Accordingly, the combined beam 224 forms a spatial interference pattern at detector 230. The spatial interference pattern can be processed to determine a height of surface 38 over a line of points parallel to the X-axis. Thus, in a single detector acquisition, system 200 can provide spatial information about object 30. Additionally, object 30 can be positioned absolutely with respect to system 200 by monitoring a lateral location of peak interference contrast ofthe spatial interference pattern. Whether the OPD is varied by scanning or to form a spatial interference pattern, the combined beam 224 may be detected over an OPD range that is at least as great as a coherence length ofthe detected light. Accordingly, system 200 can detect interference patterns modulated by an envelope indicative ofthe coherence length ofthe light as discussed with respect to interference signal 90. Interference patterns obtained with system 200 can be analyzed as discussed elsewhere herein to determine a spatial property of an object. Optical flats 208,210 have optical and mechanical properties that allow system 200 to image a desired field of view, e.g., 100 mm x 100 mm, of object 30. In some embodiments, the flats are formed of fused silica and are at least 2 mm, at least 5 mm, e.g., at least 10 mm thick. Surfaces of optical flats 208,210 can be modified to determine the amount of light that is reflected or refracted by each flat. For example, portions 230 of optical flats 208,210 can be modified with an anti-reflection coating configured to increase the relative amount of refracted light compared to the amount of reflected light. The coating can be a broadband coating matched to the emission spectrum of source 202. Light incidence upon portions 235 of optical flat 235 is both reflected and refracted. Accordingly, portions 235 may be uncoated or can be coated to obtain a desired ratio of reflection and refraction. A portion 231 of optical flat 208 can have a highly reflective, e.g., metal or dielectric, coating. Grazing incidence interferometers discussed herein can be used to determine an absolute position of an object with respect to the interferometer. The reference and measurement legs ofthe interferometers operate as triangulation sensors. To operate a grazing incidence interferometer as a triangulation sensor, an aperture, e.g., a slit, is positioned in the illumination optics such that the aperture is imaged onto the object surface. Interferometer 101 (Fig. 3) is shown with such an aperture 96 although any interferometer discussed herein may be so modified. The aperture acts as the field stop of a microscope. With reference to Fig. 6a, first and second images 200a,201a ofthe aperture are imaged onto the detector ofthe interferometer. Image 200a is an object image corresponding to light reflected from the object. Image 201a is a reference image corresponding to light passing along the reference path ofthe interferometer. The aperture is small enough that the images 200a,201a occupy only a fraction ofthe field of view ofthe detector. The object is positioned with respect to the interferometer by a staging mechanism, e.g., a translation stage 119 of interferometer 101, that can be accurately displaced along its normal. When images 200a,201a are first recorded, the object is typically not positioned so that the OPD ofthe measurement and reference legs is zero. Accordingly, the images 200a,201a are spaced apart from one another as seen in Fig. 6a. The relative positions ofthe object and the interferometer are modified, as by displacing the object along its normal. The object displacement is known precisely and accurately based movement ofthe translation stage. A second pair of images 200b (a second object image),201b (a second reference image) are then obtained. The detector signal including images 200a,201a (Fig. 6a) and the detector signal including images 200b,201b (Fig. 6b) are processed to coπelate the position of object images 200a,200b in the two detector signals. For example, relative positions of object images 200a,200b can be determined in terms of spatial units at the detector (for example a number of pixels). The object image displacement as a function of object displacement can be determined from the relative positions of images 200a,200b. Once the relationship between the displacement ofthe object image and object displacement is determined, the displacement between object image 200b and reference image 201b is determined. The object can then be translated to the position of zero OPD (at which the object image and reference image overlap) based on the displacement between images 200b,201b and the relationship between object image displacement and object displacement. As a result of these steps, the object can be positioned with respect to the interferometer with an absolute position ofthe object surface known to better than a fraction of a fringe of an interference pattern. The grazing incidence interferometer can be switched back to interferometry mode by removing the slit. The position ofthe object surface can then be refined using interference signals as described herein. The triangulation based on the object and reference images is insensitive to object tilt since the object surface is imaged onto the detector. Referring to Figs. 7a and 7b, an optical system 400 is configured to image light diffusely scattered from an interface between a substrate and a layer overlying the substrate. By imaging the diffusely scattered light, the optical system is sensitive to spatial properties of the interface rather than the outer surface ofthe object. System 400 can be used to position a substrate having a thin film relative to a photolithography system. Optical system 400 includes a structured light projector 408, which projects a pattern 402 of light onto the object, and a telecentric imaging system 406, which images the pattern 402 onto a detector 420. Imaging system 406 detects light from the object arising at an angle that avoids light 407 specularly reflected from interface 36 or 38. For example, system 406 may detect light 409 diffusely scattered generally along an optical axis aligned at an angle α with respect to light 407. The diffuse scattering may arise from patterned features 29 of substrate 32. Such features can be small with respect to a wavelength of light, e.g., 1 μm or less, or 0.5 microns or less. The top surface 39 of layer 34, however, tends to be smooth. Accordingly, the diffuse scattering can be localized with respect to the substrate-layer interface 36. The object top surface 39 and each individual interface, e.g., interface 36, inside the object reflect the illumination light in a specular direction at the angle of incidence, away from the entrance pupil ofthe imaging system. Hence, the diffusely scattered light 409 is the dominate component ofthe detected light and system 350 can provide spatial information indicative of the substrate-layer interface based on the detected diffusely scattered light. In the embodiment shown, structured light projector 404 is a Michelson interferometer including a source 408, which emits a light beam 411, which is collimated by an optic 410, e.g., a lens positioned at its focal length from source 408. In some embodiments, source 408 is broadband and/or spatially extended, such as a light emitting diode. Source 408 may be narrowband or, as discussed below, switchable between narrow and broadband. A beam splitter 415 splits light beam 411 into first and second portions, which respectively reflect from minors 412 and 414. Beam splitter 415 recombines at least some light of he first and second portions to fonn a combined beam 417. A telecentiic optical relay 416 relays the combined beam 417 to the object at a grazing angle of incidence α. The first and second portions ofthe combined beam 417 impinge on the object with an OPD that differs across the object (Fig. 1 lb). Hence, the first and second portions ofthe combined beam interfere at the wafer surface 36 forming interference fringes, i.e., pattern 402. Interference fringes can be observed even if source 408 is broadband and/or spatially extended because the first and second portions ofthe combined beam 417 can have identical path lengths between beam splitter 415 and the object. Because the source can exhibit some level of incoherence, pattern 402 and the detected image avoid coherent artifacts (speckles). Although structured light projector 404 foπns a pattern based on interference fringes, the projector may form a pattern by projecting an image without relying upon interference to form features ofthe pattern. Returning to Figs. 7a and 7b, the fringes formed by patterned light projector extend parallel to the x-axis and are spaced apart along the y-axis ofthe object. Diffusely scattered light 409 from the fringes is imaged on detector 420, which may be a two-dimensional detector such as a CCD. Several approaches may be used to determine a spatial property of the object based on the detected fringes. In a spatial carrier approach, the detector is typically configured to detect a plurality of parallel fringes, e.g., the fringes 402 are projected across the entire field of view ofthe detector. Height variations (such as steps or surface discontinuities ofthe substrate) will shift the phase ofthe fringes. With reference to Fig. 7b, a spacing Δs between the fringes is a function ofthe wavelength ofthe light of beam 417 and the angle of incidence α. Even if the object and the beam 417 are fixed relative to one another, the angle of incidence α will vary as a function ofthe surface topography. In particular, the fringe spacing Δs will decrease or increase for portions of interface 36 that are respectively tilted toward or away from beam 417 so as to decrease or increase the angle α. Hence, spatial properties ofthe object can be determined from even a single image ofthe pattern 402. hi the spatial-carrier embodiments, one or more detector images of pattern 402 are obtained. A spatial property ofthe detected portions ofthe surface, e.g., the height of one or more points ofthe substrate, is determined based upon the fringes 402, e.g., based upon spacing Δs. The detected image can be analyzed using, e.g., FDA via fransformation ofthe image or directly from the image itself. For example, the spacing Δs can be determined directly from the detected fringes and related to the topography ofthe object. In a phase shifting approach, multiple detector exposures ofthe pattern are recorded while the pattern 402 is shifted across the object. The pattern can be shifted by, e.g., modifying an optical path length of one ofthe first and second portions ofthe combined beam. For example, one ofthe minors 412,414 can be translated or tilted using a piezoelectric transducer. The phase of light detected from each of many points ofthe substrate is indicative ofthe topography ofthe substrate. Exemplary approaches suitable for determining a spatial property of interface 36 based on the projected pattern 402 are described in "Interferogram analysis: digital fringe pattern measurement techniques," D. Robinson, G. Reid Eds., IOP Publishing, 1993, the contents of which are incorporated herein by reference. In some embodiments, device 350 is operated with a source that is broadband and/or spatially extended. In this case, a temporal and spatial coherence envelope modulates the amplitude ofthe fringes of pattern 402. The envelope modulates the fringes typically even within the field of view of detector 406. Accordingly, detector 420 images a plurality of parallel fringes, each extending parallel to the x-axis and each having a different intensity than the adjacent fringes. The maximum ofthe envelope corresponds with the location of zero OPD between the first and second portions ofthe combined beam. The position ofthe maximum ofthe envelope relative to the detected fringes is indicative ofthe absolute position and orientation ofthe object. Accordingly, the maximum o the envelope can be used to absolutely localize the object with respect to system 350. In some embodiments system 400 includes a reference surface 427, which can be located adjacent object 30 and at approximately the same height as surface 35 or surface 39. The object 30 and reference surface 427 may be fixed with a translation stage 119. The reference surface may be configured to diffusely scatter light. For example, the reference surface may be an etched or frosted glass surface, i use with the reference surface, system 350 is typically operated with a broad band source to provide a modulating envelope. The reference surface 427 is positioned so that the pattern is projected onto the reference surface and imaged by the detector. The stage 119 (and thus reference surface 427) are positioned so that the envelope maximum has a predetermined relationship with the observed fringe pattern, e.g., centrally located. Then, the object 30 is translated into the field of view ofthe detector. A change in the position ofthe envelope maximum relative to the remainder ofthe fringes is indicative of a height difference between the reference and object 30. The stage can be moved so that the envelope maximum is once again in the predetermined relationship with the observed fringe pattern. Thus, the object can be positioned absolutely with respect to a reference surface. h some embodiments, system 400 can be switched between a spectrally broadband and nanowband source. The broadband source operates as discussed above creating an amplitude modulated interference pattern that varies even within the field of view ofthe detector. The object is positioned based upon the location ofthe maximum ofthe interference pattern so that the position of zero OPD coincides with a predetermined portion ofthe object. Hence, the object can be absolutely positioned with respect to system 400. Once the object is so positioned, the system 400 switches to a nanowband source having a coherence length sufficiently long that the fringes are essentially unmodulated within the field of view ofthe detector. The properties ofthe fringes are analyzed to determine a spatial property ofthe object. The system can use a spectral filter to switch the beam 411 between nanowband and broadband light. Referring to Figs. 8 a and 8b, an interferometry system 50 can obtain low coherence interference signals from object 30 and other objects, such as objects lacking any overlying layer or objects including a plurality of such layers. System 50 illuminates a plurality of points of an object with an illumination stripe extending in a first dimension and detects an interference pattern resulting from each point. The interference patterns extend along a first dimension of a detector and are spaced apart along a second dimension ofthe detector. System 50 can, therefore, obtain interference patterns without moving any element that modifies an optical path length difference. Although not necessarily operated in grazing incidence mode, system 50 rapidly obtain interference signals from a plurality of object points for an object being subjected to other processing steps. A light source, e.g., a light source 52 emits a beam 54 of light elongated in a direction parallel to the X-axis. Source 52 may be a broadband source having a full width at half maximum (FWHM) bandwidth that is at least at least 5%, at least 10%, at least 15%, or at least 20% of a nominal wavelength ofthe source. In some embodiments, source 52 has a nominal wavelength of between about 300 nm and about 1000 nm, e.g., between about 500 and about 600 nm. Beam 54 is received by a cylindrical lens LI, which has a major longitudinal axis parallel both to the X-axis and beam 54 and a minor longitudinal axis parallel to the Y-axis. Lens LI collimates beam 54 in the Y-Z plane and transmits a collimated beam 55 to a beam splitter Bl, which reflects a first portion ofthe collimated beam 55 to a cylindrical lens L2 and transmits a second portion ofthe collimated beam 55 to a cylindrical lens L3. Beam splitter Bl is typically non-polarizing. Lens L2 has a major longitudinal axis parallel to the X-axis and a minor longitudinal axis parallel to the Z-axis. Lens L3 has a major longitudinal axis parallel to the X-axis and a minor longitudinal axis parallel to the Y-axis. With reference to Fig. 4, lens L2 focuses the reflected portion ofthe collimated beam received from beam splitter Bl onto the object 30 to an elongated object focus, e.g., an illumination line 67, parallel to the X-axis. A ratio of a dimension of illumination line 67 taken along a major axis thereof (e.g., parallel to the X-axis) to a dimension perpendicular to the major axis thereof (e.g., parallel to the Y-axis) can be at least 5, at least 10, at least 25, at least 50, e.g., at least 100. Such dimensions of illumination line 67 may be determined &om locations corcesponding to 25% ofthe maximum illumination intensity. Illumination line 67 may have a generally uniform or slowly varying intensity along its length and irradiates a plurality of points of object 30. The points may be considered as being spaced apart along an illumination dimension ofthe object 30, e.g., the X-axis. With reference to Fig. 3a, light reflected by object 30, e.g., light reflected from interface 36 and/or surface 39, is received by lens L2, which collimates the reflected light in the Y-Z plane and transmits the collimated light to beam splitter Bl, which transmits a portion ofthe light to a beam splitter B2, which is typically non-polarizing. Light that passes from beam splitter Bl, reflects from object 30, and passes to beam splitter B2 travels a measurement optical path. The second portion ofthe collimated beam transmitted by Bl is received by lens L3, which transmits a converging beam to a 90° roof minor 62. The converging beam comes to a focus fl, which is typically elongated and extends parallel to the X-axis. The roof minor 62 transmits a diverging beam to a cylindrical lens L4, which has a major longitudinal axis aligned with the X-axis and a minor longitudinal axis aligned with the Y-axis. Lens L4 transmits a beam collimated in the Y-Z plane to beam splitter B2. Lenses L2, L3, and L4 may have identical optical properties, e.g., focal lengths. Lenses and other optics of system 50 may be achromatic. Light passing from beam splitter Bl and to beam splitter B2 via roof minor 62 travels a reference optical path. The measurement optical path defines a measurement leg of an interferometer 89 of system 50. The reference optical path defines a reference leg ofthe interferometer 89 of system 50. Beam splitter B2 combines light from the measurement and reference legs of interferometer 89 and transmits a combined beam 59. The contributions to combined beam 59 from both the measurement and reference legs are collimated in the Y-Z plane. Beam 59 may be diverging in the X-Z plane. A cylindrical lens L5 receives the combined beam 59 and focuses the light on a detector, which is typically a two dimensional detector 71 including a plurality of pixels 73, ananged in rows extending along the Y-axis and columns extending along the X-axis. Different columns have a different Y-coordinate. Different rows have a different X-coordinate. Detector 71 may be a charge coupled device (CCD) or other imaging detector. Lens L5 has a major longitudinal axis aligned with the Y-axis and a minor longitudinal axis aligned with the Z-axis. Accordingly, lens L5 has substantially more focusing power in the X-Z plane than in the Y-Z plane, e.g., lens L5 may have essentially no focusing power in the Y-Z plane. With reference to Fig. 4, interferometer 50 images light from the measurement and reference legs onto detector 71. Light reflected from each illuminated point of object 30 is imaged as an elongated focus, e.g., a detection line. For example, light from an illuminated point 81a is imaged to a coπesponding elongated focus 81b along a pixel row 83 of detector 71 and light from an illuminated point 85a is imaged to a coπesponding elongated focus 85b along a pixel row 87 of detector 71. Light from focus fl ofthe reference leg is imaged as a reference focus 99, which overlaps elongated foci conesponding to the illuminated points of object 0. A ratio of a dimension of each elongated focus 81b,85b taken along a major axis thereof (e.g., parallel to the Y-axis) to a dimension perpendicular to the major axis thereof (e.g., parallel to the X-axis) can be at least 5, at least 10, at least 25, at least 50, e.g., at least 100. As seen in Fig. 4, elongated foci conesponding to points spaced apart along the illumination dimension of object 30 are spaced apart along a first detection dimension of detector 71, e.g., elongated foci 81b,85b conesponding to spaced apart points 81a,85a are imaged into different rows of pixels 73 and are spaced apart along the X-axis of detector 71. The major axis of each elongated focus extends along a second detection dimension generally perpendicular to the first detection dimension, e.g., elongated foci 81b,85b extend across a plurality of columns of pixels 73 and extend along the Y-axis of detector 71. Accordingly, interferometer 50 can image light reflected from a plurality of points extending along an illumination dimension of an object as a two-dimensional image. Illuminated points spaced apart along the illumination dimension are imaged as elongated foci spaced apart along a first dimension ofthe image and extending along the second dimension ofthe image. Returning to Fig. 3 a, the reference and measurement legs of interferometer 89 may be configured to have a nominally equal path length when lenses L1-L5 are centered with respect to the optical paths of interferometer 89. In the equal path length state, an OPD between elongated foci 81b,85b and reference focus 99 may be constant along the rows of detector 71 (Fig. 4). An optical medium having a refractive index greater than 1, e.g., a silica or glass plate 97, can be positioned along the reference optical path between beam splitters B1,B2 and the lenses L3, L4 in order to match the optical path in such media ofthe two interferometer legs. In some embodiments, an OPD between the measurement and reference legs of interferometer 98 is introduced by translating lens L4 by an amount Δd perpendicular to the reference optical path, e.g., by translating lens L4 parallel to the Z-axis (Fig. 4). The translation of an optic, e.g., lens L4, perpendicular to the reference optical path causes the beam passing along the reference leg to deviate, e.g., tilt, by an angle θ with respect to the beam passing along the reference leg in the absence of such a translation, e.g., the reference beam may deviate with respect to a true reference optical path. The deviation ofthe angle θ may be contained in a single plane, e.g., the X-Y plane. The angular deviation ofthe reference beam creates an OPD variation between each elongated focus 81b,85b and reference focus 99. In particular, the OPD between the measurement and reference optical paths varies along the major axis of each elongated focus on the detector, e.g., along foci 81b,85b. For example, an OPD between the measurement and reference optical paths for light imaged to a column 91 of detector 71 is different from an OPD for light imaged to a column 93 (Fig. 4). Hence, in the embodiment shown, the OPD between the measurement and reference optical paths varies as a function ofthe Y-coordinate of detector 71. In some embodiments, the OPD is a linear function ofthe Y coordinate, e.g., the OPD is a linear function ofthe column of detector 71 at which the light is imaged. For example, with reference to Fig. 4, the OPD varies, e.g., linearly, along the rows of detector 71 and, therefore, along the major dimension ofthe elongated foci 81b,85b. Because the major axis ofthe elongated focus conesponding to each illuminated points of object 30 extends generally across the rows of detector 71, each row of pixels records an interference signal, which includes one or more interference patterns. Typically, each interference pattern of an interference signal results from a particular interface ofthe object. The detector pixel in each column along a given row conesponds to a different OPD. Hence, one detector dimension conesponds to a line of object positions, while the other dimension provides multiple phase-shifted samples ofthe interference pattern generated by each object point. Scanning the object in the Y-direction allows sequentially profiling the entire object surface. Returning to Fig. 2, interference signal 90 is exemplary ofthe intensity variation of light detected by pixels along rows of detector 71 , e.g., along detector row 83 for point 81 a of object 30. The OPD difference of light detected along a row can vary by an amount at least as large as the coherence length ofthe detected light. For example, as shown, the range of optical path differences of light detected along the pixels of one or more rows can be larger than the full width ofthe envelope modulating each of one or more detected interference patterns. Because ofthe low-coherence nature ofthe interference signal, a position and/or height of point 81a can be established without ambiguity with respect to the system 50. Because interference signals obtained with system 50 are spread out spatially for different object points, a single exposure ofthe detector allows profiling an entire line of points at the object surface. Once object 30 has been brought into an initial focus with respect to illumination line 67, an interference pattern for each of a plurality of points spaced apart along a first dimension of object 30 can be acquired without moving any portion of system 50. Accordingly, in some embodiments, interferometer 89 does not have moving parts or does not move any parts during the acquisition of interference patterns from each of a plurality of spaced apart object points and can be manufactured as a rigid or fixed assembly. The range of illumination angles at the object 30 can be made arbitrarily small by increasing the focal length ofthe optics of interferometer 89. Accordingly, variations in the optical properties ofthe object surface that are related to angle of incidence are effectively reduced or eliminated. Each detected interference pattern is equivalent to the signal that would be detected at a single detector pixel if the optical path in the test or reference leg were scanned continuously. As discussed above, the OPD between the measurement and reference beams may be obtained by decentering an optic, e.g., lens L4 with respect to an optical path ofthe reference beam. In some embodiments, obtaining an optical path difference includes adjusting roof minor 62 to introduce an angular deviation to the reference beam. In some embodiments, obtaining an OPD includes rotating or tilting beam splitter B2 about the X-axis. Refening to Figs. 5a and 5b, system 50 is, in some embodiments, configured to reduce or eliminate a wavefront inversion ofthe reference beam with respect to the measurement beam. Wavefront inversion can be reduced or eliminated by having the same number of reflections in each leg ofthe interferometer or an even multiple thereof. As seen in Fig. 5a, wavefront inversion introduced by roof prism 62 is evidenced by the inversion of beams 77a,77b upon reflection. As seen in Fig. 5b, a three minor reflector 62b does not introduce wavefront inversion as evidenced by the paths of beams 77c,77d. Reducing wavefront inversion can ' enhance accuracy of interferometer 89. Refening back to Fig. 3a, source 52 may include a slit 57 having a major dimension extending generally parallel to the X-axis. The projected width ofthe slit 57 in the Y- direction defines the lateral resolution of system 50 in the Y-direction while the numerical aperture of lens L5 in the X-dimension defines lateral resolution in the X-direction. Slit 57 can be defined by, for example, mechanical aperture or a linear fiber anay. hi some embodiments, source 52 includes a spatial filter to limit the divergence ofthe illumination beam in the XZ plane. An exemplary spatial filter includes a slit 61 having a major dimension extending generally parallel to the Z-axis and telecentiic lenses 80a,80b, which image a Lambertian emitter 70 onto slit 57. Although illumination line 67 typically has a uniform intensity, in some embodiments, the line may be non-uniform. For example, light source 52 may include the ends of a plurality of optical fibers ananged in an elongated anay, e.g., slit. Lenses L1,L2 may image light emitted from the elongated array to illuminate object 30 with light having a non-uniform intensity.
Processors Any ofthe computer analysis methods described above can be implemented in hardware or software, or a combination of both. The methods can be implemented in computer programs using standard programming techniques following the method and figures described herein. Program code is applied to input data to perform the functions described herein and generate output information. The output information is apphed to one or more output devices such as a display monitor. Each program may be implemented in a high level procedural or object oriented programming language to communicate with a computer system. However, the programs can be implemented in assembly or machine language, if desired, hi any case, the language can be a compiled or interpreted language. Moreover, the program can run on dedicated integrated circuits preprogrammed for that purpose. Each such computer program is preferably stored on a storage medium or device (e.g., ROM or magnetic diskette) readable by a general or special purpose programmable computer, for configuring and operating the computer when the storage media or device is read by the computer to perform the procedures described herein. The computer program can also reside in cache or main memory during program execution. The analysis method can also be implemented as a computer-readable storage medium, configured with a computer program, where the storage medium so configured causes a computer to operate in a specific and predefined manner to perform the functions described herein. Exemplary Applications The low coherence interferometry methods and systems described above may used for any ofthe following surface analysis problems: simple thin films; multilayer thin films; sharp edges and surface features that diffract or otherwise generate complex interference effects; unresolved surface roughness; unresolved surface features, for example, a sub- wavelength width groove on an otherwise smooth surface; dissimilar materials; polarization- dependent properties ofthe surface; and deflections, vibrations or motions ofthe surface or deformable surface features that result in incident-angle dependent perturbations ofthe interference phenomenon. For the case of thin films, the variable parameter of interest may be the film thickness, the refractive index ofthe film, the refractive index ofthe substrate, or some combination thereof. For the case of dissimilar materials, for example, the surface may comprise a combination of thin film and a solid metal, and a fit ofthe angle-dependent surface properties would be made to a library of theoretical predictions which would include both surface structure types to automatically identify the film or the solid metal by a match to the conesponding interference intensity signal. Exemplary applications including objects and devices exhibit such features are discussed next.
Photolithography hi many microelectronics applications, photolithography is used to pattern a layer of photoresist overlying a substrate, e.g., a silicon wafer. In terms of object 30, substrate 32 may conespond to a wafer and layer 34 with a thin layer of photoresist. The interface 38 conesponds with the upper surface ofthe photoresist and interface 36 conesponds with the wafer-photoresist interface. Surface 35 ofthe substrate may have a plurality of patterned features of varying topography and/or composition that underlie the photoresist. Accordingly, the object may exhibit a plurality of interfaces underlying the photoresist outer surface. A photolithography apparatus images a pattern onto the object. For example, the pattern may conespond with elements of an electronic circuit (or the negative ofthe circuit). After imaging, portions ofthe photoresist are removed revealing the substrate underlying the removed photoresist. The revealed substrate can be etched, covered with deposited material, or otherwise modified. Remaining photoresist protects other portions ofthe substrate from such modification. To increase manufacturing efficiencies, more than one device is sometimes prepared from a single wafer. The devices may be the same or different. Each device requires that a subset ofthe wafer be imaged with a pattern. In some cases, the pattern is sequentially imaged onto different subsets. Sequential imaging can be performed for several reasons.
Optical abenations can prevent achievmg adequate pattern focus quality over larger areas of the wafer. Even in the absence of optical abenations, the spatial properties ofthe wafer and photoresist may also prevent achieving adequate pattern focus over large areas ofthe wafer. Aspects ofthe relationship between the spatial properties ofthe wafer/resist and focus quality are discussed next. Referring to back to Fig. lb, object 30 is shown with a number N subsets 40;, each smaller than a total area 41 the object to be imaged. Within each subset 40j, spatial property variations, e.g., height and slope variations ofthe wafer or photoresist, are typically smaller than when taken over the total area 41. Nonetheless, the wafer or photoresist of different subsets 40; typically have different heights and slopes. For example, layer 34 exhibits thicknesses Δti and Δt , which vary the height and slope of surface 39 (Fig. la). Thus, each subset ofthe object may have a different spatial relationship with the photolithography imager. The quality of focus is related to the spatial relationship, e.g., the distance between the object and the photolithography imager. Bringing different subsets ofthe object into proper focus may require relative repositioning ofthe object and imager. Because of the object height and slope variations, proper subset focus cannot be achieved solely by deteπnining the position and orientation ofthe object with respect to a portion ofthe object that is remote to the imaged subset, e.g., a side 43 ofthe object. Proper focus can be achieved by determining a spatial property of an object within a subset ofthe object to be imaged (or otherwise processed). Once the position ofthe subset has been determined, the object (and/or a portion ofthe photolithography imager) can be moved, e.g., translated, rotated, and/or tilted, to modify the position ofthe subset with respect to a reference, e.g., a portion ofthe photolithography imager. The determination and movement (if necessary) can be repeated for each subset to be imaged. The determination ofthe spatial property ofthe subset can include detennining a position and/or height of one or more points of an outer surface of a thin layer ofthe object, the one or more points lying within the subset ofthe object to be imaged. For example, the position and orientation ofthe outer surface 39 of subset 402 (Fig. la) can be determined based upon the positions of points 42]-423 within the subset. The determination ofthe spatial property ofthe subset to be imaged can include using an interferometer to illuminate the subset with light and detecting an interference signal including light reflected from the illuminated subset, i some embodiments, a plurality of subsets are simultaneously imaged with light to obtain a plurality of interference signals. Each interference signal is indicative of one or more spatial properties of a subset. Thus, the interference signals can be used to prepare an image indicative ofthe topography ofthe object over a plurality ofthe subsets. During photolithography ofthe subsets, the wafer is positioned based upon the topography of the individual subsets as determined from the plurality of interference signals. Hence, each subset can be positioned for optimum focus with respect to the photolithography apparatus. Detecting an interference signal from each subset of an object to be imaged can include detecting light reflected from the subset and reference light over an OPD range that is at least as large as a coherence length ofthe detected light. For example, the light may be detected at least over its coherence length, hi some embodiments, the interferometer is configured so that the light reflected from the illuminated subset is dominated by light reflected from either an outer interface (such as outer surface 39) or an inner interface (such as interface 36). hi some embodiments, a spatial property of an object is determined based on only a portion ofthe interference signal. For example, if the interference signal includes two or more overlapping interference patterns, a spatial property ofthe object can be determined based upon a portion of one ofthe interference patterns that is dominated by contributions from a single interface ofthe object. Copper Interconnect Structures And Chemical Mechanical Polishing It is becoming common among chip makers to use the so-called 'dual damascene copper' process to fabricate electrical interconnects between different parts of a chip. This is an example of a process which may be effectively characterized using a suitable surface topography system. The dual damascene process maybe considered to have six parts: (1) an interlayer dielectric (ILD) deposition, in which a layer of dielectric material (such as a polymer, or glass) is deposited onto the surface of a wafer (containing a plurality of individual chips); (2) chemical mechanical polishing (CMP), in which the dielectric layer is polished so as to create a smooth surface, suitable for precision optical lithography, (3) a combination of lithographic patterning and reactive ion etching steps, in which a complex network is created comprising narrow trenches running parallel to the'wafer surface and small vias running from the bottom ofthe trenches to a lower (previously defined) electrically conducting layer, (4) a combination of metal deposition steps which result in the deposition of copper trenches and vias, (5) a dielectric deposition step in which a dielectric is applied over the copper trenches and vias, and (6) a final CMP step in which the excess copper is removed, leaving a network of copper filled trenches (and possibly vias) surrounded by dielectric material. Refening to Fig. 12a, a device 500 is exemplary ofthe a film structure resulting from the deposition of a dielectric 504 over copper features 502 deposited on a substrate 501. The dielectric 504 has a non-uniform outer surface 506 exhibiting height variations therealong. Interference signals obtained from device 500 can include interference patterns resulting from surface 506, an interface 508 between copper features 502 and dielectric 504, and an interface 510 between substrate 501 and dielectric 504. The device 500 may include a plurality of other features that also generate interference patterns. Refening to Fig. 12b, a device 500' illustrates the state of device 500 after the final CMP step. The upper surface 506 has been planarized to a surface 506', and interface 508 may now be exposed to the suπoundings. Interface 510 at the substrate surface remains intact. Device performance and uniformity depends critically on monitoring the planarization of surface 504. It is important to appreciate that the polishing rate, and therefore the remaining copper (and dielectric) thickness after polishing, depends strongly and in a complex manner on the polishing conditions (such as the pad pressure and polishing slrary composition), as well as on the local detailed aπangement (i.e., orientation, proximity and shape) of copper and sunounding dielectric regions. Hence, portions of surface 506 over copper elements 502 may etch at different rates than other portions of surface 506. Additionally, once interface 508 of copper elements 502 is exposed, the dielectric and copper elements may exhibit different etch rates. This 'position dependent polishing rate' is known to give rise to variable surface topography on many lateral length scales. For example, it may mean that chips located closer to the edge of a wafer on aggregate are polished more rapidly than those located close to the center, creating copper regions which are thinner than desired near the edges, and thicker than desired at the center. This is an example of a 'wafer scale' process nonuniformity - i.e., one occurring on length scale comparable to the wafer diameter. It is also known that regions which have a high density of copper trenches polish at a higher rate than nearby regions with low copper line densities. This leads to a phenomenon lαiown as 'CMP induced erosion' in the high copper density regions. This is an example of a 'chip scale' process non- uniformity - i.e., one occuning on a length scale comparable to (and sometimes much less than) the linear dimensions of a single chip. Another type of chip scale nonuniformity, known as 'dishing', occurs within single copper filled trench regions (which tend to polish at a higher rate than the sunounding dielectric material). For trenches greater than a few microns in width dishing may become severe with the result that affected lines later exhibit excessive electrical resistance, leading to a chip failure. CMP induced wafer and chip scale process nonuniformiti.es are inherently difficult to predict, and they are subject to change over time as conditions within the CMP processing system evolve. To effectively monitor, and suitably adjust the process conditions for the purpose of ensuring that any nonuniformities remain within acceptable limits, it is important for process engineers to make frequent non-contact surface topography measurements on chips at a large number and wide variety of locations. This is possible using embodiments of the interferometry methods and systems described above. hi some embodiments one or more spatial properties, e.g., the topography of surface 506 and/or the thickness of dielectric 504, are monitored by obtaining low coherence interference signals from the structure before and/or during CMP. Based on the spatial properties, the polishing conditions can be changed to achieve the desired planar surface 506' . For example, the pad pressure, pad pressure distribution, polishing agent characteristics, solvent composition and flow, and other conditions can be determined based on the spatial properties. After some period of polishing, the spatial property can again be determined and the polishing conditions changed as needed. The topography and/or thickness is also indicative ofthe end-point at which, e.g., surface 504' is achieved. Thus, the low coherence interference signals can be used to avoid depressions caused by over polishing different regions ofthe object. The low coherence interference methods and systems are advantageous in this respect because spatial properties ofthe device, e.g., the relative heights ofthe surface ofthe dielectric (a) over copper elements 502 and (b) over substrate surface 510 but adjacent copper elements 502 can be determined even in the presence ofthe multiple interfaces.
Solder Bump Processing Refening to Figs. 13a and 13b, a structure 550 is exemplary of a structure produced during solder bump processing. Structure 550 includes a substrate 551, regions 502 non- wettable by solder, and a region 503 wettable by solder. Regions 502 have an outer surface 507. Region 503 has an outer surface 509. Accordingly, an interface 505 is formed between regions 502 and substrate 501. During processing a mass of solder 504 is positioned in contact with wettable region 503. Upon flowing the solder, the solder forms a secure contact with the wettable region 503. Adjacent non-wettable regions 502 act like a dam preventing the flowed solder from undesirable migration about the structure. It is desirable to know spatial properties ofthe structure including the relative heights of surfaces 507, 509 and the dimensions of solder 504 relative to surface 502. As can be determined from other discussions herein, structure 550 includes a plurality of interfaces that may each result in an interference pattern. Overlap between the interference patterns prevents accurate determinate ofthe spatial properties using known interference techniques. Application ofthe systems and methods discussed herein allow the spatial properties to be determined. Spatial properties determined from stracture 550 can be used to change manufacturing conditions, such as deposition times for layers 502,503 and the amount of solder 504 used per area of region 503. Additionally, heating conditions used to flow the solder can also be changed based on the spatial properties to achieve adequate flow and or prevent migration ofthe solder. ,
Liquid Crystal Displays Refening to Fig. 14, a passive matrix LCD 450 is composed of several layers. The main parts are two glass plates 452,453 connected by seals 454. A polarizer 456 is applied to the front glass plate 453 in order to polarize incoming light in a single direction. The polarized light passes through the front glass plate 453. An Indium Tin Oxide (ITO) layer 458 is used as an electrode. A passivation layer 460, sometimes called hard coat layer, based on SiOx is coated over the ITO 458 to electrically insulate the surface. Polyimide 462 is printed over the passivation layer 460 to align the liquid crystal fluid 464. The liquid crystal fluid is sensitive to electric fields and changes orientation when an electric field is applied. The liquid crystal is also optically active and rotates the polarization direction ofthe incoming light. The cell gap Δg, i.e., thickness ofthe liquid crystal layer 464, is determined by spacers 466, which keep the two glass plates 452,453 at a fixed distance. When there is no electric potential from the front plate 453 to the rear plate 452, the polarized light is rotated 90° as it passes through the liquid crystal layer 464. When an electric potential is applied from one plate to the other plate the light is not rotated. After the light has passed through the liquid crystal layer 464, it passes through another polyimide layer 468, another hard coat layer 470, a rear ITO electrode 472, and the rear glass plate 452. Upon reaching a rear polarizer 474, the light either transmitted through or absorbed, depending on whether or not it has been rotated 90°. The cell 450 may include filters 476 or other colorizing elements to provide a color display. The cell gap Δg determines to a great extent the optoelectrical properties pf the LCD, e.g., the contrast ratio and brightness. Cell gap control during manufacturing is critical to obtaining uniform, quality displays. The actual cell gap may differ from the dimensions of spacers 466 because, during assembly, pressure or vacuum is applied to introduce the liquid crystal medium, seals 454 cure and may change dimensions, and the added liquid crystal medium generates capillary forces between plates 452,453. Both before and after adding the liquid crystal medium 464, surfaces 480,482 of plates 452,453 reflect light that results in an interference pattern indicative ofthe cell gap Δg. The low coherence nature ofthe interference signal either itself or in combination with the described interference signal processing techniques can be used to monitor properties ofthe cell including the cell gap Δg during manufacture even in the presence of interfaces formed by other layers ofthe cell. An exemplary method can include obtaining a low coherence interference signal including interference patterns indicative ofthe cell gap Δg prior to adding layer 464. The cell gap (or other spatial property ofthe cell) is determined from the interference patterns and can be compared to a specified value. Manufacturing conditions, e.g., a pressure or vacuum applied to plates 452,453 can be changed to modify the cell gap Δg if a difference between the specified value and the determined cell gap exceeds tolerances. This process can be repeated until achieving the desired cell gap. Liquid crystal medium is then introduced into the cell. The amount of liquid crystal medium to be added can be determined from the measured spatial property ofthe cell. This can avoid over- or underfilling the cell. The filling process can also be monitored by observing interference signals from the surfaces 480,482. Once the cell has been filed, additional low coherence interference patterns are obtained to monitor the cell gap Δg (or other spatial property). Again, the manufacturing conditions can be changed so that the cell gap is maintained or brought within tolerances.
Laser Scribing and Cutting Lasers can be used to scribe objects in preparation for separating different, concurrently manufactured structures, e.g., microelectronics structures. The quality of separation is related to the scribing conditions, e.g., laser focus size, laser power, translation rate of the object, and scribe depth. Because the density of features of the structure may be large, the scribe lines may be adjacent thin film or layers ofthe structures. Interfaces associated with the thin film or layers may create interference patterns that appear when interferometry is used to determine the scribe depth. The methods and systems described herein can be used to determine the scribe depth even in the presence of such adjacent films or layers. An exemplary method can include scribing one or more electronic structures and separating the structures along the scribe lines. Before and/or after separation, low coherence interference signals can be used to determine the depth of scribe. Other scribing conditions are known, e.g., laser spot size, laser power, translation rate. The scribe depth can be determined from the interference signals. The quality of separation as a function ofthe scribing conditions, including the scribe depth, can be determined by evaluating the separated structures. Based on such determinations, the scribing conditions necessary to achieve a desired separation quality can be determined. During continued manufacturing, low coherence interference signals can be obtained from scribed regions to monitor the process. Scribing conditions can be changed to maintain or bring the scribe properties within tolerances. Other embodiments are within the scope ofthe claims.

Claims

CLAIMS What is claimed is:
1. A method, comprising: projecting a first pattern of light on an object comprising a substrate and an overlying thin film; imaging light ofthe first projected pattern that is diffusely scattered by the substrate; and determining a spatial property ofthe object based on the diffusely scattered light.
2. The method of claim 1, wherein the spatial property ofthe object is a position or topography ofthe substrate.
3. The method of claim 1, wherein the overlying thin film is photoresist and determining a spatial property comprises determining a position of a portion ofthe object relative to a photolithography system.
4. The method of claim 3, wherein the portion ofthe object is an interface between the substrate and the overlying photoresist.
5. The method of claim 3, wherein the first pattern of light comprises first and second portions of light form a light source and the first pattern of light is an interference pattern.
6. The method of claim 5, wherein the interference pattern comprises a plurality of fringes modulated by an envelope and the determining a spatial property ofthe object comprises determining a position of a portion ofthe envelope relative to the fringes.
7. The method of claim 6, comprising moving the object based on the position of the portion ofthe envelope.
8. The method of claim 6, comprising: projecting a reference pattern of light on a reference surface; detecting light ofthe reference pattern projected onto the reference surface; and the determining a spatial property ofthe object comprises determining a relative spatial property ofthe object and the reference surface based on the detected light of the reference pattern.
9. The method of claim 8 comprising moving the object based on the relative spatial property.
10. The method of claim 6, further comprising: modifying a property ofthe light source to project a second interference pattern comprising a plurality of fringes having a substantially similar amplitude onto the object; and imaging light ofthe second interference pattern that is diffusely scattered by the substrate; and determining a second spatial property ofthe object based on the diffusely scattered light from the second interference pattern.
11. The method of claim 10, wherein the second spatial property is a topography of a portion ofthe object.
12. The method of claim 10, wherein the second spatial property is indicative of an absolute position ofthe object.
13. The method of claim 11 , comprising performing at least the modifying before proj ecting the first pattern of light.
14. An apparatus comprising: a photolithography system configured to illuminate a portion of an object with an first light pattern, the photolithography system comprising a reference surface, the object comprising a substrate and an overlying thin film; a positioner to change a relative position between the photolithography system and the object; a light projector configured to project a second light pattern on the overlying thin film ofthe object; an optical system to image light ofthe second light pattern that is diffusely scattered by the substrate; a processor configured to: determine a spatial property ofthe object based on the diffusely scattered light; and operate the positioner change the relative position between the photolithography system and the object.
15. An optical system, comprising: a photolithography system configured to illuminate a portion of an object with a light pattern, the photolithography system comprising a reference surface; a low coherence interferometer having a reference optical path and a measurement optical path, light that passes along the reference optical path reflecting at least once from the reference surface and light that passes along the measurement optical path reflecting at least once from the object; and a detector configured to detect a low coherence interference signal comprising light that has passed along the reference optical path and light that has passed along the measurement optical path, the low coherence interference signal being indicative of a spatial relationship between the reference surface and the object.
16. The optical system of claim 15, wherein the photolithography system includes an illumination optic having an illumination optic surface, light ofthe light pattern traveling along an optical path that includes the illumination optic surface, wherein the illumination optic surface and the reference surface are at least partially coextensive.
17. The optical system of claim 15 , wherein the light that passes along the measurement optical path reflects at least once from the portion ofthe object to be illuminated by the photolithography system.
18. The optical system of claim 15 , wherein the light of the low coherence interference signal that has passed along the reference optical path and the light ofthe low coherence interference signal that has passed along the measurement optical path have a range of optical path length differences, the range being at least 20% of a coherence length ofthe low coherence interferometer.
19. The optical system of claim 18, wherein the range is at least as great as the coherence length of the low coherence interferometer.
20. The optical system of claim 15, wherein the detector comprises a plurality of detector elements each configured to detect a respective low coherence interference signal, each low coherence interference signal comprising light that has passed along a respective different portion ofthe reference optical path and light that has passed along a respective different portion of the measurement optical path, each low coherence interference signal being indicative of a spatial relationship between a different point ofthe object and the reference surface.
21. The optical system of claim 20, comprising: a processor configured to: determine the spatial relationship between each ofthe different points ofthe object and the reference surface based on at least a respective one ofthe low coherence interference signals.
22. The optical system of claim 21, comprising a translation stage for manipulating a relative position and orientation between the object and the photolithography system and wherein the processor is configured to: modify a relative position ofthe object and the photolithography system based on the spatial relationships.
23. The optical system of claim 20, wherein the light of each low coherence interference signal that has passed along the respective different portion ofthe reference optical path and the light ofthe low coherence interference signal that has passed along the respective different portion ofthe measurement optical path have a range of optical path length differences, the range being at least 20% of a coherence length ofthe low coherence interferometer.
24. A method, comprising: positioning an object generally along an optical path of a photolithography system; reflecting a first portion of light from a light source from a reference surface ofthe photolithography system; reflecting a second portion of light from the light source from the object; and forming a low coherence interference signal comprising light reflected from the reference surface and light reflected from the object, the low coherence interference signal indicative of a spatial relationship between the object and the imaging system.
25. The method of claim 24, comprising: reflecting a respective first portion of light from the light source from each of a plurality of locations ofthe reference surface ofthe photolithography system; reflecting a respective second portion of light from the light source from each of a plurality of locations ofthe object; and forming plurality of low coherence interference signals, each comprising light reflected from a respective one ofthe different locations ofthe reference surface and light reflected from a respective one ofthe different locations ofthe object, each low coherence 5 interference signal being indicative of a spatial relationship between at least one ofthe different locations ofthe object and the photolithography system.
26. The method of claim 24, comprising performing the reflecting the first portion of light and the reflecting the second portion of light after the positioning the object.
27. The method of claim 24, further comprising changing a relative position ofthe object o and the reference surface based on the spatial relationship.
28. The method of claim 24, wherein the reference surface is a surface of an optic ofthe photolithography system.
29. The method of claim 28, further comprising using the photolithography system to project an ultraviolet light image onto the object, light forming the ultraviolet image passing 5 along an optical path including the surface ofthe optic.
30. The method of claim 24, wherein the object includes a substrate and a thin film having an outer surface and the forming comprises combining light reflected from the reference surface and light reflected from the outer surface ofthe thin film, and the spatial relationship is between the outer surface ofthe thin film and the photolithography system.0
31. The method of claim 30, wherein the light of the second portion of light from the light is substantially attenuated by the thin film.
32. The method of claim 30, wherein the thin film includes photoresist and the light of the second portion of light from the light source has an energy insufficient to expose the photoresist.5
33. The method of claim 24, wherein the object includes a substrate and a thin film having an outer surface and the forming comprises combining light reflected from the reference surface and light reflected from the substrate, and the spatial relationship is between the substrate and the imaging system.
34. The method of claim 33, wherein the reflecting a second portion of light from the light source from the object comprises inadiating the object at Brewster's angle.
35. The method of claim 24, wherein the forming comprises using an interferometer and the light ofthe low coherence interference signal that has passed along the reference optical path and the light ofthe low coherence interference signal that has passed along the measurement optical path have a range of optical path differences, the range being at least 20% of a coherence length ofthe interferometer.
36. The method of claim 35, wherein the reflecting a second portion of light from the object comprises directing inadiating the object at an angle of incidence of at least 50°.
37. A system for determining a spatial property of an object, comprising: a light source; an optical system configured to: illuminate the object at a grazing angle of incidence with a first portion of light from the light source, at least some ofthe first portion of light reflecting from the object; combine, over a range of optical path differences, light reflected from the object and a second portion of light derived from the same light source; and a detector configured to detect the light combined over the range of optical path differences as a plurality of interference fringes each having a peak amplitude, the range of optical path differences being sufficient to modulate the peak amplitudes ofthe interference fringes.
38. The method of claim 37, wherein the range of optical path differences is at least as great as a coherence length ofthe optical system.
39. The system of claim 37, comprising a processor, the processor is configured to: determine a spatial property ofthe object based on the plurality of interference fringes.
40. The method of claim 37, wherein: the optical system is configured to: illuminate each of a plurality of points ofthe object at a grazing angle of incidence with a respective first portion of light from the light source, at least some of each respective first portion of light reflecting from the object as a respective portion of reflected light; combine each portion of reflected light with a conesponding second portion of light derived from the same light source to prepare respective combined light; and the detector comprises: a plurality of detector elements, each configured to detect a respective plurality of interference fringes, each respective plurality of interference fringes comprising contributions from a respective combined light, the combined light ofthe each plurality of interference fringes having a range of optical path length differences, each range of optical path length differences being sufficient to modulate the peak amplitudes ofthe conesponding interference fringes.
41. The system of claim 40, comprising a processor, the processor is configured to: deteπnine a spatial property of each ofthe points based on the respective plurality of interference fringes.
42. The system of claim 39, wherein the object comprises a substrate and an overlying thin film, the thin film having an outer surface, and wherein the spatial property is a spatial property ofthe outer surface ofthe thin film.
43. The system of 42, wherein the processor is in communication with a translation stage 'configured to change a relative position between the object and a reference, and wherein the processor is configured to change the relative position based on the spatial property.
44. A method, comprising: illuminating an object a grazing angle of incidence with light from a light source, at least some ofthe illuminating light reflecting from the object; combining, over a range of optical path differences, light reflected from the object and a second portion of light from the light source; detecting the light combined over a range of optical path differences as a plurality of interference fringes each have a peak amplitude, the range of optical path differences being sufficient to modulate the peak amplitudes ofthe interference fringes.
45. The method of claim 44, wherein the combining comprises using an interferometer having a coherence length, the range of optical path differences being at least as great as the coherence length.
46. The method of claim 45, wherein the detecting comprises detecting at least a portion 5 of an interference pattern comprising the interference fringes and the method comprises determining a spatial property ofthe object based on the at least a portion of an interference pattern.
47. The method of claim 46, wherein the object comprises a substrate comprising an overlying layer of photoresist having an outer surface and the spatial property is a spatial o property of the outer surface.
48. The method of claim 47, comprising changing a relative position between a photolithography system and the object based on the spatial property ofthe outer surface.
49. The method of claim 46, wherein the object comprises a portion of a liquid crystal display.5
50. The method of claim 46, further comprising scribing the object and wherein the spatial property is a spatial property of a scribed line foπned by the scribing.
51. The method of claim 50, comprising further scribing the object or another object and changing a parameter ofthe further scribing based on the spatial property ofthe scribed line.
52. The method of claim 46, wherein the object comprises a structure during solder bump0 manufacturing.
53. The method of claim 52, wherein the spatial property is a spatial property of a portion ofthe object non- wettable by solder.
54. An apparatus, comprising: a photolithography system configured to illuminate a portion of an object with a light5 pattern, the photolithography system comprising a reference surface; a plurality of detector elements; an optical system operable as an interferometer and as a triangulation system, the interferometer having a reference optical path and a measurement optical path, light that passes along the reference optical path reflecting at least once from the reference surface and light that passes along the measurement optical path reflecting at least once from the object, wherein the plurality of detector elements are configured to detect an interference signal comprising light that has passed along the reference optical path and light that has passed along the measurement optical path, the interference signal being indicative of a spatial relationship between the reference surface and the object; and the triangulation system is -configured to determine a spatial relationship between the object and the reference surface based on a spatial relationship between an image ofthe object and an image ofthe reference surface.
551. An apparatus, comprising: a photolithography system configured to illuminate a portion of an object with a light pattern, the photolithography system comprising a reference surface; a plurality of detector elements; an optical system configured to illuminate a portion ofthe object with first light, illuminate the reference surface with second light, and form an image ofthe first light and an image ofthe second light on the detector; and a processor configured to determine a spatial relationship between the object and the reference surface based on a spatial relationship between the image ofthe first light and the image ofthe second light.
56. The apparatus of claim 55, wherein the processor is configured to determine the spatial relationship between the image ofthe first light and the image ofthe second light as a function ofthe detector elements.
57. The apparatus of claim 55, comprising a positioner configured to modify a relative position between the object and the reference surface, wherein the processor is configured to operate the positioner based on the spatial relationship between the object and the reference surface.
58. The apparatus of claim 55, wherein the portion ofthe object illuminated with light is a portion ofthe object to be illuminated by the photolithography system.
59. The apparatus of claim 58, wherein the photolithography system includes an optic having a surface at least partially coextensive with the reference surface.
60. The apparatus of claim 59, wherein the optical system comprises: an interferometer having a reference optical path and a measurement optical path, light that passes along the reference optical path reflecting at least once from the reference surface and light that passes along the measurement optical path reflecting at least once from the object; and wherein the plurality of detector elements are configured to detect an interference signal comprising light that has passed along the reference optical path and light that has passed along the measurement optical path, the interference signal being indicative of a spatial relationship between the reference surface and the object.
PCT/US2004/030051 2003-09-15 2004-09-15 Surface triangulation and profiling through a thin film coating WO2005029192A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2006526408A JP2007506070A (en) 2003-09-15 2004-09-15 Triangulation method and system for determining surface shape through thin film coating
DE602004019231T DE602004019231D1 (en) 2003-09-15 2004-09-15 SURFACE TRIANGULATION AND PROFILING
EP04784044A EP1664931B1 (en) 2003-09-15 2004-09-15 Surface triangulation and profiling

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US50293203P 2003-09-15 2003-09-15
US50293303P 2003-09-15 2003-09-15
US50290703P 2003-09-15 2003-09-15
US50293003P 2003-09-15 2003-09-15
US60/502,933 2003-09-15
US60/502,930 2003-09-15
US60/502,932 2003-09-15
US60/502,907 2003-09-15
US53943704P 2004-01-26 2004-01-26
US60/539,437 2004-01-26

Publications (2)

Publication Number Publication Date
WO2005029192A2 true WO2005029192A2 (en) 2005-03-31
WO2005029192A3 WO2005029192A3 (en) 2005-09-29

Family

ID=34382261

Family Applications (2)

Application Number Title Priority Date Filing Date
PCT/US2004/030196 WO2005029193A2 (en) 2003-09-15 2004-09-15 Interferometric analysis of surfaces.
PCT/US2004/030051 WO2005029192A2 (en) 2003-09-15 2004-09-15 Surface triangulation and profiling through a thin film coating

Family Applications Before (1)

Application Number Title Priority Date Filing Date
PCT/US2004/030196 WO2005029193A2 (en) 2003-09-15 2004-09-15 Interferometric analysis of surfaces.

Country Status (8)

Country Link
US (7) US7289225B2 (en)
EP (3) EP2275868B1 (en)
JP (3) JP5340539B2 (en)
KR (3) KR101185473B1 (en)
AT (1) ATE421716T1 (en)
DE (1) DE602004019231D1 (en)
TW (4) TWI331210B (en)
WO (2) WO2005029193A2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1526408A1 (en) * 2003-10-22 2005-04-27 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method, and measurement systems
WO2006097645A2 (en) * 2005-03-18 2006-09-21 Sagem Defense Securite Optical measuring device using optical triangulation
WO2007044786A2 (en) * 2005-10-11 2007-04-19 Zygo Corporation Interferometry method and system including spectral decomposition
WO2007062808A1 (en) * 2005-11-29 2007-06-07 Carl Zeiss Smt Ag Projection illumination system
WO2008035685A1 (en) * 2006-09-19 2008-03-27 Olympus Medical Systems Corporation Polarization measuring device
JP2008175803A (en) * 2006-12-22 2008-07-31 Canon Inc System and method for measuring shape, and exposure system
WO2008151266A2 (en) * 2007-06-05 2008-12-11 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
WO2019143561A1 (en) * 2018-01-16 2019-07-25 Illumina, Inc. Dual optical grating slide structured illumination imaging

Families Citing this family (130)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6359144A (en) * 1986-08-29 1988-03-15 Canon Inc Line supervisory system for local area network
US7057741B1 (en) * 1999-06-18 2006-06-06 Kla-Tencor Corporation Reduced coherence symmetric grazing incidence differential interferometer
WO2003038518A1 (en) 2001-10-30 2003-05-08 Pixelligent Technologies Llc Advanced exposure techniques for programmable lithography
US7139081B2 (en) * 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7869057B2 (en) * 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
US6623995B1 (en) * 2002-10-30 2003-09-23 Taiwan Semiconductor Manufacturing Company Optimized monitor method for a metal patterning process
US7106454B2 (en) * 2003-03-06 2006-09-12 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7324214B2 (en) * 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US7271918B2 (en) * 2003-03-06 2007-09-18 Zygo Corporation Profiling complex surface structures using scanning interferometry
WO2005029193A2 (en) * 2003-09-15 2005-03-31 Zygo Corporation Interferometric analysis of surfaces.
TWI335417B (en) 2003-10-27 2011-01-01 Zygo Corp Method and apparatus for thin film measurement
US7930206B2 (en) 2003-11-03 2011-04-19 Google Inc. System and method for enabling an advertisement to follow the user to additional web pages
GB0415766D0 (en) * 2004-07-14 2004-08-18 Taylor Hobson Ltd Apparatus for and a method of determining a characteristic of a layer or layers
US20060012582A1 (en) * 2004-07-15 2006-01-19 De Lega Xavier C Transparent film measurements
CA2615685C (en) * 2004-08-05 2015-06-23 Jack R. Little, Jr. High-resolution, nondestructive imaging of dielectric materials
US7170584B2 (en) * 2004-11-17 2007-01-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE102004062256A1 (en) * 2004-12-23 2006-07-13 Basf Ag Highly sensitive method for detecting differences between the physically measurable properties of a sample and a reference
TWI409451B (en) 2005-01-20 2013-09-21 Zygo Corp Interferometry system, interferometry apparatus, and interferometry systemmethod for determining characteristics of an object surface
US7884947B2 (en) 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
DE112006000392T5 (en) * 2005-02-18 2008-01-10 Hoya Corp. Test method for translucent object
US7321431B2 (en) * 2005-05-19 2008-01-22 Zygo Corporation Method and system for analyzing low-coherence interferometry signals for information about thin film structures
US7330258B2 (en) * 2005-05-27 2008-02-12 Innovative Technical Solutions, Inc. Spectrometer designs
US7411667B2 (en) * 2005-06-03 2008-08-12 Asml Netherlands B.V. Method for correcting disturbances in a level sensor light path
US7595891B2 (en) * 2005-07-09 2009-09-29 Kla-Tencor Corporation Measurement of the top surface of an object with/without transparent thin films in white light interferometry
US20070042510A1 (en) * 2005-08-19 2007-02-22 Wafermasters, Incorporated In situ process monitoring and control
JP2007067165A (en) * 2005-08-31 2007-03-15 Matsushita Electric Ind Co Ltd Method of extracting optical irradiation condition, optical irradiation condition extractor and soldering apparatus
DE102006016131A1 (en) * 2005-09-22 2007-03-29 Robert Bosch Gmbh Interferometric measuring device
WO2007033851A1 (en) * 2005-09-22 2007-03-29 Robert Bosch Gmbh Interferometric determination of a layer thickness
US20070118361A1 (en) * 2005-10-07 2007-05-24 Deepen Sinha Window apparatus and method
US7492450B2 (en) * 2005-10-24 2009-02-17 General Electric Company Methods and apparatus for inspecting an object
US7408649B2 (en) * 2005-10-26 2008-08-05 Kla-Tencor Technologies Corporation Method and apparatus for optically analyzing a surface
KR101321861B1 (en) * 2005-11-15 2013-10-25 지고 코포레이션 Interferometer and method for measuring characteristics of optically unresolved surface features
EP1793243A1 (en) * 2005-12-05 2007-06-06 Leica Geosystems AG Method for resolving a phase ambiguity
US7542148B2 (en) * 2005-12-06 2009-06-02 Tokyo Electron Limited Method for measuring physical quantity of measurement object in substrate processing apparatus and storage medium storing program for implementing the method
US7697137B2 (en) * 2006-04-28 2010-04-13 Corning Incorporated Monolithic Offner spectrometer
WO2008011510A2 (en) * 2006-07-21 2008-01-24 Zygo Corporation Compensation of systematic effects in low coherence interferometry
US7710580B2 (en) * 2006-10-27 2010-05-04 Zygo Corporation Vibration resistant interferometry
WO2008133650A2 (en) * 2006-11-07 2008-11-06 Rudolph Technologies, Inc. Method and system for providing a high definition triangulation system
CN1975386B (en) * 2006-11-16 2010-10-13 南京大学 Multiple transmission-reflection measuring attachement for infrared spectrum instrument
US7704565B2 (en) * 2006-11-22 2010-04-27 The Boeing Company Method of making a layered component with vector discrimination in a variable deposition rate process
US20080123104A1 (en) * 2006-11-27 2008-05-29 Roctest Ltee High selectivity band-pass interferometer with tuning capabilities
US7924435B2 (en) * 2006-12-22 2011-04-12 Zygo Corporation Apparatus and method for measuring characteristics of surface features
US7684050B2 (en) * 2006-12-22 2010-03-23 Canon Kabushiki Kaisha Shape measuring apparatus, shape measuring method, and exposure apparatus
US7889355B2 (en) * 2007-01-31 2011-02-15 Zygo Corporation Interferometry for lateral metrology
JP5013968B2 (en) * 2007-02-21 2012-08-29 キヤノン株式会社 Signal processing device, program, and measuring device
JP2008309638A (en) * 2007-06-14 2008-12-25 National Institute Of Advanced Industrial & Technology Dimension measuring device and dimension measuring method
JP4936287B2 (en) * 2007-06-14 2012-05-23 独立行政法人産業技術総合研究所 Inner diameter measuring device
US7619746B2 (en) * 2007-07-19 2009-11-17 Zygo Corporation Generating model signals for interferometry
US8072611B2 (en) 2007-10-12 2011-12-06 Zygo Corporation Interferometric analysis of under-resolved features
US7961647B2 (en) * 2007-11-13 2011-06-14 Avaya Inc. Detecting interfering packet streams in packet networks
US7978337B2 (en) * 2007-11-13 2011-07-12 Zygo Corporation Interferometer utilizing polarization scanning
US7720005B2 (en) * 2007-11-13 2010-05-18 Avaya Inc. Estimating network-layer topology using end-to-end measurements
US7720004B2 (en) * 2007-11-13 2010-05-18 Avaya Inc. Interfering packet streams in packet networks
WO2009079334A2 (en) 2007-12-14 2009-06-25 Zygo Corporation Analyzing surface structure using scanning interferometry
TWI454655B (en) * 2007-12-31 2014-10-01 Ind Tech Res Inst Spectral image processing method
KR100988454B1 (en) 2008-01-31 2010-10-18 에스엔유 프리시젼 주식회사 Method for measuring thickness
TWI485642B (en) * 2008-02-26 2015-05-21 Epistar Corp A customized manufacturing method for an optoelectrical device
JP5084558B2 (en) * 2008-02-28 2012-11-28 キヤノン株式会社 Surface shape measuring apparatus, exposure apparatus, and device manufacturing method
US7847954B2 (en) * 2008-05-15 2010-12-07 Kla-Tencor Corporation Measuring the shape and thickness variation of a wafer with high slopes
JP5268425B2 (en) * 2008-05-16 2013-08-21 キヤノン株式会社 Surface shape measuring apparatus and exposure apparatus
KR101010189B1 (en) * 2008-06-30 2011-01-21 에스엔유 프리시젼 주식회사 Method for measuring thickness or surface profile
US8120781B2 (en) * 2008-11-26 2012-02-21 Zygo Corporation Interferometric systems and methods featuring spectral analysis of unevenly sampled data
US8654344B2 (en) * 2008-12-04 2014-02-18 Ecole Polytechnique Device for generating a secondary source by laser-material interaction comprising an optical device for controlling the orientation and the position of a surface in movement
FR2941780B1 (en) * 2009-01-30 2011-04-01 Commissariat Energie Atomique MEASUREMENT METHOD AND METHOD FOR VISUALIZATION OF WAVE SURFACE BY SPECTROPHOTOMETRY.
US8107084B2 (en) * 2009-01-30 2012-01-31 Zygo Corporation Interference microscope with scan motion detection using fringe motion in monitor patterns
US20100245829A1 (en) * 2009-03-31 2010-09-30 Nikon Corporation System and method for compensating instability in an autofocus system
US8675205B2 (en) * 2009-06-15 2014-03-18 Artur G. Olszak Optical coherence tomography using spectrally controlled interferometry
JP2011040547A (en) * 2009-08-10 2011-02-24 Canon Inc Measurement apparatus, exposure apparatus, and method of manufacturing device
US8426119B2 (en) * 2009-10-21 2013-04-23 GM Global Technology Operations LLC Dynamic projection method for micro-truss foam fabrication
EP2526373B1 (en) * 2010-01-22 2013-12-11 Universität Stuttgart Method and assembly for robust interferometry
US20120008150A1 (en) * 2010-04-23 2012-01-12 Nikon Corporation Autofocus system and method
US8462349B1 (en) 2010-07-20 2013-06-11 Science Applications International Corporation System and method for a self-referencing interferometer
JP5663758B2 (en) * 2010-08-17 2015-02-04 株式会社ミツトヨ Shape measuring method and shape measuring apparatus
US20120045855A1 (en) * 2010-08-20 2012-02-23 Beck Markus E Position-sensitive metrology system
US8854628B2 (en) * 2010-09-22 2014-10-07 Zygo Corporation Interferometric methods for metrology of surfaces, films and underresolved structures
DE102010041558A1 (en) * 2010-09-28 2012-03-29 Carl Zeiss Smt Gmbh Projection exposure apparatus for microlithography and method for microlithographic exposure
DE102010041556A1 (en) 2010-09-28 2012-03-29 Carl Zeiss Smt Gmbh Projection exposure apparatus for microlithography and method for microlithographic imaging
US8797537B2 (en) * 2010-11-15 2014-08-05 Zygo Corporation Interferometer with a virtual reference surface
TWI479160B (en) * 2010-12-20 2015-04-01 Hon Hai Prec Ind Co Ltd Test apparatus and method
US8804129B2 (en) 2011-01-26 2014-08-12 Mitutoyo Corporation Method and apparatus for performing film thickness measurements using white light scanning interferometry
EP2482031A1 (en) * 2011-01-26 2012-08-01 Mitutoyo Research Center Europe B.V. Method and apparatus for performing film thickness measurements using white light scanning interferometry
DE102011111900A1 (en) * 2011-08-30 2013-02-28 Dr. Johannes Heidenhain Gmbh Apparatus for interferometric distance determination
NL2009273A (en) 2011-08-31 2013-03-04 Asml Netherlands Bv Level sensor arrangement for lithographic apparatus, lithographic apparatus and device manufacturing method.
JP5959104B2 (en) * 2011-09-27 2016-08-02 芝浦メカトロニクス株式会社 Bonded plate inspection apparatus and method
US8982362B2 (en) * 2011-10-04 2015-03-17 First Solar, Inc. System and method for measuring layer thickness and depositing semiconductor layers
DE102011085599B3 (en) * 2011-11-02 2012-12-13 Polytec Gmbh Apparatus and method for interferometric measurement of an object
DE102012002174B4 (en) * 2012-02-07 2014-05-15 Schott Ag Apparatus and method for detecting defects within the volume of a transparent pane and using the apparatus
US10112258B2 (en) * 2012-03-30 2018-10-30 View, Inc. Coaxial distance measurement via folding of triangulation sensor optics path
DE102013203211A1 (en) * 2012-06-15 2013-12-19 Dr. Johannes Heidenhain Gmbh Device for interferential distance measurement
EP2677271B1 (en) * 2012-06-18 2017-04-26 Mitutoyo Corporation Broadband interferometer for determining a property of a thin film
GB2508874B (en) 2012-12-13 2017-09-20 Univ Of Huddersfield Interferometric apparatus and sample characteristic determining apparatus using such apparatus
WO2014102792A1 (en) * 2012-12-27 2014-07-03 Nova Measuring Instruments Ltd. Optical method and system for critical dimensions and thickness characterization
RU2522775C1 (en) * 2013-02-12 2014-07-20 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Новосибирский национальный исследовательский государственный университет" (Новосибирский государственный университет, НГУ) Method for passive location of edges of metal rectangular parallelepiped in infrared radiation
WO2014150536A1 (en) * 2013-03-15 2014-09-25 Wayne Leonard Rodenhausen Lightsheet microscopy with rotational-shear interferometry
WO2014204538A2 (en) * 2013-03-15 2014-12-24 Dueck Robert Three-beam coherent beam combining system
US20150002852A1 (en) * 2013-06-26 2015-01-01 Zygo Corporation Coherence scanning interferometry using phase shifted interferometrty signals
US9377292B2 (en) 2013-08-06 2016-06-28 Zygo Corporation Interferometry employing refractive index dispersion broadening of interference signals
DE102014211004A1 (en) * 2014-06-10 2015-12-17 Dr. Johannes Heidenhain Gmbh Optical position measuring device
JP6322069B2 (en) * 2014-07-02 2018-05-09 Dmg森精機株式会社 Displacement detector
US9500468B2 (en) 2014-08-25 2016-11-22 Board Of Trustees Of Michigan State University Scanning interferometry technique for through-thickness evaluation in multi-layered transparent structures
JP6779203B2 (en) 2014-10-27 2020-11-04 ケーエルエー コーポレイション Quality estimation and improvement of imaging measurement targets
US10030964B2 (en) * 2014-12-12 2018-07-24 Sunedison Semiconductor Limited (Uen201334164H) Systems and methods for performing phase shift interferometry while a wafer is vibrating
WO2016124399A1 (en) * 2015-02-06 2016-08-11 Asml Netherlands B.V. A method and apparatus for improving measurement accuracy
WO2016190932A2 (en) * 2015-02-25 2016-12-01 The Charles Stark Draper Laboratory, Inc. Zero optical path difference phased array
JP6702666B2 (en) * 2015-07-28 2020-06-03 株式会社ミツトヨ Displacement detection device
TWI582382B (en) * 2015-10-16 2017-05-11 高準精密工業股份有限公司 Optical device
WO2017081541A1 (en) * 2015-11-11 2017-05-18 Scopio Lab Ltd. Microscope having a refractive index matching material
DE102016103605A1 (en) * 2016-03-01 2017-09-07 Heidelberg Engineering Gmbh A method for signal processing in optical coherence tomography with a tunable light source
US10563973B2 (en) * 2016-03-28 2020-02-18 Kla-Tencor Corporation All surface film metrology system
WO2017183017A1 (en) * 2016-04-21 2017-10-26 Nova Measuring Instruments Ltd. Optical system and method for measurements of samples
JP2019527576A (en) 2016-07-15 2019-10-03 キヤノン ユーエスエイ, インコーポレイテッドCanon U.S.A., Inc Spectral encoding probe
JP6762608B2 (en) * 2016-09-06 2020-09-30 株式会社日立ハイテクサイエンス Three-dimensional shape measurement method using a scanning white interference microscope
US10571248B2 (en) * 2017-01-09 2020-02-25 Kla-Tencor Corporation Transparent film error correction pattern in wafer geometry system
JP6853572B2 (en) * 2017-03-31 2021-03-31 株式会社日立ハイテクサイエンス Three-dimensional shape measurement method using a scanning white interference microscope
JP6876576B2 (en) * 2017-08-17 2021-05-26 日本電子株式会社 3D image construction method
US11346747B2 (en) * 2017-10-27 2022-05-31 Harris Corporation QTIP—quantitative test interferometric plate
US10529096B2 (en) * 2018-03-02 2020-01-07 Synaptive Medical (Barbados) Inc. System and method for characterizing tissue organization using polarization sensitive optical coherence tomography
WO2020004544A1 (en) * 2018-06-29 2020-01-02 株式会社荏原製作所 Bump height measurement device, substrate processing device, bump height measurement method, and storage medium
JP7031025B2 (en) * 2018-07-18 2022-03-07 ノヴァ リミテッド Time domain optical measurement and inspection of semiconductor devices
TWI685640B (en) * 2018-12-26 2020-02-21 財團法人工業技術研究院 Optical coherence tomography device and optical interferometer thereof
US10976151B2 (en) 2018-12-26 2021-04-13 Industrial Technology Research Institute Optical interferometer with reference arm longer than sample arm
TWI682150B (en) 2018-12-27 2020-01-11 財團法人工業技術研究院 Automatic calibration optical interferometer and automatic calibration method of optical interferometer
US11035665B2 (en) * 2019-07-30 2021-06-15 Kla Corporation System and method for enhancing data processing throughput using less effective pixel while maintaining wafer warp coverage
JP7283324B2 (en) * 2019-09-18 2023-05-30 株式会社島津製作所 Defect inspection equipment
US11150195B2 (en) * 2019-09-25 2021-10-19 Onto Innovation Inc. Sample surface polarization modification in interferometric defect inspection
CN111386441B (en) * 2020-02-24 2021-02-19 长江存储科技有限责任公司 System for measuring surface topography of semiconductor chip
CN113008160B (en) 2020-02-24 2023-02-10 长江存储科技有限责任公司 System and method for semiconductor chip surface topography metrology
WO2021168612A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
CN111356896B (en) 2020-02-24 2021-01-12 长江存储科技有限责任公司 System and method for semiconductor chip surface topography metrology
CN113654653B (en) * 2021-08-13 2023-06-09 中国工程物理研究院激光聚变研究中心 Single measurement method for ultrafast laser space-time coupling characteristic

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USH1972H1 (en) * 1998-10-06 2001-07-03 Nikon Corporation Autofocus system using common path interferometry
US6259521B1 (en) * 1999-10-05 2001-07-10 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography parameters based on photoresist images
US6500591B1 (en) * 1991-03-04 2002-12-31 Lucent Technologies Inc. Method of averaging focus through scattered energy determination
US6507405B1 (en) * 1999-05-17 2003-01-14 Ultratech Stepper, Inc. Fiber-optic interferometer employing low-coherence-length light for precisely measuring absolute distance and tilt

Family Cites Families (177)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2612074A (en) 1949-03-30 1952-09-30 Prec Mecanique Paris Soc Interferometer
US4199219A (en) * 1977-04-22 1980-04-22 Canon Kabushiki Kaisha Device for scanning an object with a light beam
US4188122A (en) * 1978-03-27 1980-02-12 Rockwell International Corporation Interferometer
US4340306A (en) * 1980-02-04 1982-07-20 Balasubramanian N Optical system for surface topography measurement
US4355903A (en) 1980-02-08 1982-10-26 Rca Corporation Thin film thickness monitor
EP0075032B1 (en) * 1981-09-17 1986-01-08 Ibm Deutschland Gmbh Method for interferometric surface topography
DE3145633A1 (en) * 1981-11-17 1983-08-11 Byk-Mallinckrodt Chemische Produkte Gmbh, 4230 Wesel DEVICE FOR MEASURING COLORS
US4576479A (en) 1982-05-17 1986-03-18 Downs Michael J Apparatus and method for investigation of a surface
US4523846A (en) * 1982-09-10 1985-06-18 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Integrated optics in an electrically scanned imaging Fourier transform spectrometer
JPS59105508A (en) * 1982-12-08 1984-06-18 Canon Inc Measurement of whith interference film thickness
JPS60127403A (en) 1983-12-13 1985-07-08 Anritsu Corp Thickness measuring apparatus
US4626103A (en) * 1984-03-29 1986-12-02 At&T Bell Laboratories Focus tracking system
US4618262A (en) 1984-04-13 1986-10-21 Applied Materials, Inc. Laser interferometer system and method for monitoring and controlling IC processing
US4710642A (en) 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
US4639139A (en) * 1985-09-27 1987-01-27 Wyko Corporation Optical profiler using improved phase shifting interferometry
US4818110A (en) 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
US4794550A (en) * 1986-10-15 1988-12-27 Eastman Kodak Company Extended-range moire contouring
JPS63263404A (en) * 1987-04-21 1988-10-31 Nikon Corp Method and device for measuring fine depth
US4806018A (en) * 1987-07-06 1989-02-21 The Boeing Company Angular reflectance sensor
JPH0654217B2 (en) * 1987-08-28 1994-07-20 株式会社日立製作所 Interference film thickness measurement method
US4869593A (en) 1988-04-22 1989-09-26 Zygo Corporation Interferometric surface profiler
US4923301A (en) * 1988-05-26 1990-05-08 American Telephone And Telegraph Company Alignment of lithographic system
US4964726A (en) 1988-09-27 1990-10-23 General Electric Company Apparatus and method for optical dimension measurement using interference of scattered electromagnetic energy
US4948253A (en) * 1988-10-28 1990-08-14 Zygo Corporation Interferometric surface profiler for spherical surfaces
GB8903725D0 (en) 1989-02-18 1989-04-05 Cambridge Consultants Coherent tracking sensor
US5489986A (en) * 1989-02-28 1996-02-06 Nikon Corporation Position detecting apparatus
US5042949A (en) 1989-03-17 1991-08-27 Greenberg Jeffrey S Optical profiler for films and substrates
US4999014A (en) 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5042951A (en) 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
US5073018A (en) 1989-10-04 1991-12-17 The Board Of Trustees Of The Leland Stanford Junior University Correlation microscope
DE3942896A1 (en) 1989-12-23 1991-06-27 Zeiss Carl Fa INTERFEROMETRIC SENSOR FOR MEASURING DISTANCE CHANGES IN A SMALL AREA
US5112129A (en) 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
US5135307A (en) 1990-05-30 1992-08-04 Hughes Danbury Optical System, Inc. Laser diode interferometer
US5158991A (en) 1990-08-24 1992-10-27 General Electric Company Epoxy-functionalized siloxane resin copolymers as controlled release additives
US5241369A (en) 1990-10-01 1993-08-31 Mcneil John R Two-dimensional optical scatterometer apparatus and process
US5129724A (en) 1991-01-29 1992-07-14 Wyko Corporation Apparatus and method for simultaneous measurement of film thickness and surface height variation for film-substrate sample
US5164790A (en) 1991-02-27 1992-11-17 Mcneil John R Simple CD measurement of periodic structures on photomasks
DE4108944A1 (en) 1991-03-19 1992-09-24 Haeusler Gerd Contactless measurement of surface shape of diffusely scattering objects e.g. semiconductor wafers - using interferometric arrangement for three=dimensional measurement with minimal coherence length and illumination aperture angle less than observation aperture angle
US5153669A (en) 1991-03-27 1992-10-06 Hughes Danbury Optical Systems, Inc. Three wavelength optical measurement apparatus and method
US5194918A (en) * 1991-05-14 1993-03-16 The Board Of Trustees Of The Leland Stanford Junior University Method of providing images of surfaces with a correlation microscope by transforming interference signals
US5173746A (en) 1991-05-21 1992-12-22 Wyko Corporation Method for rapid, accurate measurement of step heights between dissimilar materials
US5204734A (en) 1991-06-12 1993-04-20 Wyko Corporation Rough surface profiler and method
US5133601A (en) * 1991-06-12 1992-07-28 Wyko Corporation Rough surface profiler and method
JPH05304627A (en) 1991-08-19 1993-11-16 Fuji Photo Film Co Ltd Side grip for video camera
US5392749A (en) * 1991-10-11 1995-02-28 Caterpillar Inc. Hydraulically-actuated fuel injector system having separate internal actuating fluid and fuel passages
US5181080A (en) 1991-12-23 1993-01-19 Therma-Wave, Inc. Method and apparatus for evaluating the thickness of thin films
US5390023A (en) * 1992-06-03 1995-02-14 Zygo Corporation Interferometric method and apparatus to measure surface topography
US5402234A (en) * 1992-08-31 1995-03-28 Zygo Corporation Method and apparatus for the rapid acquisition of data in coherence scanning interferometry
US5539571A (en) * 1992-09-21 1996-07-23 Sdl, Inc. Differentially pumped optical amplifer and mopa device
US5384717A (en) * 1992-11-23 1995-01-24 Ford Motor Company Non-contact method of obtaining dimensional information about an object
US5398113A (en) 1993-02-08 1995-03-14 Zygo Corporation Method and apparatus for surface topography measurement by spatial-frequency analysis of interferograms
US5777742A (en) * 1993-03-11 1998-07-07 Environmental Research Institute Of Michigan System and method for holographic imaging with discernible image of an object
DE4309056B4 (en) 1993-03-20 2006-05-24 Häusler, Gerd, Prof. Dr. Method and device for determining the distance and scattering intensity of scattering points
US5386119A (en) * 1993-03-25 1995-01-31 Hughes Aircraft Company Apparatus and method for thick wafer measurement
JPH074922A (en) * 1993-06-21 1995-01-10 Jasco Corp Apparatus and method for measurement of film thickness of semiconductor multilayer thin film
EP0767361B1 (en) 1993-07-22 2000-02-23 Applied Spectral Imaging Ltd. Method and apparatus for spectral imaging
US5856871A (en) * 1993-08-18 1999-01-05 Applied Spectral Imaging Ltd. Film thickness mapping using interferometric spectral imaging
US5481811A (en) * 1993-11-22 1996-01-09 The Budd Company Universal inspection workpiece holder
US5483064A (en) 1994-01-21 1996-01-09 Wyko Corporation Positioning mechanism and method for providing coaxial alignment of a probe and a scanning means in scanning tunneling and scanning force microscopy
US5459564A (en) 1994-02-18 1995-10-17 Chivers; James T. Apparatus and method for inspecting end faces of optical fibers and optical fiber connectors
US5471303A (en) 1994-04-29 1995-11-28 Wyko Corporation Combination of white-light scanning and phase-shifting interferometry for surface profile measurements
US5633714A (en) * 1994-12-19 1997-05-27 International Business Machines Corporation Preprocessing of image amplitude and phase data for CD and OL measurement
US5555471A (en) 1995-05-24 1996-09-10 Wyko Corporation Method for measuring thin-film thickness and step height on the surface of thin-film/substrate test samples by phase-shifting interferometry
US5589938A (en) 1995-07-10 1996-12-31 Zygo Corporation Method and apparatus for optical interferometric measurements with reduced sensitivity to vibration
US5703692A (en) 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5602643A (en) 1996-02-07 1997-02-11 Wyko Corporation Method and apparatus for correcting surface profiles determined by phase-shifting interferometry according to optical parameters of test surface
US5640270A (en) * 1996-03-11 1997-06-17 Wyko Corporation Orthogonal-scanning microscope objective for vertical-scanning and phase-shifting interferometry
GB9610471D0 (en) 1996-05-18 1996-07-24 Univ Nottingham Optical measurement
US5880838A (en) * 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
US5923423A (en) * 1996-09-12 1999-07-13 Sentec Corporation Heterodyne scatterometer for detecting and analyzing wafer surface defects
US5956141A (en) 1996-09-13 1999-09-21 Olympus Optical Co., Ltd. Focus adjusting method and shape measuring device and interference microscope using said focus adjusting method
US5757502A (en) * 1996-10-02 1998-05-26 Vlsi Technology, Inc. Method and a system for film thickness sample assisted surface profilometry
JP3735426B2 (en) * 1996-12-11 2006-01-18 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US5973784A (en) * 1997-01-08 1999-10-26 Electro-Optical Sciences, Inc. Common path, interferometric systems and methods using a birefringent material for topographic imaging
US5774224A (en) 1997-01-24 1998-06-30 International Business Machines Corporation Linear-scanning, oblique-viewing optical apparatus
US5777740A (en) * 1997-02-27 1998-07-07 Phase Metrics Combined interferometer/polarimeter
US5867276A (en) * 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
US5784164A (en) * 1997-03-20 1998-07-21 Zygo Corporation Method and apparatus for automatically and simultaneously determining best focus and orientation of objects to be measured by broad-band interferometric means
JPH1123229A (en) * 1997-07-08 1999-01-29 Tokyo Seimitsu Co Ltd Measuring method for film thickness
US6392749B1 (en) 1997-09-22 2002-05-21 Candela Instruments High speed optical profilometer for measuring surface height variation
US6031615A (en) 1997-09-22 2000-02-29 Candela Instruments System and method for simultaneously measuring lubricant thickness and degradation, thin film thickness and wear, and surface roughness
US6665078B1 (en) 1997-09-22 2003-12-16 Candela Instruments System and method for simultaneously measuring thin film layer thickness, reflectivity, roughness, surface profile and magnetic pattern in thin film magnetic disks and silicon wafers
US20020015146A1 (en) * 1997-09-22 2002-02-07 Meeks Steven W. Combined high speed optical profilometer and ellipsometer
US5912741A (en) * 1997-10-10 1999-06-15 Northrop Grumman Corporation Imaging scatterometer
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US5923425A (en) * 1997-11-20 1999-07-13 Tropel Corporation Grazing incidence interferometry for measuring transparent plane-parallel plates
US5900633A (en) 1997-12-15 1999-05-04 On-Line Technologies, Inc Spectrometric method for analysis of film thickness and composition on a patterned sample
US6124141A (en) 1998-01-07 2000-09-26 International Business Machines Corporation Non-destructive method and device for measuring the depth of a buried interface
US5953124A (en) 1998-01-19 1999-09-14 Zygo Corporation Interferometric methods and systems using low coherence illumination
US6028670A (en) * 1998-01-19 2000-02-22 Zygo Corporation Interferometric methods and systems using low coherence illumination
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
DE19814057B4 (en) * 1998-03-30 2009-01-02 Carl Zeiss Meditec Ag Arrangement for optical coherence tomography and coherence topography
US6242739B1 (en) 1998-04-21 2001-06-05 Alexander P. Cherkassky Method and apparatus for non-destructive determination of film thickness and dopant concentration using fourier transform infrared spectrometry
US6275297B1 (en) 1998-08-19 2001-08-14 Sc Technology Method of measuring depths of structures on a semiconductor substrate
JP2000121317A (en) 1998-10-12 2000-04-28 Hitachi Electronics Eng Co Ltd Interference phase detecting system for optical interferometer
US6159073A (en) * 1998-11-02 2000-12-12 Applied Materials, Inc. Method and apparatus for measuring substrate layer thickness during chemical mechanical polishing
US6615072B1 (en) 1999-02-04 2003-09-02 Olympus Optical Co., Ltd. Optical imaging device
US6184984B1 (en) 1999-02-09 2001-02-06 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
TW490596B (en) * 1999-03-08 2002-06-11 Asm Lithography Bv Lithographic projection apparatus, method of manufacturing a device using the lithographic projection apparatus, device manufactured according to the method and method of calibrating the lithographic projection apparatus
KR100290086B1 (en) 1999-03-23 2001-05-15 윤덕용 Method and Apparatus for Three Dimensional Thickness Profile Measurement of Transparent Dielectric Thin-Film by White-Light Scanning Interferometry
US6449066B1 (en) 1999-04-29 2002-09-10 Kaiser Optical Systems, Inc. Polarization insensitive, high dispersion optical element
US6888638B1 (en) * 1999-05-05 2005-05-03 Zygo Corporation Interferometry system having a dynamic beam steering assembly for measuring angle and distance
TW477897B (en) * 1999-05-07 2002-03-01 Sharp Kk Liquid crystal display device, method and device to measure cell thickness of liquid crystal display device, and phase difference plate using the method thereof
US6249351B1 (en) 1999-06-03 2001-06-19 Zygo Corporation Grazing incidence interferometer and method
US6381009B1 (en) 1999-06-29 2002-04-30 Nanometrics Incorporated Elemental concentration measuring methods and instruments
US6195168B1 (en) * 1999-07-22 2001-02-27 Zygo Corporation Infrared scanning interferometry apparatus and method
US6160621A (en) 1999-09-30 2000-12-12 Lam Research Corporation Method and apparatus for in-situ monitoring of plasma etch and deposition processes using a pulsed broadband light source
US6545761B1 (en) 1999-11-30 2003-04-08 Veeco Instruments, Inc. Embedded interferometer for reference-mirror calibration of interferometric microscope
DE10195052B3 (en) 2000-01-25 2015-06-18 Zygo Corp. Method and devices for determining a geometric property of a test object and optical profile measuring system
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
LU90580B1 (en) * 2000-05-08 2001-11-09 Europ Economic Community Method of identifying an object
US6597460B2 (en) 2000-05-19 2003-07-22 Zygo Corporation Height scanning interferometer for determining the absolute position and surface profile of an object with respect to a datum
US6417109B1 (en) 2000-07-26 2002-07-09 Aiwa Co., Ltd. Chemical-mechanical etch (CME) method for patterned etching of a substrate surface
US6775009B2 (en) 2000-07-27 2004-08-10 Zetetic Institute Differential interferometric scanning near-field confocal microscopy
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US20020190207A1 (en) 2000-09-20 2002-12-19 Ady Levy Methods and systems for determining a characteristic of micro defects on a specimen
US6798511B1 (en) 2000-10-18 2004-09-28 Regents Of The University Of Minnesota Imaging ellipsometry
ATE464534T1 (en) 2000-11-02 2010-04-15 Zygo Corp METHOD AND DEVICE FOR HEIGHT-SCANNING INTERFEROMETRY WITH PHASE DIFFERENCE ANALYSIS
US6633389B1 (en) 2000-11-28 2003-10-14 Nanometrics Incorporated Profiling method
JP4583611B2 (en) * 2001-01-11 2010-11-17 富士フイルム株式会社 Oblique incidence interferometer device
US6909509B2 (en) * 2001-02-20 2005-06-21 Zygo Corporation Optical surface profiling systems
US6721094B1 (en) 2001-03-05 2004-04-13 Sandia Corporation Long working distance interference microscope
KR100393429B1 (en) 2001-04-09 2003-08-02 한국과학기술원 Two-wavelength white-light interferometry and interferometer for measuring steps of different metallic materials
DE10297689B4 (en) 2001-05-01 2007-10-18 The General Hospital Corp., Boston Method and device for the determination of atherosclerotic coating by measurement of optical tissue properties
US6624894B2 (en) 2001-06-25 2003-09-23 Veeco Instruments Inc. Scanning interferometry with reference signal
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6741357B2 (en) * 2001-08-14 2004-05-25 Seagate Technology Llc Quadrature phase shift interferometer with unwrapping of phase
CN1556914A (en) 2001-09-21 2004-12-22 Kmac株式会社 Apparatus for measuring thickness profile and refractive index distribution of multiple layers of thin films by means of two-dimensional reflectometry and method of measuring the same
US6714307B2 (en) * 2001-10-16 2004-03-30 Zygo Corporation Measurement of complex surface shapes using a spherical wavefront
US6630982B2 (en) * 2001-10-18 2003-10-07 Motorola, Inc. Color and intensity tunable liquid crystal device
KR100437024B1 (en) 2001-10-18 2004-06-23 엘지전자 주식회사 The inspection method of thin film and the same apparatus
US7030995B2 (en) * 2001-12-10 2006-04-18 Zygo Corporation Apparatus and method for mechanical phase shifting interferometry
US6856384B1 (en) 2001-12-13 2005-02-15 Nanometrics Incorporated Optical metrology system with combined interferometer and ellipsometer
US6934035B2 (en) * 2001-12-18 2005-08-23 Massachusetts Institute Of Technology System and method for measuring optical distance
CN1623085A (en) 2002-01-24 2005-06-01 通用医疗公司 Apparatus and method for ranging and noise reduction of low coherence interferometry LCI and optical coherence tomography OCT signals by parallel detection of spectral bands
DE10229818A1 (en) * 2002-06-28 2004-01-15 Carl Zeiss Smt Ag Focus detection method and imaging system with focus detection system
GB2385417B (en) 2002-03-14 2004-01-21 Taylor Hobson Ltd Surface profiling apparatus
CN1320334C (en) 2002-03-14 2007-06-06 泰勒·霍布森有限公司 Surface profiling apparatus
US7068376B2 (en) * 2002-04-19 2006-06-27 Zygo Corporation Interferometry method and apparatus for producing lateral metrology images
JP2005524832A (en) * 2002-05-02 2005-08-18 ザイゴ コーポレーション Phase shift analysis for scanning interferometers
DE10392828T5 (en) * 2002-06-17 2005-07-21 Zygo Corp., Middlefield Interferometry methods and systems with coupled cavity geometry for use with an extended source
JP2005530147A (en) * 2002-06-17 2005-10-06 ザイゴ コーポレーション Interferometric optical system and method for scanning optical path length and focus simultaneously
US6882433B2 (en) * 2002-07-01 2005-04-19 Lightgage, Inc. Interferometer system of compact configuration
JP4563811B2 (en) 2002-09-09 2010-10-13 ザイゴ コーポレーション Interferometry and scanning interferometers for ellipsometry, reflected light and scattered light measurements, including characterization of thin film structures
US7139081B2 (en) 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US6925860B1 (en) 2003-02-21 2005-08-09 Nanometrics Incorporated Leveling a measured height profile
US7271918B2 (en) * 2003-03-06 2007-09-18 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7106454B2 (en) 2003-03-06 2006-09-12 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7324214B2 (en) * 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US6985232B2 (en) 2003-03-13 2006-01-10 Tokyo Electron Limited Scatterometry by phase sensitive reflectometer
US7049156B2 (en) 2003-03-19 2006-05-23 Verity Instruments, Inc. System and method for in-situ monitor and control of film thickness and trench depth
US6999180B1 (en) 2003-04-02 2006-02-14 Kla-Tencor Technologies Corporation Optical film topography and thickness measurement
JP2004340680A (en) * 2003-05-14 2004-12-02 Toray Eng Co Ltd Method for measuring surface profile and/or film thickness, and its apparatus
US7102761B2 (en) 2003-06-13 2006-09-05 Zygo Corporation Scanning interferometry
US7061623B2 (en) * 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
WO2005029193A2 (en) * 2003-09-15 2005-03-31 Zygo Corporation Interferometric analysis of surfaces.
TWI335417B (en) 2003-10-27 2011-01-01 Zygo Corp Method and apparatus for thin film measurement
JP4790632B2 (en) 2004-01-06 2011-10-12 ザイゴ コーポレーション Multi-axis interferometer and method and system using multi-axis interferometer
US20050179911A1 (en) 2004-02-17 2005-08-18 Digital Optics Corporation Aspheric diffractive reference for interferometric lens metrology
US7492469B2 (en) 2004-03-15 2009-02-17 Zygo Corporation Interferometry systems and methods using spatial carrier fringes
US7321430B2 (en) 2004-04-22 2008-01-22 Zygo Corporation Vibration resistant interferometry
US7177030B2 (en) * 2004-04-22 2007-02-13 Technion Research And Development Foundation Ltd. Determination of thin film topography
TW200604695A (en) 2004-05-18 2006-02-01 Zygo Corp Methods and systems for determining optical properties using low-coherence interference signals
US7119909B2 (en) 2004-06-16 2006-10-10 Veeco Instruments, Inc. Film thickness and boundary characterization by interferometric profilometry
US20060012582A1 (en) * 2004-07-15 2006-01-19 De Lega Xavier C Transparent film measurements
US20060066842A1 (en) * 2004-09-30 2006-03-30 Saunders Winston A Wafer inspection with a customized reflective optical channel component
US7884947B2 (en) * 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
TWI409451B (en) * 2005-01-20 2013-09-21 Zygo Corp Interferometry system, interferometry apparatus, and interferometry systemmethod for determining characteristics of an object surface
US7321431B2 (en) 2005-05-19 2008-01-22 Zygo Corporation Method and system for analyzing low-coherence interferometry signals for information about thin film structures
US7595891B2 (en) * 2005-07-09 2009-09-29 Kla-Tencor Corporation Measurement of the top surface of an object with/without transparent thin films in white light interferometry
US7636168B2 (en) * 2005-10-11 2009-12-22 Zygo Corporation Interferometry method and system including spectral decomposition
US7408649B2 (en) * 2005-10-26 2008-08-05 Kla-Tencor Technologies Corporation Method and apparatus for optically analyzing a surface
US20070127036A1 (en) * 2005-12-07 2007-06-07 Chroma Ate Inc. Interference measurement system self-alignment method
US7612891B2 (en) * 2005-12-15 2009-11-03 Veeco Instruments, Inc. Measurement of thin films using fourier amplitude
WO2008011510A2 (en) * 2006-07-21 2008-01-24 Zygo Corporation Compensation of systematic effects in low coherence interferometry

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6500591B1 (en) * 1991-03-04 2002-12-31 Lucent Technologies Inc. Method of averaging focus through scattered energy determination
USH1972H1 (en) * 1998-10-06 2001-07-03 Nikon Corporation Autofocus system using common path interferometry
US6507405B1 (en) * 1999-05-17 2003-01-14 Ultratech Stepper, Inc. Fiber-optic interferometer employing low-coherence-length light for precisely measuring absolute distance and tilt
US6259521B1 (en) * 1999-10-05 2001-07-10 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography parameters based on photoresist images

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1526408A1 (en) * 2003-10-22 2005-04-27 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method, and measurement systems
US7616327B2 (en) 2005-03-18 2009-11-10 Sagem Defense Sécurité Optical measuring device using optical triangulation
WO2006097645A2 (en) * 2005-03-18 2006-09-21 Sagem Defense Securite Optical measuring device using optical triangulation
WO2006097645A3 (en) * 2005-03-18 2006-12-28 Sagem Defense Securite Optical measuring device using optical triangulation
WO2007044786A2 (en) * 2005-10-11 2007-04-19 Zygo Corporation Interferometry method and system including spectral decomposition
WO2007044786A3 (en) * 2005-10-11 2008-01-10 Zygo Corp Interferometry method and system including spectral decomposition
US8228485B2 (en) 2005-11-29 2012-07-24 Carl Zeiss Smt Gmbh Projection illumination system
JP2009517871A (en) * 2005-11-29 2009-04-30 カール・ツァイス・エスエムティー・アーゲー Projection irradiation system
WO2007062808A1 (en) * 2005-11-29 2007-06-07 Carl Zeiss Smt Ag Projection illumination system
WO2008035685A1 (en) * 2006-09-19 2008-03-27 Olympus Medical Systems Corporation Polarization measuring device
JP5011302B2 (en) * 2006-09-19 2012-08-29 オリンパスメディカルシステムズ株式会社 Polarimeter
JP2008175803A (en) * 2006-12-22 2008-07-31 Canon Inc System and method for measuring shape, and exposure system
WO2008151266A2 (en) * 2007-06-05 2008-12-11 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
WO2008151266A3 (en) * 2007-06-05 2010-01-14 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
WO2019143561A1 (en) * 2018-01-16 2019-07-25 Illumina, Inc. Dual optical grating slide structured illumination imaging
US11226475B2 (en) 2018-01-16 2022-01-18 Illumina, Inc. Dual optical grating slide structured illumination imaging

Also Published As

Publication number Publication date
TWI334921B (en) 2010-12-21
US20080068614A1 (en) 2008-03-20
US8107085B2 (en) 2012-01-31
US7289224B2 (en) 2007-10-30
WO2005029193A2 (en) 2005-03-31
KR101295207B1 (en) 2013-08-09
EP1664932A2 (en) 2006-06-07
TWI331210B (en) 2010-10-01
US20050078319A1 (en) 2005-04-14
US20050057757A1 (en) 2005-03-17
DE602004019231D1 (en) 2009-03-12
EP2275868A1 (en) 2011-01-19
TW200527145A (en) 2005-08-16
US7586620B2 (en) 2009-09-08
KR20120040260A (en) 2012-04-26
US7292346B2 (en) 2007-11-06
EP2275868B1 (en) 2018-02-28
JP2007506070A (en) 2007-03-15
EP1664932B1 (en) 2015-01-28
WO2005029192A3 (en) 2005-09-29
US20050068540A1 (en) 2005-03-31
KR101185473B1 (en) 2012-10-02
JP2007506071A (en) 2007-03-15
US20090096980A1 (en) 2009-04-16
ATE421716T1 (en) 2009-02-15
US20100060898A1 (en) 2010-03-11
TWI331211B (en) 2010-10-01
TW200526925A (en) 2005-08-16
US7456975B2 (en) 2008-11-25
US7298494B2 (en) 2007-11-20
EP1664931B1 (en) 2009-01-21
TW200528686A (en) 2005-09-01
EP1664931A2 (en) 2006-06-07
US20050078318A1 (en) 2005-04-14
KR20060084852A (en) 2006-07-25
KR20060096054A (en) 2006-09-05
JP5587241B2 (en) 2014-09-10
JP2011221027A (en) 2011-11-04
WO2005029193A3 (en) 2005-10-06
TWI358614B (en) 2012-02-21
TW200517638A (en) 2005-06-01
JP5340539B2 (en) 2013-11-13
US7289225B2 (en) 2007-10-30

Similar Documents

Publication Publication Date Title
EP1664931B1 (en) Surface triangulation and profiling
US7130056B2 (en) System and method of using a side-mounted interferometer to acquire position information
US7636168B2 (en) Interferometry method and system including spectral decomposition
US7321431B2 (en) Method and system for analyzing low-coherence interferometry signals for information about thin film structures
US7869057B2 (en) Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
US7522288B2 (en) Compensation of systematic effects in low coherence interferometry
US7139081B2 (en) Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US6847458B2 (en) Method and apparatus for measuring the shape and thickness variation of polished opaque plates
US8107084B2 (en) Interference microscope with scan motion detection using fringe motion in monitor patterns
KR20100122123A (en) Interferometry method for ellipsometry, relectometry, and scatterometry measurements, including characterization of thin film structure
JPH01235807A (en) Depth measuring instrument

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BW BY BZ CA CH CN CO CR CU CZ DK DM DZ EC EE EG ES FI GB GD GE GM HR HU ID IL IN IS JP KE KG KP KZ LC LK LR LS LT LU LV MA MD MK MN MW MX MZ NA NI NO NZ PG PH PL PT RO RU SC SD SE SG SK SY TJ TM TN TR TT TZ UA UG US UZ VN YU ZA ZM

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ NA SD SZ TZ UG ZM ZW AM AZ BY KG MD RU TJ TM AT BE BG CH CY DE DK EE ES FI FR GB GR HU IE IT MC NL PL PT RO SE SI SK TR BF CF CG CI CM GA GN GQ GW ML MR SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2006526408

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2004784044

Country of ref document: EP

Ref document number: 1020067005257

Country of ref document: KR

CFP Corrected version of a pamphlet front page
CR1 Correction of entry in section i

Free format text: IN PCT GAZETTE 13/2005 UNDER (72, 75) REPLACE "KUTCHEL" BY "KUCHEL"

WWP Wipo information: published in national office

Ref document number: 2004784044

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020067005257

Country of ref document: KR