WO2005038878A2 - Developer-soluble materials and methods of using the same in via-first dual damascene applications - Google Patents

Developer-soluble materials and methods of using the same in via-first dual damascene applications Download PDF

Info

Publication number
WO2005038878A2
WO2005038878A2 PCT/US2004/034495 US2004034495W WO2005038878A2 WO 2005038878 A2 WO2005038878 A2 WO 2005038878A2 US 2004034495 W US2004034495 W US 2004034495W WO 2005038878 A2 WO2005038878 A2 WO 2005038878A2
Authority
WO
WIPO (PCT)
Prior art keywords
composition
layer
group
substrate
polymer
Prior art date
Application number
PCT/US2004/034495
Other languages
French (fr)
Other versions
WO2005038878A3 (en
Inventor
Mandar Bhave
Carlton A. Washburn
Rama Puligadda
Kevin Edwards
Original Assignee
Brewer Science Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brewer Science Inc. filed Critical Brewer Science Inc.
Priority to EP04795633.9A priority Critical patent/EP1673801B1/en
Priority to JP2006535432A priority patent/JP5368674B2/en
Priority to KR1020067007008A priority patent/KR101189397B1/en
Publication of WO2005038878A2 publication Critical patent/WO2005038878A2/en
Publication of WO2005038878A3 publication Critical patent/WO2005038878A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material

Definitions

  • the present invention is concerned with new dual damascene methods utilizing a developer solution contact step after baking of a gap-fill or anti-reflective composition and prior to application of a photoresist layer. These methods result in cured layers having low biases, even on highly topographic surfaces.
  • the damascene integration scheme is one way to allow for increasing chip densities on a substrate as design rules continue to shrink integrated circuit devices.
  • the damascene process eliminates the need to etch the metal layer that provides the interconnections, permits more densely spaced interconnects, and eliminates the need for dielectric gap-fill materials.
  • the single damascene process fabricates interconnections by forming a conducting plug through a dielectric layer to connect to the underlying conducting layer. Another dielectric layer is then formed, with the actual interconnect wiring metallization being patterned in the second layer.
  • the dual damascene process constructs multi-level interconnects of smaller size than the single damascene process.
  • the via and trench patterns are patterned into a single dielectric layer and then filled in one step with a conducting material such as a metal.
  • Dual damascene processes involve fewer steps, resulting in smaller, more complex integrated circuit devices, thus lowering manufacturing complexity and cost.
  • patterning and etch processes are made more difficult because of feature topography and more complex stack layers.
  • Several techniques have been developed to address such problems, including self-aligned dual damascene, trench-first dual damascene, and via-first dual damascene processes.
  • trench-first dual damascene processes involve first masking and etching the trench, and then aligning the via pattern with the newly etched trenches.
  • Successful trench-first dual damascene processes require achieving very uniform trenches and maintaining critical dimension control of vias, which in turn requires high etch selectivity between the dielectric and etch-stop layers.
  • the use of etch-stop layers may also increase the dielectric constant of the dielectric material, possibly leading to device failure.
  • Via-first dual damascene is a somewhat simpler technique, because the vias are formed on top of the full stack of layers.
  • the vias are etched, followed by lithography processes to form the trench patterns.
  • Via-first dual damascene requires a fill composition capable of protecting the bottom of the via during the trench etch step, and of planarizing the surface to allow easier trench patterning.
  • Two techniques are commonly used in via-first dual damascene processes: partial fill and full fill. In partial fill processes, the gap-fill material protects only the bottoms of the via holes, requiring consistent coverage and depth control. In full-fill processes, the vias are completely filled and the layer is planarized. The etching process is performed on the top layer.
  • a typical via-first dual damascene process is illustrated in Figure 1.
  • bottom anti-reflective coating (10) is deposited onto a substrate (12) with a conductive layer (14).
  • a gap-fill material without light-absorbing properties (16) has mostly filled the vias (17).
  • a hardmask layer (18) and a bottom anti-reflective coating (20) are applied for reflection control for the patterned photoresist (22) to permit the trench to be etched into the dielectric layer (10).
  • Using a gap-fill material with good light-absorption and planarizing properties would simplify the process, but conventional organic bottom anti-reflective coatings do not display these properties.
  • Coating properties of bottom anti-reflective coatings vary based on feature density and size. Differences in feature density result in iso-dense bias, in which the depth of the bottom anti-reflective coating is greater in isolated device features than in dense device features.
  • bottom anti-reflective coatings addresses this problem, but requires a troublesome blanket-etch step to planarize the layer and reduce its thickness to more useful levels before the photoresist layer can be applied and patterned. This requires additional manufacturing steps, and may require wafers to be transferred between the etch and lithography bays during manufacturing. Thick coatings also require a high etch selectivity between the photoresist and the bottom anti-reflective coating, which may not be possible because of the etch chemistry or the photoresist and bottom anti-reflective coating chemistries.
  • Fig.2 shows a dielectric layer (24) with via openings (26) formed over a substrate (28) with a conductive layer (30) that has been coated with a conventional, gap-fill, bottom anti-reflective coating (32).
  • Fig. 2 illustrates the poor fill in the dense areas, nonuniform fill (34), and voids in the bottom anti-reflective coating (36).
  • Blanket etch steps can also leave debris remaining in device features after etching steps, which can lead to poor electrical connections and device failure. Removal of such debris may require the use of time-consuming, wet-cleaning techniques, and despite this, debris may still remain.
  • Thick bottom anti-reflective coatings can also result in a fence problem in trenches and vias.
  • the bottom anti-reflective coating and the dielectric material undergo a chemical reaction when in contact with one another, forming a thin residue inside the vias.
  • the residue can cause incomplete trench etch, leading to poor device feature integrity.
  • a method of using bottom anti-reflective coatings that would reduce the number of steps required in fabrication, eliminate the debris and the fence problems, and result in a more consistent fill of dense and isolated device features is greatly needed.
  • the present invention is broadly concerned with new methods of forming dual damascene structures.
  • the inventive methods comprise applying (e.g., by spin coating) a composition to a substrate so as to form a layer of that composition on the substrate surface.
  • the composition layer is then baked, and a developer is puddled on the cured layer so as to remove (recess) at least some of the layer.
  • the developer is removed (e.g., by spinning) from the cured layer, and the layer structure is further processed as necessary for its intended final use.
  • the substrate utilized will preferably include topography (e.g., contact holes, via holes, raised features, trenches). This topography can be included directly on the substrate surface, or it can be included in one or more layers of other material formed on the substrate surface.
  • the substrate can be any microelectronic substrate.
  • Preferred substrates include those commonly used in dual damascene structures, with particularly preferred substrates being selected from the group consisting of silicon, aluminum, tungsten, tungsten suicide, gallium arsenide, germanium, tantalum, tantalum nitrite, and SiGe.
  • the composition utilized can be any suitable crosslinking composition, including conventional anti-reflective coating and/or gap-fill compositions. This composition can be applied to the substrate at any number of average thicknesses depending upon the final use, but will typically be initially applied at thicknesses of from about 150-600 nm. It's preferred that the composition be applied to the substrate in sufficient quantities to substantially planarize the substrate surface.
  • the composition comprises a polymer dispersed or dissolved in a solvent system.
  • Preferred polymers include polyesters and polyamic acids, with the preferred polyamic acids including recurring monomers having the formulas and
  • ⁇ and [ ⁇ ] are individually selected from the group consisting of aryl and aliphatic groups.
  • Preferred X and Y groups include those selected from the group consisting of substituted and unsubstituted phenyl, biphenyl, naphthyl, and anthryl groups, and substituted and unsubstituted C,-C 12 aliphatic (preferably alkyl) groups.
  • the polyamic acids can be formed by polymerizing a dianhydride with a diamine in the presence of a solvent system and a catalyst.
  • Preferred dianhydrides have the formula
  • polyamic acids for use in the present invention comprise recurring monomers selected from the group consisting of
  • X is selected from the group consisting of -O-, -S-, -CH 2 -, -C(CF 3 ) 2 -, and -C(CH 3 ) 2 -; n is 2-8; and each R is individually selected from the group consisting of -H and -OH.
  • One preferred monomer has the formula
  • the polymer comprises an endcap monomer at one of its termini, and even more preferably at both of its termini.
  • the endcap monomer will have a formula other than (I) or (IT) above, and it will comprise functional groups that are less reactive at room temperature than the those of the other monomers within the polymer, thus reducing room temperature reactions.
  • Particularly preferred endcap monomers have the formula
  • Scheme A shows one example of how such an endcap monomer can be added to the polymer.
  • the compositions are formed by simply dispersing or dissolving the polymers in the solvent system, preferably at ambient conditions and for a sufficient amount of time to form a substantially homogeneous dispersion.
  • the polymer should be present in the composition at a level of 1 -40% by weight, more preferably from about 5-25% by weight, and even more preferably from about 5-15% by weight, based upon the total weight of solids in the composition taken as 100% by weight.
  • the weight average molecular weight of this polymer is preferably from about 1,000-1,000,000 Daltons, and more preferably from about 10,000- 100,000 Daltons.
  • Preferred solvent systems include a solvent selected from the group consisting of propylene glycol methyl ether acetate (PGMEA), propylene glycol methyl ether (PGME), ethyl lactate, and mixtures thereof.
  • the solvent system should have a boiling point of from about 50- 250°C, and more preferably from about 150-200°C, and should be utilized at a level of from about 80-98% by weight, preferably from about 80-95% by weight, and even more preferably from about 85-92% by weight, based upon the total weight of the composition taken as 100% by weight.
  • the percent solids in the composition will be from about 2-20% by weight, preferably from about 5-20% by weight, and more preferably from about 8- 15% by weight, based upon the total weight of the composition taken as 100% by weight.
  • Any other ingredients should be dissolved or dispersed in the solvent system along with the polymer.
  • One such ingredient is a crosslinking agent.
  • Preferred crosslinking agents include aminoplasts (e.g., POWDERLESfK 1174, CYMEL, both from Cytec Industries), multi-functional epoxies (e.g., CY179MA from Vantico, MY720 from Ciba-Geigy), and cyanurates (triepoxy propyl isocyanurate).
  • the crosslinking agent is preferably present in the composition at a level of from about 0-70% by weight, preferably from about 1-30% by weight, and more preferably from about 1-10% by weight, based upon the total weight of polymer solids in the composition taken as 100% by weight.
  • the compositions of the invention should crosslink at a temperature of from about 100-250°C, and more preferably from about 150-200°C.
  • the compositions also include a light attenuating compound or moiety (also referred to as a chromophore or dye).
  • the light attenuating compound could be chemically attached to a polymer, or it could simply be blended into the polymer solution.
  • the chemical technique to attach the light attenuating compound involves reacting the light attenuating compound (containing at least one or more pendant carboxylic acid or phenol functional groups) with an epoxy or a multifunctional epoxy compound. If used, the light attenuating compound or moiety should be present in the composition at a level of from about 1-10% by weight, and preferably from about 2-6% by weight, based upon the total weight of solids in the composition taken as 100% by weight. The light attenuating compound is selected based upon the wavelength at which the compositions will be processed.
  • preferred light attenuating compounds or moieties include napthalenes and anthracenes, with 3,7-dihydroxy-2-napthoic acid being particularly preferred.
  • preferred light attenuating compounds or moieties include phenyl or biphenyl (aromatic or substituted aromatic rings) compounds.
  • Particularly preferred light attenuating compounds include the following compounds (as well as functional moieties of those compounds):
  • R is selected from the group consisting of cyclic (including heterocyclic rings such as cyanuric rings) and aliphatic groups.
  • Aliphatic groups include both cyclic (preferably C 3 -C 12 ) and branched and unbranched alkyls (preferably C,-C 12 ).
  • Typical optional ingredients include surfactants, catalysts, and adhesion promoters.
  • the degree imidization (i.e., the conversion of polyamic acid to polyimide) after baking be at least about 20 greater, and preferably from about 30-90 greater than the degree of imidization prior to baking. This is generally accomplished by heating at a temperature of from about 130-230°C, and preferably from about 150-210°C. This heating step is preferably carried out for a time period of from about 30-120 seconds, and more preferably from about 45-90 seconds.
  • a developer is puddled onto the layer.
  • the developer is preferably a commercially available aqueous developer comprising a base.
  • Particularly preferred developers include those selected from the group consisting of tetramethyl ammonium hydroxide, potassium hydroxide, sodium hydroxide, and mixtures thereof.
  • the developer is preferably allowed to contact the layer for a time period of from about 30-90 seconds, more preferably from about 45-80 seconds, and even more preferably for about 55-65 seconds.
  • the developer is then removed from the substrate, preferably by spinning at a speed of from about 1,500-2,500 rpm for a time period of from about 20-40 seconds.
  • the developer contact step removes or recesses the composition at a rate of from about 1-500 A of thickness per second of developer contact, more preferably from about 10-300 A of thickness per second of developer contact, and even more preferably from about 20-200 A of thickness per second of developer contact.
  • the amount of recess can be to a level above, at, or below the upper edge of the vias, depending upon the application. If the material is recessed to a point above the upper edge of the vias, the remaining film can be used as an anti-reflective layer at its first or second reflective minimum thickness.
  • the material is recessed to a level at or below the upper edge of the vias, the material will act only as a gap-filling material, and another anti-reflective coating layer is preferably applied to suppress light reflecting from the substrate.
  • Having the material recessed to partially fill the vias can be advantageous because less bottom anti-reflective coating must be etched. Minimization of the material that must be etched in the vias results in reduced fencing or crown formation after trench etch.
  • fences or crowns are bottom anti-reflective coating or dielectric residue that remains after the trench etch because of poor etch selectivity between the via- fill, bottom anti-reflective coating and the interlayer dielectric.
  • the inventive process can be used in both partial fill (i.e., where about 35-65% of the hole's depth is filled with the composition) and full-fill (i.e., where at least about 95%, and preferably about 100% of the hole's depth is filled with the composition) applications.
  • the inventive methods can be used to form dual damascene structures possessing properties not previously achieved.
  • the structures prepared according to the inventive methods will have low biases, even with very thin layers of the composition.
  • the inventive methods will result in layers having biases of less than about 20 nm, preferably less than about 10 nm, and more preferably from about 0-5 nm, even at average film thicknesses of less than about 200 nm.
  • the average thickness of a layer is determined by measuring (with an ellipsometer or other conventional device) the thickness of a layer at a point about halfway between two via holes: ( 1 ) that are not separated from one another by an intervening feature; and (2) whose boundaries are within 1,000 nm of one another. These measurements are repeated over a wafer (or other area as defined herein) up to 49 times, and the measurements are averaged to determine the average thickness of a layer.
  • the bias is determined by subtracting the average thickness of a layer over a dense region from the average thickness of that same layer over an isolated region.
  • a dense region is defined as a portion of a substrate that has at least about 50% of its surface area filled with via holes, while an isolated region is defined as a portion of a substrate that has less than about 20% of its surface area filled with via holes.
  • a photoresist layer can then be applied to the recessed composition (or to an anti- reflective coating applied on the recessed composition), with the photoresist layer being patterned according to conventional processes (e.g., exposure to activating radiation at the wavelength of interest, developing the exposed photoresist). Since the inventive method is particularly well- suited for via first methods, this patterning step will typically involve patterning the desired trenches, follow by etching (e.g., plasm etching) of those trenches into the substrate. It will be appreciated that this method is particularly advantageous over the prior art because it is compatible with copper-containing circuits, while avoiding the issues typically encountered with methods where etching of copper is required.
  • Fig. 1 is a schematic drawing showing prior art, via-first, dual damascene layers using a gap-fill material without light-absorbing properties
  • Fig.2 is a schematic drawing illustrating the gap-fill properties of prior art organic bottom anti-reflective coatings
  • Fig. 3 is a scanning electron microscope (SEM) photograph showing the different recess levels used with the inventive method described in Example 1.
  • SEM scanning electron microscope
  • a polymer mother liquor and a dye solution were first prepared.
  • the polymer mother liquor was prepared by mixing, in the order listed, the following reagents: • 39.46 g of 2,2-bis(3-amino-4-hydroxyphenyl) hexafluoropropane (Bis-AP-AF; obtained from ChrisKev Corp.); • 1.24 g of 3,3'-dihydroxy-4,4'-aminobenzidine (HAB; obtained from ChrisKev Corp.); • 500 g of propylene glycol monomethyl ether (PGME; obtained from Harcross Corp.); • 25 g of pyromellitic dianhydride (PMDA; obtained from ChrisKev Corp.); and 91.3 g of PGME.
  • the monomers used for this polymer along with the respective molar ratios of these monomers:
  • the dye solution was prepared by mixing the following reagents in a 250-ml flask: • 7.25 g of triglycidyl epoxy propyl cyanurate (TEPIC; obtained from Aldrich Chemical Corp.); • 13.33 g of 3,7-dihydroxy napthoic acid (obtained from Aldrich Chemical Corp.); • 7.25 g of 9-anthracene carboxylic acid (obtained from TCI Chemicals Ltd.); 0.56 g of benzyl triethyl ammonium chloride (BTEAC; obtained from Aldrich Chemical Corp.); and 122.32 g of PGME.
  • TEPIC triglycidyl epoxy propyl cyanurate
  • BTEAC benzyl triethyl ammonium chloride
  • EXAMPLE 1 Preparation of Slower-Developing, Acetal Photoresist-Compatible Composition
  • the composition of this example was made by mixing the following ingredients together: • 55.0 g of the polymer solution prepared above (5.5 g polymer solids and 49.5 g PGME); • 16.5 g of the dye solution prepared above (3.3 g dye solids and 13.2 g PGME); 1.67 g of MY720 (Ciba-Geigy); 21.57 g of PGME; and 36.12 g of PGMEA.
  • the total weight of the composition mixture was 130.86 g, with 8% solids.
  • the composition was spin applied at 1,500 rpm for 60 seconds onto silicon wafers and then baked at 175°C for 60 seconds using a proximity bake plate.
  • the film thickness of the coating was measured using an ellipsometer. The thickness range was from 290 to 300 nm across a 200-mm silicon wafer.
  • the optical properties (n and k values) were determined using a J. A. Woollam variable angle spectroscopic ellipsometer (VASE).
  • This composition was also spin applied on substrates having via holes ranging in size from 0.1 to 0.3 ⁇ m.
  • the composition was spun on at 1,000 rpm for 10 seconds followed by 1,500 rpm for 30 to 50 seconds.
  • the film was then baked on a proximity hotplate at a temperature of 180°C for a time period of 60 seconds.
  • the via holes were completely planarized after the composition was spin coated onto the substrate.
  • the thickness of the composition ranged from 100 nm to 600 nm depending on the size and density of via holes present on the substrate.
  • a standard 0.26N TMAH developer was puddled on the substrate for 60 seconds and then spun off.
  • the wafer was then rinsed with deionized water, and the wafer was baked at 100°C for 10 seconds to remove any residual water from the surface of the substrate.
  • Fig. 8 shows a set of examples using the composition of this example where the substrate contained via holes that were 0.25 ⁇ m in diameter and 0.7 ⁇ m deep.
  • Fig. 8(a) shows a sample prior to the wet recess process.
  • Fig. 8(b) shows a sample that was baked at 180°C for 60 seconds, followed by recessing of the composition to the second reflectivity minimum thickness of the composition.
  • Fig. 8(c) shows a sample that was baked at 180°C for 60 seconds, followed by recessing for 60 seconds to the via surface.
  • Fig. 8 shows a set of examples using the composition of this example where the substrate contained via holes that were 0.25 ⁇ m in diameter and 0.7 ⁇ m deep.
  • Fig. 8(a) shows a sample prior to the wet recess process.
  • Fig. 8(b) shows a sample that was baked at 180°C for 60 seconds, followed by recessing of the composition to the second reflectivity minimum thickness of the composition.
  • EXAMPLE 3 Preparation of Faster-Developing, ESCAP Photoresist-Compatible Composition
  • This composition was prepared by mixing the following ingredients: • 12.0 g of the polymer solution prepared above (1.2 g of polymer solids, 10.8 g of PGME); • 7.20 g of the dye solution prepared above (1.44 g of solids, 5.76 g of PGME); • 0.4 g of CY179MA (33% of polymer solids; obtained from Vantico); 7.64 g of PGME ; and 10.76 g of PGMEA. The total weight of the composition was 38.0 g, with 8% solids. The composition was then spin coated, processed, and examined using the same methods described in Example 1. A proximity bake plate was used to bake the wafers. OPTICAL PROPERTIES FOR COMPOSITIONS OF EXAMPLES 1-3 Tables I sets forth the n and k values at 193- and 248-nm wavelengths for the compositions described in Examples 1-3 above.
  • a dye solution was prepared by adding the following reagents to a 100-ml, 3-neck, round- bottom flask equipped with nitrogen inlet, thermometer, condenser, and a stir bar: • 14.29 g of TEPIC (obtained from Nissan Chemical Industries); • 25.52 g of 3,7-dihydroxynaphthoic acid (obtained from Aldrich); • 0.214 g of imidazole (obtained Aldrich); and 59.98 g of PGME.
  • the reaction flask was immersed in an oil bath heated to 120°C. The reaction was stirred for 24 hours at reflux. Two formulations were prepared - one including the dye solution and one without the dye solution.
  • the formulation without the dye solution was prepared by blending the following ingredients to form a homogeneous solution: • 10.0 g of the polymer mother liquor described in this example; • 1.4 g of N,N-diglycidyl-4-glycidyloxyanaline (obtained from Aldrich); • 3.45 g of PGME; and • 12.15 g of propylene glycol propyl ether (PnP; obtained from Harcross).
  • This formulation was spin applied at 1,500 rpm for 60 seconds onto a silicon wafer containing via holes.
  • the formulation including the dye solution was prepared by blending the following ingredients to form a homogeneous solution: • 10.0 g of the polymer mother liquor described in this example; • 1.4 g of N,N-diglycidyl-4-glycidyloxyanaline; • 3.75 g of the dye solution described in this example; 3.45 g of PGME; and • 12.15 g of PnP.
  • This formulation was spin applied at 1,500 rpm for 60 seconds onto a silicon wafer containing via holes.
  • EXAMPLE 5 Preparation of Composition without a Dye (Gap-Fill Compositions)
  • Formulation (b) - The following reagents were combined: 36.30 g of the polymer mother liquor prepared in this example; 4.00 g of crosslinker MY720 (50% weight solution in PGME); • 33.30 g of PGME; and 26.40 g of PGMEA. The reagents were stirred and then filtered through a 0.1 - ⁇ m endpoint filter.
  • Formulation (c) The following reagents were combined: • 36.30 g of the polymer mother liquor prepared in this example; 4.00 g of crosslinker DEN 438 (obtained from Araldite; 50% weight solution in PGME); 33.30 g of PGME; and 26.40 g of PGMEA. The reagents were stirred and then filtered through a 0.1 - ⁇ m endpoint filter.
  • Formulation (d) The following reagents were combined: • 10 g of the polymer mother liquor prepared in this example; 1.08 g of crosslinker MY720 (50% weight solution in PGME); • 0.54 g of trishydroxyphenyl ethane; • 11.56 g of PGME; and 8.316 of PGMEA. The reagents were stirred and then filtered through a 0.1 - ⁇ m endpoint filter.

Abstract

Wet-recess (develop) gap-fill and bottom anti-reflective coatings based on a polyamic acid or polyester platform are provided. The polyamic acid platform allows imidization to form a polyimide when supplied with thermal energy. The gap-fill and bottom anti-reflective coatings are soluble in standard aqueous developers, and are useful for patterning via holes and trenches on semiconductor substrates in a dual damascene patterning scheme. In one embodiment, compositions composed of polyamic acids can be used as gap-filling (via-filling) materials having no anti-reflective function in a copper dual damascene process to improve iso-dense fill bias across different via arrays. In another embodiment, the same composition can be used for anti-reflective purposes, wherein the photoresist can be directly coated over the rescessed surface, while it also acts as a fill material to planarize via holes on the substrate. The compositions described here are particular suitable for use at exposure wavelengths of less than about 370 nm.

Description

DEVELOPER-SOLUBLE MATERIALS AND METHODS OF USING THE SAME IN NIA-FIRST DUAL DAMASCENE APPLICATIONS
BACKGROUND OF THE INVENTION
Related Applications This application claims the priority benefit of a provisional application entitled WET- DEVELOP (RECESS) MATERIALS BASED ON POLYAMIC ACIDS FOR VIA-FIRST DUAL DAMASCENE APPLICATIONS, Serial No. 60/511,762, filed October 15, 2003, incorporated by reference herein.
Field of the Invention The present invention is concerned with new dual damascene methods utilizing a developer solution contact step after baking of a gap-fill or anti-reflective composition and prior to application of a photoresist layer. These methods result in cured layers having low biases, even on highly topographic surfaces.
Description of the Prior Art As integrated circuit devices grow smaller, there is an increasing need for multi-level interconnects of smaller size and improved feature integrity. The damascene integration scheme is one way to allow for increasing chip densities on a substrate as design rules continue to shrink integrated circuit devices. The damascene process eliminates the need to etch the metal layer that provides the interconnections, permits more densely spaced interconnects, and eliminates the need for dielectric gap-fill materials. There are two general classes of damascene processes: single damascene and dual damascene. The single damascene process fabricates interconnections by forming a conducting plug through a dielectric layer to connect to the underlying conducting layer. Another dielectric layer is then formed, with the actual interconnect wiring metallization being patterned in the second layer. The dual damascene process constructs multi-level interconnects of smaller size than the single damascene process. The via and trench patterns are patterned into a single dielectric layer and then filled in one step with a conducting material such as a metal. Dual damascene processes involve fewer steps, resulting in smaller, more complex integrated circuit devices, thus lowering manufacturing complexity and cost. Despite the advantages of dual damascene processes, patterning and etch processes are made more difficult because of feature topography and more complex stack layers. Several techniques have been developed to address such problems, including self-aligned dual damascene, trench-first dual damascene, and via-first dual damascene processes. The application of self-aligned dual damascene is limited, because it requires a thick, intermediate layer to act as an anti-reflective layer, nearly perfect trench and via alignment, and very high etch selectivity between the dielectric and etch-stop layers. Trench-first dual damascene processes involve first masking and etching the trench, and then aligning the via pattern with the newly etched trenches. Successful trench-first dual damascene processes require achieving very uniform trenches and maintaining critical dimension control of vias, which in turn requires high etch selectivity between the dielectric and etch-stop layers. The use of etch-stop layers may also increase the dielectric constant of the dielectric material, possibly leading to device failure. Via-first dual damascene is a somewhat simpler technique, because the vias are formed on top of the full stack of layers. The vias are etched, followed by lithography processes to form the trench patterns. Via-first dual damascene requires a fill composition capable of protecting the bottom of the via during the trench etch step, and of planarizing the surface to allow easier trench patterning. Two techniques are commonly used in via-first dual damascene processes: partial fill and full fill. In partial fill processes, the gap-fill material protects only the bottoms of the via holes, requiring consistent coverage and depth control. In full-fill processes, the vias are completely filled and the layer is planarized. The etching process is performed on the top layer. During photoresist patterning steps, it is necessary to control reflections from underlying materials through use of an anti-reflective coating to prevent distortion of the photoresist pattern. If the gap-fill material lacks suitable light-absorbing properties, trench patterning usually requires incorporating an anti-reflective coating into the stack as a hardmask layer, or coating an anti- reflective layer over the gap-fill material before applying the photoresist. These extra layers complicate the process and increase manufacturing costs. A typical via-first dual damascene process is illustrated in Figure 1. A dielectric layer
(10) is deposited onto a substrate (12) with a conductive layer (14). A gap-fill material without light-absorbing properties (16) has mostly filled the vias (17). A hardmask layer (18) and a bottom anti-reflective coating (20) are applied for reflection control for the patterned photoresist (22) to permit the trench to be etched into the dielectric layer (10). Using a gap-fill material with good light-absorption and planarizing properties would simplify the process, but conventional organic bottom anti-reflective coatings do not display these properties. Coating properties of bottom anti-reflective coatings vary based on feature density and size. Differences in feature density result in iso-dense bias, in which the depth of the bottom anti-reflective coating is greater in isolated device features than in dense device features. The use of very thick bottom anti-reflective coatings addresses this problem, but requires a troublesome blanket-etch step to planarize the layer and reduce its thickness to more useful levels before the photoresist layer can be applied and patterned. This requires additional manufacturing steps, and may require wafers to be transferred between the etch and lithography bays during manufacturing. Thick coatings also require a high etch selectivity between the photoresist and the bottom anti-reflective coating, which may not be possible because of the etch chemistry or the photoresist and bottom anti-reflective coating chemistries. Fig.2 shows a dielectric layer (24) with via openings (26) formed over a substrate (28) with a conductive layer (30) that has been coated with a conventional, gap-fill, bottom anti-reflective coating (32). Fig. 2 illustrates the poor fill in the dense areas, nonuniform fill (34), and voids in the bottom anti-reflective coating (36). Blanket etch steps can also leave debris remaining in device features after etching steps, which can lead to poor electrical connections and device failure. Removal of such debris may require the use of time-consuming, wet-cleaning techniques, and despite this, debris may still remain. Thick bottom anti-reflective coatings can also result in a fence problem in trenches and vias. The bottom anti-reflective coating and the dielectric material undergo a chemical reaction when in contact with one another, forming a thin residue inside the vias. The residue can cause incomplete trench etch, leading to poor device feature integrity. In view of the difficulties in the via-first dual damascene process, a method of using bottom anti-reflective coatings that would reduce the number of steps required in fabrication, eliminate the debris and the fence problems, and result in a more consistent fill of dense and isolated device features is greatly needed. SUMMARY OF THE INVENTION The present invention is broadly concerned with new methods of forming dual damascene structures. The inventive methods comprise applying (e.g., by spin coating) a composition to a substrate so as to form a layer of that composition on the substrate surface. The composition layer is then baked, and a developer is puddled on the cured layer so as to remove (recess) at least some of the layer. The developer is removed (e.g., by spinning) from the cured layer, and the layer structure is further processed as necessary for its intended final use. In more detail, the substrate utilized will preferably include topography (e.g., contact holes, via holes, raised features, trenches). This topography can be included directly on the substrate surface, or it can be included in one or more layers of other material formed on the substrate surface. The substrate can be any microelectronic substrate. Preferred substrates include those commonly used in dual damascene structures, with particularly preferred substrates being selected from the group consisting of silicon, aluminum, tungsten, tungsten suicide, gallium arsenide, germanium, tantalum, tantalum nitrite, and SiGe. The composition utilized can be any suitable crosslinking composition, including conventional anti-reflective coating and/or gap-fill compositions. This composition can be applied to the substrate at any number of average thicknesses depending upon the final use, but will typically be initially applied at thicknesses of from about 150-600 nm. It's preferred that the composition be applied to the substrate in sufficient quantities to substantially planarize the substrate surface. The composition comprises a polymer dispersed or dissolved in a solvent system. Preferred polymers include polyesters and polyamic acids, with the preferred polyamic acids including recurring monomers having the formulas
Figure imgf000006_0001
and
where each of Ξ and [Ϋ] is individually selected from the group consisting of aryl and aliphatic groups.
Preferred X and Y groups include those selected from the group consisting of substituted and unsubstituted phenyl, biphenyl, naphthyl, and anthryl groups, and substituted and unsubstituted C,-C12 aliphatic (preferably alkyl) groups. The polyamic acids can be formed by polymerizing a dianhydride with a diamine in the presence of a solvent system and a catalyst. Preferred dianhydrides have the formula
Figure imgf000006_0003
while preferred diamines have the formula H,N- - Y -NH2
where X and Y are as defined above. The most preferred polyamic acids for use in the present invention comprise recurring monomers selected from the group consisting of
Figure imgf000007_0001
where: X is selected from the group consisting of -O-, -S-, -CH2-, -C(CF3)2-, and -C(CH3)2-; n is 2-8; and each R is individually selected from the group consisting of -H and -OH. One preferred monomer has the formula
Figure imgf000008_0001
In one preferred embodiment, the polymer comprises an endcap monomer at one of its termini, and even more preferably at both of its termini. The endcap monomer will have a formula other than (I) or (IT) above, and it will comprise functional groups that are less reactive at room temperature than the those of the other monomers within the polymer, thus reducing room temperature reactions. Particularly preferred endcap monomers have the formula
Figure imgf000008_0002
Scheme A shows one example of how such an endcap monomer can be added to the polymer. Scheme A
Figure imgf000009_0001
Regardless of the embodiment, the compositions are formed by simply dispersing or dissolving the polymers in the solvent system, preferably at ambient conditions and for a sufficient amount of time to form a substantially homogeneous dispersion. The polymer should be present in the composition at a level of 1 -40% by weight, more preferably from about 5-25% by weight, and even more preferably from about 5-15% by weight, based upon the total weight of solids in the composition taken as 100% by weight. The weight average molecular weight of this polymer is preferably from about 1,000-1,000,000 Daltons, and more preferably from about 10,000- 100,000 Daltons. Preferred solvent systems include a solvent selected from the group consisting of propylene glycol methyl ether acetate (PGMEA), propylene glycol methyl ether (PGME), ethyl lactate, and mixtures thereof. The solvent system should have a boiling point of from about 50- 250°C, and more preferably from about 150-200°C, and should be utilized at a level of from about 80-98% by weight, preferably from about 80-95% by weight, and even more preferably from about 85-92% by weight, based upon the total weight of the composition taken as 100% by weight. Thus, the percent solids in the composition will be from about 2-20% by weight, preferably from about 5-20% by weight, and more preferably from about 8- 15% by weight, based upon the total weight of the composition taken as 100% by weight. Any other ingredients should be dissolved or dispersed in the solvent system along with the polymer. One such ingredient is a crosslinking agent. Preferred crosslinking agents include aminoplasts (e.g., POWDERLESfK 1174, CYMEL, both from Cytec Industries), multi-functional epoxies (e.g., CY179MA from Vantico, MY720 from Ciba-Geigy), and cyanurates (triepoxy propyl isocyanurate). The crosslinking agent is preferably present in the composition at a level of from about 0-70% by weight, preferably from about 1-30% by weight, and more preferably from about 1-10% by weight, based upon the total weight of polymer solids in the composition taken as 100% by weight. Thus, the compositions of the invention should crosslink at a temperature of from about 100-250°C, and more preferably from about 150-200°C. In one embodiment, it is preferred that the compositions also include a light attenuating compound or moiety (also referred to as a chromophore or dye). The light attenuating compound could be chemically attached to a polymer, or it could simply be blended into the polymer solution. The chemical technique to attach the light attenuating compound involves reacting the light attenuating compound (containing at least one or more pendant carboxylic acid or phenol functional groups) with an epoxy or a multifunctional epoxy compound. If used, the light attenuating compound or moiety should be present in the composition at a level of from about 1-10% by weight, and preferably from about 2-6% by weight, based upon the total weight of solids in the composition taken as 100% by weight. The light attenuating compound is selected based upon the wavelength at which the compositions will be processed.
Thus, at wavelengths of 248 nm, preferred light attenuating compounds or moieties include napthalenes and anthracenes, with 3,7-dihydroxy-2-napthoic acid being particularly preferred.
At wavelengths of 193 nm, preferred light attenuating compounds or moieties include phenyl or biphenyl (aromatic or substituted aromatic rings) compounds. Particularly preferred light attenuating compounds include the following compounds (as well as functional moieties of those compounds):
Figure imgf000011_0001
Figure imgf000011_0002
where R is selected from the group consisting of cyclic (including heterocyclic rings such as cyanuric rings) and aliphatic groups. Aliphatic groups include both cyclic (preferably C3-C 12) and branched and unbranched alkyls (preferably C,-C12). It will be appreciated that a number of other optional ingredients can be included in the compositions as well. Typical optional ingredients include surfactants, catalysts, and adhesion promoters. After application to the substrate, the composition is baked or cured. The baking temperatures and times affect the degree of imidization of the polyamic acid, and therefore the amount of film remaining after contacting the composition with a developer. It is preferred that the degree imidization (i.e., the conversion of polyamic acid to polyimide) after baking be at least about 20 greater, and preferably from about 30-90 greater than the degree of imidization prior to baking. This is generally accomplished by heating at a temperature of from about 130-230°C, and preferably from about 150-210°C. This heating step is preferably carried out for a time period of from about 30-120 seconds, and more preferably from about 45-90 seconds. Next, a developer is puddled onto the layer. The developer is preferably a commercially available aqueous developer comprising a base. Particularly preferred developers include those selected from the group consisting of tetramethyl ammonium hydroxide, potassium hydroxide, sodium hydroxide, and mixtures thereof. The developer is preferably allowed to contact the layer for a time period of from about 30-90 seconds, more preferably from about 45-80 seconds, and even more preferably for about 55-65 seconds. The developer is then removed from the substrate, preferably by spinning at a speed of from about 1,500-2,500 rpm for a time period of from about 20-40 seconds. When comparing the thickness of the layer prior to developer contact to the thickness of the layer after developer contact, it can be seen that the developer contact step results in the composition being at least partially removed. Preferably, the developer contact step removes or recesses the composition at a rate of from about 1-500 A of thickness per second of developer contact, more preferably from about 10-300 A of thickness per second of developer contact, and even more preferably from about 20-200 A of thickness per second of developer contact. The amount of recess can be to a level above, at, or below the upper edge of the vias, depending upon the application. If the material is recessed to a point above the upper edge of the vias, the remaining film can be used as an anti-reflective layer at its first or second reflective minimum thickness. If the material is recessed to a level at or below the upper edge of the vias, the material will act only as a gap-filling material, and another anti-reflective coating layer is preferably applied to suppress light reflecting from the substrate. Having the material recessed to partially fill the vias can be advantageous because less bottom anti-reflective coating must be etched. Minimization of the material that must be etched in the vias results in reduced fencing or crown formation after trench etch. Fences or crowns are bottom anti-reflective coating or dielectric residue that remains after the trench etch because of poor etch selectivity between the via- fill, bottom anti-reflective coating and the interlayer dielectric. The inventive process can be used in both partial fill (i.e., where about 35-65% of the hole's depth is filled with the composition) and full-fill (i.e., where at least about 95%, and preferably about 100% of the hole's depth is filled with the composition) applications. Furthermore, it will be appreciated that the inventive methods can be used to form dual damascene structures possessing properties not previously achieved. For example, the structures prepared according to the inventive methods will have low biases, even with very thin layers of the composition. Specifically, the inventive methods will result in layers having biases of less than about 20 nm, preferably less than about 10 nm, and more preferably from about 0-5 nm, even at average film thicknesses of less than about 200 nm. As used herein, the average thickness of a layer is determined by measuring (with an ellipsometer or other conventional device) the thickness of a layer at a point about halfway between two via holes: ( 1 ) that are not separated from one another by an intervening feature; and (2) whose boundaries are within 1,000 nm of one another. These measurements are repeated over a wafer (or other area as defined herein) up to 49 times, and the measurements are averaged to determine the average thickness of a layer. As used herein, the bias is determined by subtracting the average thickness of a layer over a dense region from the average thickness of that same layer over an isolated region. A dense region is defined as a portion of a substrate that has at least about 50% of its surface area filled with via holes, while an isolated region is defined as a portion of a substrate that has less than about 20% of its surface area filled with via holes. A photoresist layer can then be applied to the recessed composition (or to an anti- reflective coating applied on the recessed composition), with the photoresist layer being patterned according to conventional processes (e.g., exposure to activating radiation at the wavelength of interest, developing the exposed photoresist). Since the inventive method is particularly well- suited for via first methods, this patterning step will typically involve patterning the desired trenches, follow by etching (e.g., plasm etching) of those trenches into the substrate. It will be appreciated that this method is particularly advantageous over the prior art because it is compatible with copper-containing circuits, while avoiding the issues typically encountered with methods where etching of copper is required.
BRIEF DESCRIPTION OF THE DRAWINGS Fig. 1 is a schematic drawing showing prior art, via-first, dual damascene layers using a gap-fill material without light-absorbing properties; Fig.2 is a schematic drawing illustrating the gap-fill properties of prior art organic bottom anti-reflective coatings; and Fig. 3 is a scanning electron microscope (SEM) photograph showing the different recess levels used with the inventive method described in Example 1. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS EXAMPLES The following examples set forth preferred methods in accordance with the invention. It is to be understood, however, that these examples are provided by way of illustration and nothing therein should be taken as a limitation upon the overall scope of the invention.
MATERIAL PREPARATION
1. Preparation of Polymer Mother Liquor To make the compositions used in these examples, a polymer mother liquor and a dye solution were first prepared. The polymer mother liquor was prepared by mixing, in the order listed, the following reagents: • 39.46 g of 2,2-bis(3-amino-4-hydroxyphenyl) hexafluoropropane (Bis-AP-AF; obtained from ChrisKev Corp.); • 1.24 g of 3,3'-dihydroxy-4,4'-aminobenzidine (HAB; obtained from ChrisKev Corp.); • 500 g of propylene glycol monomethyl ether (PGME; obtained from Harcross Corp.); • 25 g of pyromellitic dianhydride (PMDA; obtained from ChrisKev Corp.); and 91.3 g of PGME. Here are the monomers used for this polymer, along with the respective molar ratios of these monomers:
Figure imgf000014_0001
1.0 0.05 0.94 The Bis-AP-AF and the HAB were added to a 1 -liter, round-bottom flask. The 500 g of PGME were added to the diamines. The mixture was stirred until all of the Bis-AP-AF was in solution, and the HAB was broken into a fine, suspended powder. The mixture was then heated to 60°C using a silicone oil bath. The PMDA was added, and the addition funnel and flask walls were rinsed with the remaining PGME. The flask was then sealed, and the monomers were allowed to react for 24 hours in a nitrogen atmosphere. After 24 hours, the polymer solution was cooled to room temperature, filtered through a 0.45-μm endpoint filter, and bottled in a 1 -liter Nalgene® bottle.
2. Preparation of Dye Solution The dye solution was prepared by mixing the following reagents in a 250-ml flask: • 7.25 g of triglycidyl epoxy propyl cyanurate (TEPIC; obtained from Aldrich Chemical Corp.); • 13.33 g of 3,7-dihydroxy napthoic acid (obtained from Aldrich Chemical Corp.); • 7.25 g of 9-anthracene carboxylic acid (obtained from TCI Chemicals Ltd.); 0.56 g of benzyl triethyl ammonium chloride (BTEAC; obtained from Aldrich Chemical Corp.); and 122.32 g of PGME.
EXAMPLE 1 Preparation of Slower-Developing, Acetal Photoresist-Compatible Composition The composition of this example was made by mixing the following ingredients together: • 55.0 g of the polymer solution prepared above (5.5 g polymer solids and 49.5 g PGME); • 16.5 g of the dye solution prepared above (3.3 g dye solids and 13.2 g PGME); 1.67 g of MY720 (Ciba-Geigy); 21.57 g of PGME; and 36.12 g of PGMEA. The total weight of the composition mixture was 130.86 g, with 8% solids. The composition was spin applied at 1,500 rpm for 60 seconds onto silicon wafers and then baked at 175°C for 60 seconds using a proximity bake plate. The film thickness of the coating was measured using an ellipsometer. The thickness range was from 290 to 300 nm across a 200-mm silicon wafer. The optical properties (n and k values) were determined using a J. A. Woollam variable angle spectroscopic ellipsometer (VASE). The optical properties of the film at a wavelength of 248 nm were n = 1.74 and k = 0.4. At a wavelength of 193 nm, n was 1.5, and k was 0.45. This composition was also spin applied on substrates having via holes ranging in size from 0.1 to 0.3 μm. The composition was spun on at 1,000 rpm for 10 seconds followed by 1,500 rpm for 30 to 50 seconds. The film was then baked on a proximity hotplate at a temperature of 180°C for a time period of 60 seconds. The via holes were completely planarized after the composition was spin coated onto the substrate. The thickness of the composition ranged from 100 nm to 600 nm depending on the size and density of via holes present on the substrate. A standard 0.26N TMAH developer was puddled on the substrate for 60 seconds and then spun off. The wafer was then rinsed with deionized water, and the wafer was baked at 100°C for 10 seconds to remove any residual water from the surface of the substrate. The substrates (ones that were only coated with the composition and others that had gone through a development process) were then cross-sectioned and examined using a scanning electron microscope. Fig. 8 shows a set of examples using the composition of this example where the substrate contained via holes that were 0.25 μm in diameter and 0.7 μm deep. Fig. 8(a) shows a sample prior to the wet recess process. Fig. 8(b) shows a sample that was baked at 180°C for 60 seconds, followed by recessing of the composition to the second reflectivity minimum thickness of the composition. Fig. 8(c) shows a sample that was baked at 180°C for 60 seconds, followed by recessing for 60 seconds to the via surface. Fig. 8(d) shows a sample that was baked at 140°C for 60 seconds, followed by recessing for 30 seconds so that the composition was recessed to below the upper edge of the via holes (for partial fill). EXAMPLE 2 Preparation of Faster-Developing, ESCAP Photoresist-Compatible Composition This composition was prepared by mixing the following ingredients: 24.0 g of the polymer solution prepared above (2.4 g of polymer solids, 21.6 g of PGME); 7.20 g of dye solution (1.44 g of solids, 5.76 g of PGME); • 0.72 g of triepoxy propyl cyanurate (30% of polymer solids); 9.34 g of PGME; and 15.73 g of PGMEA. The total weight of composition was 57.0 g, with 8% solids. The composition was then spin coated, processed, and examined using the same methods described in Example 1. A proximity bake plate was used to bake the wafers.
EXAMPLE 3 Preparation of Faster-Developing, ESCAP Photoresist-Compatible Composition This composition was prepared by mixing the following ingredients: • 12.0 g of the polymer solution prepared above (1.2 g of polymer solids, 10.8 g of PGME); • 7.20 g of the dye solution prepared above (1.44 g of solids, 5.76 g of PGME); • 0.4 g of CY179MA (33% of polymer solids; obtained from Vantico); 7.64 g of PGME ; and 10.76 g of PGMEA. The total weight of the composition was 38.0 g, with 8% solids. The composition was then spin coated, processed, and examined using the same methods described in Example 1. A proximity bake plate was used to bake the wafers. OPTICAL PROPERTIES FOR COMPOSITIONS OF EXAMPLES 1-3 Tables I sets forth the n and k values at 193- and 248-nm wavelengths for the compositions described in Examples 1-3 above.
Figure imgf000018_0001
EXAMPLE 4 Preparation of Composition Using a Polyester Polymer
1. Preparation of Polymer Mother Liquor The following were mixed in a round-bottom flask: 9.61 g of citric acid (obtained from Aldrich); 13.91 g of EX721 (obtained from Nagase Chemicals); • 0.68 g of tetrabutylphosphonium bromide (obtained from Aldrich); and 96.80 g of PGME. A nitrogen atmosphere was established, and the reaction was heated at 100°C for 16 hours. The solution was allowed to cool to ambient temperature and bottled.
2. Preparation of Dye Solution A dye solution was prepared by adding the following reagents to a 100-ml, 3-neck, round- bottom flask equipped with nitrogen inlet, thermometer, condenser, and a stir bar: • 14.29 g of TEPIC (obtained from Nissan Chemical Industries); 25.52 g of 3,7-dihydroxynaphthoic acid (obtained from Aldrich); • 0.214 g of imidazole (obtained Aldrich); and 59.98 g of PGME. The reaction flask was immersed in an oil bath heated to 120°C. The reaction was stirred for 24 hours at reflux. Two formulations were prepared - one including the dye solution and one without the dye solution. The formulation without the dye solution was prepared by blending the following ingredients to form a homogeneous solution: • 10.0 g of the polymer mother liquor described in this example; • 1.4 g of N,N-diglycidyl-4-glycidyloxyanaline (obtained from Aldrich); • 3.45 g of PGME; and • 12.15 g of propylene glycol propyl ether (PnP; obtained from Harcross). This formulation was spin applied at 1,500 rpm for 60 seconds onto a silicon wafer containing via holes. The formulation including the dye solution was prepared by blending the following ingredients to form a homogeneous solution: • 10.0 g of the polymer mother liquor described in this example; • 1.4 g of N,N-diglycidyl-4-glycidyloxyanaline; • 3.75 g of the dye solution described in this example; 3.45 g of PGME; and • 12.15 g of PnP. This formulation was spin applied at 1,500 rpm for 60 seconds onto a silicon wafer containing via holes. EXAMPLE 5 Preparation of Composition without a Dye (Gap-Fill Compositions)
1. Preparation of Polymer Mother Liquor The following ingredients were mixed in a round-bottom flask: • 22.35 g (0.0611 mole) of Bis-AP-AF; and 96.96 g of PGME. The flask was then immersed in an oil bath maintained at 60°C. A water condenser was attached to the flask, and the contents of the flask were stirred using a magnetic stirrer. After the complete dissolution of Bis-AP-AF, 10.0 g (0.0458 mole) of PMD A were added to the solution. The reaction was stirred for 18 hours, and then 4.53 g (0.0305 mole) of phthalic anhydride (obtained from Aldrich) were added. The reaction was stirred at 60°C for 3 hours and cooled to ambient temperature.
2. Preparation of Formulations Formulation (a) - The following reagents were combined: • 15 g of the polymer mother liquor prepared in this example; 3.55 g of crosslinker CY179MA; 28.56 g of PGME; and 16.90 g of PGMEA. The reagents were stirred and then filtered through a 0.1 -μm endpoint filter.
Formulation (b) - The following reagents were combined: 36.30 g of the polymer mother liquor prepared in this example; 4.00 g of crosslinker MY720 (50% weight solution in PGME); • 33.30 g of PGME; and 26.40 g of PGMEA. The reagents were stirred and then filtered through a 0.1 -μm endpoint filter.
Formulation (c) - The following reagents were combined: • 36.30 g of the polymer mother liquor prepared in this example; 4.00 g of crosslinker DEN 438 (obtained from Araldite; 50% weight solution in PGME); 33.30 g of PGME; and 26.40 g of PGMEA. The reagents were stirred and then filtered through a 0.1 -μm endpoint filter.
Formulation (d) - The following reagents were combined: • 10 g of the polymer mother liquor prepared in this example; 1.08 g of crosslinker MY720 (50% weight solution in PGME); • 0.54 g of trishydroxyphenyl ethane; • 11.56 g of PGME; and 8.316 of PGMEA. The reagents were stirred and then filtered through a 0.1 -μm endpoint filter.
EXAMPLE 6 Preparation of Composition Without a Dye (Gap-Fill composition)
1. Preparation of Lower Molecular Weight, Polymer Mother Liquor The following ingredients were added to a round-bottom flask: 10.0 g (0.0275 mole) of Bis-AP-AF; and 96.96 g of PGME. The flask was then immersed in an oil bath maintained at 60°C. A water condenser was attached, and the contents of the flask were stirred using a magnetic stirrer. After complete dissolution of the Bis-AP-AF, 3.0 g (0.0137 mole) of pyromellitic dianhydride were added to the solution. The reaction was allowed to stir for 18 hours, and then 4.07 g (0.0275 mole) of phthalic anhydride were added. The reaction was stirred at 60°C for 3 hours and cooled to ambient temperature.
2. Preparation of Formulation The following reagents were combined: • 36.30 g of the polymer mother liquor prepared in this example; 4.00 g of crosslinker MY720 (50% weight solution in PGME); • 33.30 g of PGME; and 26.40 g of PGMEA. The reagents were stirred and then filtered through a 0.1 -μm endpoint filter.

Claims

We Claim: 1. A method of preparing a dual damascene structure, said method comprising the steps of: providing a substrate having a surface; applying a composition to at least a portion of said surface; baking said composition; and contacting a developer with said composition so as to remove some of said composition.
2. The method of claim 1, wherein said substrate is selected from the group consisting of silicon, aluminum, tungsten, tungsten silicide, gallium arsenide, germanium, tantalum, tantalum nitrite, and SiGe.
3. The method of claim 1, wherein said composition comprises a layer after said applying step, and said contacting step comprises puddling the developer on said layer.
4. The method of claim 1, wherein said contacting step comprises contacting the developer with said composition for a time period of from about 30-90 seconds.
5. The method of claim 1 , wherein said developer is an aqueous solution comprising a base.
6. The method of claim 5, wherein said developer is selected from the group consisting of tetramethyl ammonium hydroxide, potassium hydroxide, sodium hydroxide, and mixtures thereof.
7. The method of claim 1, wherein said composition comprises a layer having an average thickness prior to said contacting step, and said contacting step results in said layer being removed at a rate of from about 1-500 A of thickness per second of developer contact.
8. The method of claim 1 , wherein said composition comprises a polymer selected from the group consisting of polyesters and polyamic acids.
9. The method of claim 8, wherein said polymer is a polyamic acid having an initial degree of imidization prior to said baking step, and a second degree of imidization after said baking step, wherein said second degree of imidization is at least about 20 greater than said initial degree of imidization.
10. The method of claim 1, wherein said baking step comprises heating said composition at a temperature of from about 130-230°C.
11. The method of claim 1 , wherein said baking step is carried out for a time period of from about 30-120 seconds.
12. The method of claim 1 , wherein: said substrate further comprises structure defining a hole, said structure including sidewalls and a bottom wall; and said applying step comprises applying the composition to at least a portion of said hole sidewalls and bottom wall.
13. The method of claim 12, wherein said applying step comprises applying sufficient amounts of said composition to planarize said substrate surface.
14. The method of claim 12, wherein said structure defining a hole includes an upper boundary at said substrate surface, and said contacting step comprises removing said composition to a point below said upper boundary.
15. The method of claim 14, further comprising the step of applying an anti-reflective layer to said composition.
16. The method of claim 15, further comprising the step of applying a photoresist layer to said anti-reflective layer.
17. The method of claim 12, wherein said structure defining a hole includes an upper boundary at said substrate surface, and said contacting step comprises removing some of said composition while maintaining a layer of said composition over said substrate surface and over said upper boundary.
18. The method of claim 1 , wherein: said substrate comprises a plurality of topography features forming a dense region and an isolated region on said substrate; and said applying step comprises applying the composition to at least some of said topography features.
19. The method of claim 18, wherein after said baking step, said composition is in the form of a layer on said topography features, said layer having a bias of less than about 20 nm.
20. The method of claim 8, wherein said polymer is a polyamic acid comprising recurring monomers having the formulas
Figure imgf000026_0001
and
Figure imgf000026_0002
where each of J and Y is individually selected from the group consisting of aryl and aliphatic groups.
21. The method of claim 20, wherein each of X and Y is individually selected from the group consisting of substituted and unsubstituted phenyl, biphenyl, naphthyl, and anthryl groups, and substituted and unsubstituted C,-C12 aliphatic groups.
22. The method of claim 8, wherein said polymer is a polyamic acid comprising recurring monomers having a formula selected from the group consisting of
Figure imgf000027_0001
Figure imgf000027_0002
Figure imgf000027_0003
wherein: X is selected from the group consisting of -O-, -S-, -CH2-, -C(CF3)2-, and -C(CH3)2-; n is 2-8; and each R is individually selected from the group consisting of -H and -OH.
23. The method of claim 22, wherein said polyamic acid comprises recurring monomers having the formula
Figure imgf000028_0001
24. The method of claim 8, wherein said polymer has a pair of termini, and at least one of said termini comprises an endcap monomer having a formula other than
Figure imgf000029_0001
and
H H (H) -N- -N-
where each of and
Figure imgf000029_0002
is individually selected fro the group consisting of aryl and aliphatic groups,
said endcap monomer comprising functional groups that are less reactive at room temperature than those of (I) or (II).
25. The method of claim 24, wherein said both of said termini comprise said endcap monomer.
26. The method of claim 24, wherein said endcap monomer has the formula
Figure imgf000029_0003
27. The method of claim 26, wherein both of said termini comprise a monomer having the formula
Figure imgf000030_0001
28. The method of claim 1, wherein said composition comprises a polymer, a light attenuating compound or moiety, and a crosslinking agent dissolved or dispersed in a solvent system.
29. The method of claim 28, wherein said light attenuating moiety is bonded to said polymer.
30. The method of claim 28, wherein said light attenuating compound has a formula selected from the group consisting of
Figure imgf000030_0002
Figure imgf000030_0003
where R is selected from the group consisting of cyclic and aliphatic groups.
31. The method of claim 28, wherein said crosslinking agent is selected from the group consisting of aminop lasts, epoxies, cyanurates, and mixtures thereof.
32. The method of claim 1 , further comprising the step of applying a photoresist layer to said composition.
33. The method of claim 32, further comprising the step of patterning said photoresist layer.
34. The method of claim 33, wherein said patterning step comprises exposing said photoresist layer to activating radiation and developing said exposed photoresist layer.
35. The method of claim 34, wherein said patterning step comprises forming trench patterns in said photoresist layer.
36. The method of claim 35, further comprising the step of etching a trench into said substrate.
PCT/US2004/034495 2003-10-15 2004-10-15 Developer-soluble materials and methods of using the same in via-first dual damascene applications WO2005038878A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP04795633.9A EP1673801B1 (en) 2003-10-15 2004-10-15 Developer-soluble materials and methods of using the same in via-first dual damascene applications
JP2006535432A JP5368674B2 (en) 2003-10-15 2004-10-15 Method for using developer-soluble material and developer-soluble material in via-first dual damascene application
KR1020067007008A KR101189397B1 (en) 2003-10-15 2004-10-15 Developer-soluble materials and methods of using the same in via-first dual damascene applications

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US51176203P 2003-10-15 2003-10-15
US60/511,762 2003-10-15
US10/966,909 US7364835B2 (en) 2003-10-15 2004-10-15 Developer-soluble materials and methods of using the same in via-first dual damascene applications
US10/966,909 2004-10-15

Publications (2)

Publication Number Publication Date
WO2005038878A2 true WO2005038878A2 (en) 2005-04-28
WO2005038878A3 WO2005038878A3 (en) 2006-12-07

Family

ID=34468002

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/034495 WO2005038878A2 (en) 2003-10-15 2004-10-15 Developer-soluble materials and methods of using the same in via-first dual damascene applications

Country Status (5)

Country Link
US (1) US7364835B2 (en)
EP (1) EP1673801B1 (en)
JP (1) JP5368674B2 (en)
KR (1) KR101189397B1 (en)
WO (1) WO2005038878A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8962234B2 (en) 2011-03-15 2015-02-24 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition and method for forming resist pattern using the same
US20180337036A1 (en) * 2017-05-17 2018-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom-Up Material Formation for Planarization

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070207406A1 (en) * 2004-04-29 2007-09-06 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US20050255410A1 (en) 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US20060216929A1 (en) * 2005-03-28 2006-09-28 Hyun-Mog Park Etch stopless dual damascene structure and method of fabrication
KR100703007B1 (en) * 2005-11-17 2007-04-06 삼성전자주식회사 Composition for forming an organic anti-reflective coating layer of photo sensitivity and method of forming a pattern using the same
US7914974B2 (en) 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
JP5421258B2 (en) * 2007-07-30 2014-02-19 ブルーワー サイエンス アイ エヌ シー. Non-covalently crosslinkable materials for photolithography processes
US7862986B2 (en) * 2007-10-17 2011-01-04 Macronix International Co., Ltd. Patterning process
CN101971102B (en) 2008-01-29 2012-12-12 布鲁尔科技公司 On-track process for patterning hardmask by multiple dark field exposures
US20090325106A1 (en) * 2008-06-27 2009-12-31 Conley Willard E Method for Implant Imaging with Spin-on Hard Masks
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
WO2012018983A2 (en) * 2010-08-05 2012-02-09 Brewer Science Inc. Methods of producing structures using a developer-soluble layer with multilayer technology
KR101900976B1 (en) 2010-12-27 2018-09-20 브레우어 사이언스 인코포레이션 Processes to pattern small features for advanced patterning needs
US9121237B2 (en) 2011-07-28 2015-09-01 Baker Hughes Incorporated Methods of coating wellbore tools and components having such coatings
SG11201401430RA (en) 2011-10-10 2014-09-26 Brewer Science Inc Spin-on carbon compositions for lithographic processing
JP6083537B2 (en) * 2012-03-23 2017-02-22 日産化学工業株式会社 Composition for forming resist underlayer film for EUV lithography
KR101866209B1 (en) 2012-05-07 2018-06-11 닛산 가가쿠 고교 가부시키 가이샤 Resist underlayer film-forming composition
KR102011446B1 (en) * 2013-02-26 2019-10-14 삼성전자주식회사 Method of forming thin layer patterns in semiconductor device
KR101667788B1 (en) * 2013-12-31 2016-10-19 제일모직 주식회사 Hardmask composition, and method of forming patterns using the hardmask composition
KR101754901B1 (en) * 2014-05-16 2017-07-06 제일모직 주식회사 Hardmask composition and method of forming patterns using the hardmask composition
KR102310120B1 (en) 2015-01-30 2021-10-08 삼성전자주식회사 Method of forming a hardmask material layer

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
US6488509B1 (en) 2002-01-23 2002-12-03 Taiwan Semiconductor Manufacturing Company Plug filling for dual-damascene process
WO2002099531A2 (en) 2001-06-05 2002-12-12 Brewer Science, Inc. ANTI-REFLECTIVE COATING COMPOSITIONS FOR USE WITH LOW k DIELECTRIC MATERIALS
US20030166828A1 (en) 2002-01-17 2003-09-04 Brewer Science, Inc. Spin bowl compatible polyamic acids/imides as wet developable polymer binders for anti-reflective coatings

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4175175A (en) * 1963-07-16 1979-11-20 Union Carbide Corporation Polyarylene polyethers
US3615615A (en) * 1970-04-13 1971-10-26 Eastman Kodak Co Photographic emulsions including reactive quaternary salts
JPS4928315Y2 (en) 1971-05-26 1974-08-01
US3856751A (en) * 1972-06-14 1974-12-24 Eastman Kodak Co Diacid-xanthylium ion polyester and photographic element comprised thereof
DE2861696D1 (en) * 1977-09-07 1982-04-29 Ici Plc Thermoplastic aromatic polyetherketones, a method for their preparation and their application as electrical insulants
US4244799A (en) * 1978-09-11 1981-01-13 Bell Telephone Laboratories, Incorporated Fabrication of integrated circuits utilizing thick high-resolution patterns
US4369090A (en) * 1980-11-06 1983-01-18 Texas Instruments Incorporated Process for etching sloped vias in polyimide insulators
US4910122A (en) * 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4996247A (en) * 1984-02-10 1991-02-26 General Electric Company Enhancing color stability to sterilizing radiation of polymer compositions
JPS60262150A (en) * 1984-06-11 1985-12-25 Nippon Telegr & Teleph Corp <Ntt> Intermediate layer for 3-layer resist material and method for using it
US4683024A (en) * 1985-02-04 1987-07-28 American Telephone And Telegraph Company, At&T Bell Laboratories Device fabrication method using spin-on glass resins
US4732841A (en) * 1986-03-24 1988-03-22 Fairchild Semiconductor Corporation Tri-level resist process for fine resolution photolithography
US4742152A (en) * 1986-05-27 1988-05-03 United Technologies Corporation High temperature fluorinated polyimides
US5091047A (en) * 1986-09-11 1992-02-25 National Semiconductor Corp. Plasma etching using a bilayer mask
US4927736A (en) * 1987-07-21 1990-05-22 Hoechst Celanese Corporation Hydroxy polyimides and high temperature positive photoresists therefrom
US4803147A (en) * 1987-11-24 1989-02-07 Hoechst Celanese Corporation Photosensitive polyimide polymer compositions
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5304626A (en) * 1988-06-28 1994-04-19 Amoco Corporation Polyimide copolymers containing 3,3',4,4'-tetracarboxybiphenyl dianhydride (BPDA) moieties
JPH0260934A (en) * 1988-06-28 1990-03-01 Amoco Corp Polyimide and copolyimide which have low dielectric constant and low moisture absorptivity and are used for both interlayer insulator and base plate coating film
DE3835737A1 (en) * 1988-10-20 1990-04-26 Ciba Geigy Ag POSITIVE FOTORESISTS WITH INCREASED THERMAL STABILITY
US5057399A (en) * 1989-03-31 1991-10-15 Tony Flaim Method for making polyimide microlithographic compositions soluble in alkaline media
US5126231A (en) * 1990-02-26 1992-06-30 Applied Materials, Inc. Process for multi-layer photoresist etching with minimal feature undercut and unchanging photoresist load during etch
JP3041972B2 (en) * 1991-01-10 2000-05-15 富士通株式会社 Method for manufacturing semiconductor device
US5370969A (en) * 1992-07-28 1994-12-06 Sharp Kabushiki Kaisha Trilayer lithographic process
US5397684A (en) * 1993-04-27 1995-03-14 International Business Machines Corporation Antireflective polyimide dielectric for photolithography
JPH07183194A (en) * 1993-12-24 1995-07-21 Sony Corp Multilayer resist pattern formation method
US5691101A (en) * 1994-03-15 1997-11-25 Kabushiki Kaisha Toshiba Photosensitive composition
GB2288184A (en) 1994-03-31 1995-10-11 Catalysts & Chem Ind Co Coating composition
JP3033443B2 (en) * 1994-06-29 2000-04-17 信越化学工業株式会社 Anti-reflective coating material
US5607824A (en) * 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
JPH08110638A (en) * 1994-10-13 1996-04-30 Hitachi Chem Co Ltd Photosensitive resin composition and production of resist image
US5688987A (en) * 1994-11-09 1997-11-18 Brewer Science, Inc. Non-subliming Mid-UV dyes and ultra-thin organic arcs having differential solubility
US5554473A (en) * 1994-11-23 1996-09-10 Mitsubishi Chemical America, Inc. Photoreceptor having charge transport layers containing a copolycarbonate and layer containing same
US5542971A (en) * 1994-12-01 1996-08-06 Pitney Bowes Bar codes using luminescent invisible inks
DE69628613T2 (en) * 1995-07-12 2004-04-29 Mitsubishi Engineering-Plastics Corp. Polycarbonate resin composition
KR100206597B1 (en) * 1995-12-29 1999-07-01 김영환 Fine-patterning method of semiconductor device
KR100223329B1 (en) * 1995-12-29 1999-10-15 김영환 Method for manufacturing fine pattern of semiconductor device
US5739254A (en) * 1996-08-29 1998-04-14 Xerox Corporation Process for haloalkylation of high performance polymers
JPH10149531A (en) 1996-11-15 1998-06-02 Sony Corp Magnetic recording medium and its production
US5952448A (en) * 1996-12-31 1999-09-14 Korea Research Institute Of Chemical Technology Stable precursor of polyimide and a process for preparing the same
KR20000076000A (en) * 1997-03-07 2000-12-26 알프레드 엘. 미첼슨 Method of making titania-doped fused silica
JPH10307394A (en) 1997-05-09 1998-11-17 Hitachi Ltd Positive photosensitive resin composition and pattern forming method by using the same and method of manufacture of electronic device
US6054254A (en) * 1997-07-03 2000-04-25 Kabushiki Kaisha Toshiba Composition for underlying film and method of forming a pattern using the film
JP3039467B2 (en) * 1997-07-31 2000-05-08 日本電気株式会社 Resist development method
US6218292B1 (en) * 1997-12-18 2001-04-17 Advanced Micro Devices, Inc. Dual layer bottom anti-reflective coating
US6338936B1 (en) * 1998-02-02 2002-01-15 Taiyo Ink Manufacturing Co., Ltd. Photosensitive resin composition and method for formation of resist pattern by use thereof
US5998569A (en) * 1998-03-17 1999-12-07 International Business Machines Corporation Environmentally stable optical filter materials
US6156665A (en) * 1998-04-13 2000-12-05 Lucent Technologies Inc. Trilayer lift-off process for semiconductor device metallization
US6451498B1 (en) * 1998-05-28 2002-09-17 Atotech Deutschland Gmbh Photosensitive composition
JP3673399B2 (en) * 1998-06-03 2005-07-20 クラリアント インターナショナル リミテッド Anti-reflection coating composition
US6976904B2 (en) * 1998-07-09 2005-12-20 Li Family Holdings, Ltd. Chemical mechanical polishing slurry
US6071662A (en) * 1998-07-23 2000-06-06 Xerox Corporation Imaging member with improved anti-curl backing layer
US6380611B1 (en) * 1998-09-03 2002-04-30 Micron Technology, Inc. Treatment for film surface to reduce photo footing
US6361833B1 (en) * 1998-10-28 2002-03-26 Henkel Corporation Composition and process for treating metal surfaces
US6162587A (en) * 1998-12-01 2000-12-19 Advanced Micro Devices Thin resist with transition metal hard mask for via etch application
US6127070A (en) * 1998-12-01 2000-10-03 Advanced Micro Devices, Inc. Thin resist with nitride hard mask for via etch application
US6165695A (en) * 1998-12-01 2000-12-26 Advanced Micro Devices, Inc. Thin resist with amorphous silicon hard mask for via etch application
US6156658A (en) * 1998-12-02 2000-12-05 Advanced Micro Devices, Inc. Ultra-thin resist and silicon/oxide hard mask for metal etch
US6020269A (en) * 1998-12-02 2000-02-01 Advanced Micro Devices, Inc. Ultra-thin resist and nitride/oxide hard mask for metal etch
US6306560B1 (en) * 1998-12-02 2001-10-23 Advanced Micro Devices, Inc. Ultra-thin resist and SiON/oxide hard mask for metal etch
US6171763B1 (en) * 1998-12-02 2001-01-09 Advanced Micro Devices, Inc. Ultra-thin resist and oxide/nitride hard mask for metal etch
US6200907B1 (en) * 1998-12-02 2001-03-13 Advanced Micro Devices, Inc. Ultra-thin resist and barrier metal/oxide hard mask for metal etch
US6309926B1 (en) * 1998-12-04 2001-10-30 Advanced Micro Devices Thin resist with nitride hard mask for gate etch application
US6046112A (en) * 1998-12-14 2000-04-04 Taiwan Semiconductor Manufacturing Company Chemical mechanical polishing slurry
US6251562B1 (en) * 1998-12-23 2001-06-26 International Business Machines Corporation Antireflective polymer and method of use
US6136511A (en) * 1999-01-20 2000-10-24 Micron Technology, Inc. Method of patterning substrates using multilayer resist processing
US6136679A (en) * 1999-03-05 2000-10-24 Taiwan Semiconductor Manufacturing Company Gate micro-patterning process
US6110653A (en) * 1999-07-26 2000-08-29 International Business Machines Corporation Acid sensitive ARC and method of use
JP5079959B2 (en) * 1999-08-26 2012-11-21 ブルーワー サイエンス アイ エヌ シー. Improved packing material for dual damascene process
US20020009599A1 (en) * 2000-01-26 2002-01-24 Welch Cletus N. Photochromic polyurethane coating and articles having such a coating
TW439118B (en) * 2000-02-10 2001-06-07 Winbond Electronics Corp Multilayer thin photoresist process
JP2001338926A (en) * 2000-05-29 2001-12-07 Sony Corp Method of manufacturing semiconductor device
JP2001344732A (en) * 2000-05-29 2001-12-14 Fujitsu Ltd Substrate for magnetic recording medium, method for producing the same and method for evaluating magnetic recording medium
KR100416596B1 (en) * 2001-05-10 2004-02-05 삼성전자주식회사 Method of manufacturing interconnection wire in semiconductor device
US6680252B2 (en) * 2001-05-15 2004-01-20 United Microelectronics Corp. Method for planarizing barc layer in dual damascene process
EP1395417B1 (en) * 2001-05-29 2006-08-02 Essilor International Compagnie Generale D'optique Method for forming on-site a coated optical article
US6458705B1 (en) * 2001-06-06 2002-10-01 United Microelectronics Corp. Method for forming via-first dual damascene interconnect structure
JP3708472B2 (en) * 2001-10-12 2005-10-19 東京応化工業株式会社 Resist pattern forming method and semiconductor device manufacturing method
US6624068B2 (en) * 2001-08-24 2003-09-23 Texas Instruments Incorporated Polysilicon processing using an anti-reflective dual layer hardmask for 193 nm lithography
US6586560B1 (en) * 2001-09-18 2003-07-01 Microchem Corp. Alkaline soluble maleimide-containing polymers
US6916537B2 (en) * 2001-11-01 2005-07-12 Transitions Optical Inc. Articles having a photochromic polymeric coating
JP3773445B2 (en) * 2001-12-19 2006-05-10 セントラル硝子株式会社 Fluorine-containing alicyclic diamine and polymer using the same
US20030215736A1 (en) * 2002-01-09 2003-11-20 Oberlander Joseph E. Negative-working photoimageable bottom antireflective coating
US6844131B2 (en) * 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US7070914B2 (en) * 2002-01-09 2006-07-04 Az Electronic Materials Usa Corp. Process for producing an image using a first minimum bottom antireflective coating composition
KR20030068729A (en) * 2002-02-16 2003-08-25 삼성전자주식회사 Compositions for forming anti-reflective light absorbing layer and method for formimg patterns of semiconductor device using the same
US6911293B2 (en) * 2002-04-11 2005-06-28 Clariant Finance (Bvi) Limited Photoresist compositions comprising acetals and ketals as solvents
US6849293B2 (en) * 2002-05-02 2005-02-01 Institute Of Microelectronics Method to minimize iso-dense contact or via gap filling variation of polymeric materials in the spin coat process
US7265431B2 (en) 2002-05-17 2007-09-04 Intel Corporation Imageable bottom anti-reflective coating for high resolution lithography
US6740469B2 (en) * 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
US6872506B2 (en) * 2002-06-25 2005-03-29 Brewer Science Inc. Wet-developable anti-reflective compositions
US6638853B1 (en) * 2002-07-03 2003-10-28 Taiwan Semiconductor Manufacturing Co. Ltd. Method for avoiding photoresist resist residue on semioconductor feature sidewalls
KR20040009384A (en) * 2002-07-23 2004-01-31 삼성전자주식회사 Photoresist developer soluble organic bottom anti-reflective composition and photolithography and etching process using the same
US7108958B2 (en) * 2002-07-31 2006-09-19 Brewer Science Inc. Photosensitive bottom anti-reflective coatings
US20040077173A1 (en) * 2002-10-17 2004-04-22 Swaminathan Sivakumar Using water soluble bottom anti-reflective coating
KR100487948B1 (en) * 2003-03-06 2005-05-06 삼성전자주식회사 Method of forming a via contact structure using a dual damascene technique
KR100539494B1 (en) * 2003-05-02 2005-12-29 한국전자통신연구원 Polyamic ester having moieties of electro and nonlinear optic compound in side chains as an electro and nonlinear optic polymer, manufacturing method therefor and film manufactured therefrom
DE602004009791T2 (en) 2003-05-23 2008-10-30 Dow Corning Corp., Midland SILOXAN RESIN BASED ANTI-REFLECTION COATING WITH HIGH WET WATER SPEED
US7364832B2 (en) * 2003-06-11 2008-04-29 Brewer Science Inc. Wet developable hard mask in conjunction with thin photoresist for micro photolithography

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
WO2002099531A2 (en) 2001-06-05 2002-12-12 Brewer Science, Inc. ANTI-REFLECTIVE COATING COMPOSITIONS FOR USE WITH LOW k DIELECTRIC MATERIALS
US20030166828A1 (en) 2002-01-17 2003-09-04 Brewer Science, Inc. Spin bowl compatible polyamic acids/imides as wet developable polymer binders for anti-reflective coatings
US6488509B1 (en) 2002-01-23 2002-12-03 Taiwan Semiconductor Manufacturing Company Plug filling for dual-damascene process

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1673801A4

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8962234B2 (en) 2011-03-15 2015-02-24 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition and method for forming resist pattern using the same
US20180337036A1 (en) * 2017-05-17 2018-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom-Up Material Formation for Planarization
CN108962728A (en) * 2017-05-17 2018-12-07 台湾积体电路制造股份有限公司 The manufacturing method of integrated circuit
US11022886B2 (en) * 2017-05-17 2021-06-01 Taiwan Semiconductor Manufacturing Co,, Ltd. Bottom-up material formation for planarization
CN108962728B (en) * 2017-05-17 2022-06-10 台湾积体电路制造股份有限公司 Method for manufacturing integrated circuit

Also Published As

Publication number Publication date
WO2005038878A3 (en) 2006-12-07
KR20060126954A (en) 2006-12-11
US7364835B2 (en) 2008-04-29
JP2007513491A (en) 2007-05-24
US20050148170A1 (en) 2005-07-07
JP5368674B2 (en) 2013-12-18
EP1673801A4 (en) 2010-04-07
KR101189397B1 (en) 2012-10-11
EP1673801A2 (en) 2006-06-28
EP1673801B1 (en) 2014-04-09

Similar Documents

Publication Publication Date Title
US7364835B2 (en) Developer-soluble materials and methods of using the same in via-first dual damascene applications
KR100990040B1 (en) Organic anti-reflective coating compositions for advanced microlithography
KR100662542B1 (en) Hardmask composition having antireflective property and paterning materials on printed board using thereby
US7026237B2 (en) Fill material for dual damascene processes
EP1743219B1 (en) Method of forming a microelectronique structure having an anti-reflective coating using vinyl ether crosslinkers
EP2174189B1 (en) Non-covalently crosslinkable materials for photolithography processes
EP1573785B1 (en) Bottom anti-reflective coatings derived from small core molecules with multiple epoxy moieties
US7745540B2 (en) Gap fill materials and bottom anti-reflective coatings comprising hyperbranched polymers
KR20060116133A (en) Hardmask composition having antireflective property
KR101036408B1 (en) Anti-reflective coatings and dual damascene fill composition comprising styrene-allyl alcohol copolymers
KR100673625B1 (en) Hardmask composition having antireflective property and paterning materials on printed board using thereby
KR100938445B1 (en) Gap-fill composition and method of forming interconnection line for semiconductor device
US7998318B2 (en) Crosslinkable fill compositions for uniformly protecting via and contact holes
KR100671117B1 (en) Hardmask composition having antireflective property
KR100671114B1 (en) Hardmask composition having antireflective property
US7976894B1 (en) Materials with thermally reversible curing mechanism

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2004795633

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 1020067007008

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2006535432

Country of ref document: JP

WWP Wipo information: published in national office

Ref document number: 2004795633

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020067007008

Country of ref document: KR

DPE1 Request for preliminary examination filed after expiration of 19th month from priority date (pct application filed from 20040101)