WO2005045361A2 - Scanning interferometry for thin film thickness and surface measurements - Google Patents

Scanning interferometry for thin film thickness and surface measurements Download PDF

Info

Publication number
WO2005045361A2
WO2005045361A2 PCT/US2004/035704 US2004035704W WO2005045361A2 WO 2005045361 A2 WO2005045361 A2 WO 2005045361A2 US 2004035704 W US2004035704 W US 2004035704W WO 2005045361 A2 WO2005045361 A2 WO 2005045361A2
Authority
WO
WIPO (PCT)
Prior art keywords
illumination
sample
frequency spectrum
information
low coherence
Prior art date
Application number
PCT/US2004/035704
Other languages
French (fr)
Other versions
WO2005045361A3 (en
Inventor
Peter J. De Groot
Xavier Colonna De Lega
Original Assignee
Zygo Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zygo Corporation filed Critical Zygo Corporation
Publication of WO2005045361A2 publication Critical patent/WO2005045361A2/en
Publication of WO2005045361A3 publication Critical patent/WO2005045361A3/en

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0675Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating using interferometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/02056Passive reduction of errors
    • G01B9/02057Passive reduction of errors by using common path configuration, i.e. reference and object path almost entirely overlapping
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/0207Error reduction by correction of the measurement signal based on independently determined error sources, e.g. using a reference interferometer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02084Processing in the Fourier or frequency domain when not imaged in the frequency domain
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02088Matching signals with a database
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/0209Low-coherence interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/50Pupil plane manipulation, e.g. filtering light of certain reflection angles
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/70Using polarization in the interferometer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/8422Investigating thin films, e.g. matrix isolation method
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/1333Constructional arrangements; Manufacturing methods
    • G02F1/133354Arrangements for aligning or assembling substrates
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/1333Constructional arrangements; Manufacturing methods
    • G02F1/1339Gaskets; Spacers; Sealing of cells
    • G02F1/13392Gaskets; Spacers; Sealing of cells spacers dispersed on the cell substrate, e.g. spherical particles, microfibres

Definitions

  • the invention relates to using scanning interferometry to measure thickness(es), surface topography, and/or other characteristics of objects having complex surface structures, such as thin film(s).
  • Interferometric techniques are commonly used to measure the profile of a surface of an object. To do so, an interferometer combines a measurement avefront reflected from the surface of interest with a reference wavefront reflected from a reference surface to produce an mterferogram. Fringes in the interferogram are indicative of spatial variations between the surface of interest and the reference surface.
  • a scanning interferometer scans the optical path length difference (OPD) between the reference and measurement legs of the interferometer over a range comparable to, or larger than, the coherence length of the interfering wavefronts, to produce a scanning interferometry signal for each camera pixel used to measure the interferogram.
  • a limited coherence length can be produced, for example, by using a white-light source, which is referred to as scanning white light interferometry (SWLI).
  • SWLI scanning white light interferometry
  • a typical scanning white light interferometry (SWLI) signal is a few fringes localized near the zero optical path difference (OPD) position.
  • the signal is typically characterized by a sinusoidal carrier modulation (the "fringes”) with bell- shaped fringe-contrast envelope.
  • SWLI metrology is to make use of the localization of the fringes to measure surface profiles.
  • Scanning interferometers that use a limited coherence length to localize interference fringes in the interferometry signal are also referred to as "low coherence scanning interferometers.”
  • the first approach is to locate the peak or center of the envelope, assuming that this position corresponds to the zero optical path difference (OPD) of a two-beam interferometer for which one beam reflects from the object surface.
  • OPD optical path difference
  • the second approach is to transform the signal into the frequency domain and calculate the rate of change of phase with wavelength, assuming that an essentially linear slope is directly proportional to object position. See, for example, U.S. Patent No.
  • FDA Frequency Domain Analysis
  • the low coherence can also result from using a high numerical aperture (NA) for directing light to, and/or receiving light from, the test object.
  • NA numerical aperture
  • the high NA causes light rays to contact the test surface over a range of angles, and generates different spatial frequency components in the recorded signal as the OPD is scanned.
  • the separation of the regions of fringe contrast in a signal produced from a multilayer sample will depend on the relative strengths of such low coherence phenomena.
  • the inventors have discovered that in the limit of very low NA and white light illumination, the apparent thickness of a thin film sample based on the separation between regions of the fringe contrast in the low coherence scanning interferometry signal is corrected by dividing this apparent thickness by the group-velocity index of refraction. In the opposite limit of very high NA and monochromatic illumination, the apparent thickness is corrected by multiplying it by the index of refraction. For intermediate illumination conditions, where both broadband illumination and high
  • the correction of the apparent thickness based on the separation between regions of the fringe contrast can be determined based on a theoretical model (described in further detail below) that more accurately takes into account both phenomena.
  • the results of the model can be represented as a look-up table or simplified function which provides a correction factor to a user as a function of input parameters related to the illumination geometry and illumination frequency spectrum.
  • the illumination conditions can be selected to suppress the region(s) of fringe contrast in the interferometry signal associated with an underlying layer or layers of a sample.
  • the interferometry signal is dominated only by the fringe contrast region associated with the top surface of the sample, and subsequent processing of the interferometry signal using, for example, conventional teclmiques to more accurately provide surface profile information about the top surface.
  • This phenomenon typically occurs when there is both broadband illumination (e.g., a bandwidth larger than about 100 nm in the visible) and high NA (e.g., greater than about 0.5, and preferably greater than 0.7).
  • an objective for the low coherence scanning interferometer can be selected to provide such high NA, while also providing a low magnification (e.g., less than 10X) to provide a large field of view.
  • the invention features a method including: (i) providing a low coherence scanning interferometry data for at least one spatial location of a sample having multiple interfaces, wherein the data is collected using a low coherence scanning interferometer having an illumination geometry and an illumination frequency spectrum, and wherein the data includes a low coherence scanning interferometry signal having multiple regions of fringe contrast corresponding to the multiple interfaces; and (ii) determining a distance between at least one pair of interfaces based on a distance between the corresponding regions of fringe contrast and information about the illumination geometry and/or the illumination frequency spectrum.
  • Embodiments of the method may include any of the following features.
  • Determining the distance between at least a pair of the interfaces includes providing information about a correspondence between the distance between the pair of interfaces and the distance between the corresponding regions of fringe contrast in the interferometry signal for different settings of the illumination geometry and the illumination frequency spectrum.
  • the correspondence may be represented as a function or a look-up table that uses the information about the illumination geometry and/or the illumination frequency spectrum as input parameters.
  • the correspondence may be based on a theoretical model for the interferometer that uses the information about the illumination geometry and the illumination frequency spectrum as input parameters.
  • U is an illumination distribution in a pupil plane of an objective used to illuminate the sample as a function of directional cosine ⁇
  • V is the illumination frequency spectrum as a function of spectral wavenumber k
  • g( ⁇ ,/c, ⁇ ) R + Z + 2V ⁇ Z cos[2 ⁇ £(A - ⁇ ) + ( ⁇ - ⁇ )]
  • the distance between the pair of interfaces may be determined by determining an estimate for the distance between the pair of interfaces corresponding to the distance between the two regions of fringe contrast, and correcting the estimate based on the information about the illumination geometry and the frequency spectrum.
  • the correction of the initial estimate may include decreasing the estimate by a scale factor that increases with a group velocity index of the film, hi another example, the correction of the initial estimate may include increasing the estimate by a scale factor that increases with a refractive index of the film.
  • the sample may be a thin film sample, where the pair of interfaces is a top and bottom surface of the film.
  • the sample may have the film at some spatial locations and not others.
  • the sample may include a spacer element in a liquid crystal cell.
  • the sample may include a solder bump.
  • the invention features an apparatus including: a low coherence scanning interferometer configured to collect data for at least one spatial location of a sample having at least one film, the coherence scanning interferometer having an illumination geometry and an illumination frequency spectrum, and the data including a low coherence scanning interferometry signal having multiple regions of fringe contrast corresponding to the multiple interfaces; and an electronic processor configured to analyze the data and determine a distance between at least one pair of interfaces based on a distance between the corresponding regions of fringe contrast and information about the illumination geometry and/or the illumination frequency spectrum.
  • a low coherence scanning interferometer configured to collect data for at least one spatial location of a sample having at least one film, the coherence scanning interferometer having an illumination geometry and an illumination frequency spectrum, and the data including a low coherence scanning interferometry signal having multiple regions of fringe contrast corresponding to the multiple interfaces
  • an electronic processor configured to analyze the data and determine a distance between at least one pair of interfaces based on a distance between the corresponding regions of fringe contrast and information about
  • the low coherence interferometer may be configured for use with an adjustable numerical aperture for the illumination geometry, and the information about the illumination geometry may include information about which numerical aperture was used to collect the interferometry signal.
  • the apparatus may further include a plurality of interference objective having different numerical apertures (NAs) each configured for use in the low coherence scanning interferometer to provide the adjustable numerical aperture for the illumination geometry.
  • NAs numerical apertures
  • the low coherence interferometer may be configured for use with an adjustable illumination frequency spectrum, and the information about the illumination geometry may include information about which illumination frequency spectrum was used to collect the interferometry signal.
  • the apparatus may include a plurality of light sources (e.g., light emitting diodes (LEDs)) having different emission spectrums each configured for use in the low coherence scanning interferometer to provide the adjustable illumination frequency spectrum.
  • the electronic processor in the apparatus may also include features corresponding to those described above for the method aspect.
  • the invention features a method including: using a low coherence scanning interferometer having an illumination geometry and an illumination frequency spectrum to collect a low coherence scanning interferometry signal for each of multiple spatial locations of a sample having at least one thin film with a top surface and a bottom surface; selecting the illumination geometry and the illumination frequency spectrum to suppress a region of fringe contrast in the signals corresponding to the bottom surface relative to a region of fringe contrast in the signals corresponding to the top surface; and determining a surface height profile for the top surface of the film based on the signals.
  • Embodiments of the method may include any of the following features.
  • the selected illumination geometry may include an objective to illuminate the sample with a numerical aperture greater than 0.5, or preferably greater than 0.7, or even more preferably, greater than 0.8.
  • the objective has a magnification less than 10X to improve the field of view.
  • the sample may include a spacer element in a liquid crystal cell.
  • the sample may include a solder bump.
  • the invention features a low coherence scanning interferometer having an objective to illuminate the sample with a numerical aperture greater than 0.5 and a magnification less than 10X.
  • the numerical aperture may be greater than 0.7, or more preferably greater than 0.8.
  • FIG. 1 is a graph showing a typical low coherence interferometry signal for a thin film sample.
  • FIG. 2 is a flow chart showing an interferometry method for determining a thickness of a layer in a sample having one or more layers.
  • FIG. 3 is a schematic drawing of a Linnik-type scanning interferometer.
  • FIG. 4 is a schematic drawing of a Mirau-type scanning interferometer.
  • FIG. 5 is a diagram showing illumination of the test sample through an objective lens.
  • FIGS. 1 is a graph showing a typical low coherence interferometry signal for a thin film sample.
  • FIG. 2 is a flow chart showing an interferometry method for determining a thickness of a layer in a sample having one or more layers.
  • FIG. 3 is a schematic drawing of a Linnik-type scanning interferometer.
  • FIG. 4 is a schematic drawing of a Mirau-type scanning interferometer.
  • FIG. 5 is a diagram showing illumination of the test sample
  • FIG. 6(a) and 6(b) show simulations of a low-coherence scanning interferometry signal based on the model disclosed herein for a 2- ⁇ m thick film of index 2 deposited on a substrate of index 4, viewed with a 500-nm center wavelength.
  • FIG. 6(a) is for a broad 200- nm gaussian bandwidth, and narrow 0.28 NA illumination.
  • FIG. 6(b) is for a narrow 5-nm bandwidth, and wide 0.80 NA illumination.
  • FIG. 7(a) is a graph showing agreement between the interferometry signal predicted by the model and experimental data for a SiC flat.
  • FIG. 7(b) is a graph showing agreement between the interferometry signal predicted by the model and experimental data for a thin film standard of 1025 nm of SiO 2 on Si.
  • FIGS. 8(a) and 8(b) are graphs showing the agreement of the data in FIG. 7(b) in the frequency domain.
  • the left-hand peak is at about -3.75 microns, or 7n'L/8 , where L is the thickness of the film.
  • FIG. 9 is a graph showing agreement between the interferometry signal predicted by the model and experimental data for a thin film standard of 1025 nm of SiO 2 on Si.
  • FIGS. 8(a) and 8(b) are graphs showing the agreement of the data in FIG. 7(b) in the
  • FIGS. 1 la and 1 lb are exemplary structures having copper interconnects.
  • FIGS. 11a and 1 lb show the structure before and after planarization, respectively.
  • FIGS. 12a and 12b are exemplary structures formed during solder bump processing.
  • FIG. 12a shows the structure before addition of solder.
  • FIG. 12b shows the structure after addition of solder but prior to flowing the solder.
  • FIG. 12a shows the structure before addition of solder.
  • the invention features a method to accurately correct the distortion in the apparent film thickness as measured by a low-coherence interference microscope by taking into account the coherence effects related to the illumination geometry.
  • the correction can be by means of a formula or look up table based in part on the NA of the objective and the nominal spectral characteristics of the source light.
  • Figure 2 is flow chart providing an exemplary sequence of steps for the method.
  • an interference microscope provides a scanning interference signal from each of different surface locations of a sample having one or more layers.
  • the interference microscope is a low-coherence (spectrally broadband and/or extended source) interferometer.
  • the interferometer is used to mechanically or electro-optically scan the optical path difference (OPD) between a reference and measurement path, the measurement path being directed to an object surface. For example, scanning an interference objective along the line of the surface height coordinate generates an interference signal with a localized fringe contrast.
  • a computer records an interference intensity signal during the OPD scan for each of multiple camera pixels corresponding to the different surface locations of the sample.
  • the apparatus is configured to analyze surfaces that may have one or more layers (e.g., a partially reflective thin film on a substrate) for which multiple interference signals are generated in sequence during the scan, corresponding to the interfaces at the surface and between layers. In step 292, the scanning interference signal from the different locations are analyzed to identify regions of fringe contrast associated with each reflective or partially reflective interface in the sample.
  • each region of fringe contrast can be identified using conventional methods, such as identifying the peak in the fringe contrast envelope, identifying the centroid of the fringe, or using frequency domain analysis (FDA).
  • FDA frequency domain analysis
  • each of region of fringe contrast is Fourier transformed and the center of each fringe contrast region is determined from the slope of the phase of the Fourier transform with respect to wavevector.
  • techniques that account for system dispersion characteristics can be used to more accurately determine the fringe contrast positions in the scanning interferometry data. Suitable techniques are disclosed in U.S. Patent Application Serial No. 10/941,651 entitled "SURFACE PROFILING USING AN INTERFERENCE PATTERN MATCHING TEMPLATE" by Peter J.
  • the center position of each region of fringe contrast in the scanning interferometry signal provide an initial estimate for the relative position of each reflective or partially reflective interface in the sample.
  • correction factors are applied to the estimates determined in step 292, to more accurately determine the physical distance between the interfaces of the relevant film layer (e.g., the actual thickness of a thin film layer).
  • the correction factor can be a scaling factor that converts the scanning distance between respective regions of fringe contrast extracted in step 292 to the physical distance between the interfaces of the relevant film layer.
  • the correction factor can be applied to the scanning distances for each spatial location in the interferometry data to provide a thickness profile for each layer of the sample.
  • the thicknesses determined for different spatial locations can be averaged to improve signal-to-noise.
  • the data extracted in step 292 can be laterally smoothed before applying the correction factor(s) and/or determining the thickness measurement(s).
  • the resulting thickness value(s) or profile(s) can shown on a user display and/or directed to another process as part of a quality control feedback loop (e.g., to determine whether, for example, a chemical mechanical processing step, solder bump thickness, or liquid crystal spacer thickness, has been optimized).
  • the correction factors themselves are determined in step 298, based on input parameters that include the geometric and spectral characteristics (i.e., the illumination geometry and illumination frequency spectrum) of the instrument used to collect the data in step 290.
  • the input parameters may also include the refractive index dispersion of the film layers.
  • the correction factors may be based on direct calculations using a theoretical model for the low coherence interferometry signal (which is described further below). Alternatively, the correction factors may be determined from a look-up table or simplified function that is based on the theoretical model for typical values of the illumination geometry and illumination frequency spectrum for the interferometer used to collect the data in step
  • the correction factors provide a correspondence between the distances extracted in step 292, which are related to the scanning distances between the different regions of fringe contrast, and the actual distances between different interfaces of the sample (e.g., a thickness of a thin film) as a function of the experimental conditions used to collect the data in step 290, including at least the optical spectrum and the illumination geometry as input parameters.
  • the correction approaches dividing the apparent thickness given by the scanning distance in step 292 by the group-velocity index of refraction.
  • the interferometer in step 290 may include any of the following features: a spectrally narrow-band light source with a high numerical aperture (NA) objective; a spectrally broad band light source; a combination of a high NA objective and a spectrally broadband source; an interferometric microscope objectives, including oil/water immersion and solid immersion types, in e.g. Michelson, Mirau or Linnik geometries; a sequence of measurements at multiple wavelengths; unpolarized light; and polarized light, including linear, circular, or structured.
  • structured polarized light may involve, for example, a polarization mask, generating different polarizations for different segments of the illumination or imaging pupils, so as to reveal polarization-dependent optical effects attributable to surface
  • FIG. 3 shows a scanning interferometer of the Linnik type. Illumination light 102 from a source (not shown) is partially transmitted by a beam splitter 104 to define reference light 106 and partially reflected by beam splitter 104 to define measurement light 108.
  • the measurement light is focused by a measurement objective 110 onto a test sample 112 (e.g., a o sample comprising a thin single- or multi-layer film of one or more dissimilar materials).
  • the reference light is focused by a reference objective 114 onto a reference mirror 116.
  • the measurement and reference objectives have common optical properties (e.g., matched numerical apertures).
  • Measurement light reflected (or scattered or diffracted) from the test sample 112 propagates back through measurement objective 110, is transmitted 5 by beam splitter 104, and imaged by imaging lens 118 onto a detector 120.
  • reference light reflected from reference mirror 116 propagates back through reference objective 114, is reflected by beam splitter 104, and imaged by imaging lens 118 onto a detector 120, where it interferes with the measurement light.
  • FIG. 3 shows the measurement and reference light focusing onto0 particular points on the test sample and reference mirror, respectively, and subsequently interfering on a corresponding point on the detector. Such light corresponds to those portions of the illumination light that propagate perpendicular to the pupil planes for the measurement and reference legs of the interferometer.
  • FIG. 3 this is illustrated by the dashed lines 122, which correspond to the chief rays emerging from different points on the test sample that are imaged to corresponding points on the detector.
  • the chief rays intersect in the center of the pupil plane 124 of the measurement leg, which is the back focal plane of measurement objective 110. Light emerging from the test sample at an angle different from that of the0 chief rays intersect at a different location of pupil plane 124.
  • detector 120 is a multiple element (i.e., multi-pixel) camera to independently measure the interference between the measurement and reference light corresponding to different points on the test sample and reference mirror (i.e., to provide spatial resolution for the interference pattern).
  • a scanning stage 126 coupled to test sample 112 scans the position of the test sample relative to measurement objective 110, as denoted by the scan coordinate ⁇ in FIG. 3.
  • the scanning stage can be based on a piezoelectric transducer (PZT).
  • Detector 120 measures the intensity of the optical interference at one or more pixels of the detector as the relative position of the test sample is being scanned and sends that information to a computer 128 for analysis.
  • the scan varies the optical path length of the measurement light from the source to the detector differently depending on the angle of the measurement light incident on, and emerging from, the test sample.
  • the optical path difference (OPD) from the source to the detector between interfering portions of the measurement and reference light scale differently with the scan coordinate ⁇ depending on the angle of the measurement light incident on, and emerging from, the test sample.
  • the same result can be achieved by scanning the position of reference mirror 116 relative to reference objective 114 (instead of scanning test sample 112 relative to measurement objective 110).
  • the interference signal (as a function of scan coordinate) is typically modulated by an envelope having a spatial coherence length on the order of ⁇ 2 ⁇ N ) , where ⁇ is the nominal wavelength of the illumination light and NA is the numerical aperture of the measurement and reference objectives.
  • the objectives in the scanning interferometer preferably define a large numerical aperture, e.g., greater than about 0.7 (or more preferably, greater than about 0.8, or greater than about 0.9).
  • the interference signal can also be modulated by a limited temporal coherence length associated with the spectral bandwidth of the illumination source.
  • a source module 205 provides illumination light 206 to a beam splitter 208, which directs it to a Mirau interferometric objective assembly 210.
  • Assembly 210 includes an objective lens 211, a reference flat 212 having a reflective coating on a small central portion thereof defining a reference mirror 215, and a beam splitter 213.
  • objective lens 211 focuses the illumination light towards a test sample 220 through reference flat 212.
  • Beam splitter 213 reflects a first portion of the focusing light to reference mirror 215 to define reference light 222 and transmits a second portion of the focusing light to test sample 220 to define measurement light 224. Then, beam splitter 213 recombines the measurement light reflected (or scattered) from test sample 220 with reference light reflected from reference mirror 215, and objective 211 and imaging lens 230 image the combined light to interfere on detector (e.g., a multi-pixel camera) 240. As in the system of FIG. 3, the measurement signal(s) from the detector is sent to a computer (not shown). The scanning in the embodiment of FIG.
  • PZT piezoelectric transducer
  • Mirau interferometric objective assembly 210 which is configured to scan assembly 210 as a whole relative to test sample 220 along the optical axis of objective 211 to provide the scanning interferometry data l( ⁇ , h) at each pixel of the camera.
  • the PZT may be coupled to the test sample rather than assembly 210 to provide the relative motion there between, as indicated by PZT actuator 270.
  • the scanning may be provided by moving one or both of reference mirror 215 and beam splitter 213 relative to objective 211 along the optical axis of objective 211.
  • Source module 205 includes a spatially extended source 201, a telescope formed by lenses 202 and 203, and a stop 204 positioned in the front focal plane of lens 202 (which coincides with the back focal plane of lens 203).
  • This arrangement images the spatially extended to source onto the pupil plane 245 of Mirau interferometric objective assembly 210, which is an example of Koehler imaging.
  • the size of stop controls the size of the illumination field on test sample 220.
  • the source module may include an arrangement in which a spatially extended source is imaged directly onto the test sample, which is known as critical imaging. Either type of source module may be used with the Linnik-type scanning interferometry system of FIG. 1.
  • the polarization state of the light in the pupil plane is random, i.e., comprised of approximately equal amounts of both s polarizations(orthogonal to the plane of incidence) and/? (orthogonal to the plane of incidence) polarizations.
  • Alternative polarizations are possible, including pure s polarization, such as may be realized by means of a radial polarizer placed in the pupil plane (e.g., in the back-focal plane of the measurement object in the case of a Linnik interferometer and in the back focal plane of the common objective in the Mirau interferometer).
  • Other possible polarizations include radial/? polarization, circular polarization, and modulated (e.g.
  • the scanning interferometry system may include a fixed or variable polarizer in the pupil plane.
  • the Mirau- type interferometry system includes polarization optics 280 in the pupil plane to select a desired polarization for the light incident on, and emerging from the test sample.
  • the polarization optics may be reconfigurable to vary the selected polarization.
  • the polarization optics may include one or more elements including polarizers, waveplates, apodization apertures, and/or modulation elements for selecting a given polarization. Furthermore, the polarization optics may be fixed, structured or reconfigurable, for the purpose of generating data similar to that of an ellipsometer. For example, a first measurement with a radially-polarized pupil for s polarization, followed by a radially- polarized pupil for p polarization.
  • an apodized pupil plane with linearly polarized light e.g., a slit or wedge, which can be rotated in the pupil plane so as to direct any desired linear polarization state to the object, or a reconfigurable screen such as a liquid crystal display.
  • a theoretical model for the scanning interferometry signal is the basis for providing the correction factors in step 298 of Figure 2.
  • a full physical model can be very elaborate, taking into account the partial coherence of the light source, polarization mixing in the interferometer, the imaging properties of high- NA objectives, and the interaction of electric field vectors at high angles of incidence and in the presence of discontinuous surface features.
  • the total signal is the incoherent sum of the interference contributions of all of the ray bundles passing through the pupil plane of the objective and reflecting from the object surface at an incident angle ⁇ , as shown in Figure 5.
  • the interference contribution for a single ray bundle through the optical system is proportional to
  • Z is the effective object intensity reflectivity, including e.g. the transmissivity of the beamsplitter
  • R the effective reference reflectivity, including both the beamsplitter and the reference mirror, and we assume a refractive index of 1 for the ambient medium.
  • the phase term in Eq.(l) has a contribution ⁇ for the object path in the interferometer, including any phase change on reflection from the object surface (including underlying layer(s)), and a contribution ⁇ for the reference path, including the reference mirror and other optics in the objective.
  • Z, R, ⁇ , ⁇ all vary with directional cosine ⁇ and angular wavenumber k.
  • the total interference signal for a single scan position ⁇ is the integral over all points in the pupil plane and over all wavelengths for the ray bundle contributions g( ⁇ ,£, ⁇ ) :
  • Eq.(4) implies a numerical integration.
  • the modeling can be further simplified by frequency analysis to produce a more computationally efficient way of simulating the interference intensity signal /( ⁇ ) .
  • the most rapidly varying factor in the integrand of Eq.(4) as a function of k and ⁇ is the quasi-periodic interference contribution g( ⁇ ,/c, ⁇ ) .
  • This spatial frequency i is the angular rate of change of the phase term of g( ⁇ ,&, ⁇ ) as a function of the scan coordinate ⁇ .
  • various combinations of ⁇ and k result in the same spatial frequency K .
  • One path to simplifying Eq.(4), therefore, is to recast the calculation in terms equivalent to these spatial frequencies.
  • the numerical calculation of the intensity signal /( ⁇ ) can then be more efficiently expressed as a fast Fourier Transform of the frequency-domain spectrum (K) of the signal, where K is the frequency coordinate of the transformed data.
  • the first step in the simplifying analysis is the somewhat counter-intuitive step of Fourier Transforming Eq.(4), leading to a triple integral that defines (K) :
  • T(k,k) c7[ ⁇ ( ⁇ ,/c)] (/) ⁇ /4/c 2 . (14)
  • V(k) ⁇ (k -k 0 ) .
  • the incoherent superposition model accommodates the dependency of the reflection coefficient z on polarization by summing the resulting Fourier components for s and ? polarization contributions (Eq.(17)).
  • a more challenging situation for an interference microscope is an object comprised of partially-transparent thin film layers. Such samples are being delivered with increasing frequency to the optical metrology lab as thin film nanostructures such as MEMS devices, flat panel display pixels, and patterned semiconductors extend their dominance in high technology applications.
  • a straightforward example is a single-layer film deposited on a substrate. The amplitude reflectivity z becomes
  • L is the thickness of the film
  • n' is the index of the film
  • 0 is the reflectivity of the air-film interface
  • ⁇ ' is the reflectivity of the film-substrate interface
  • Figure 6(a) shows that with the white light illumination, there are two distinct signals corresponding to the two interfaces.
  • the film appears to be twice the physical thickness L , the optical thickness being close to Ln' .
  • the signals are well separated and one can analyze each of them separately to determine the profile of each interface.
  • the technique for finding the physical thickness is to divide by the index of refraction n' .
  • the model shows, however, that the correction is more accurately the group velocity index n G ' of the film material, which takes into account the dispersion in the material.
  • the group velocity index is defined as the derivative of the wavenumber with respect to frequency. The distinction can be very important. For example, if the film is common silicon dioxide, using the group velocity index as proposed herein improves the measurement accuracy with respect to the prior art by 4%.
  • Figure 6(b) shows that for monochromatic light and a high-NA objective, there are again two signals, but this time they are much closer together than in Figure 6(a), the optical thickness being close to L/n' .
  • the model can be used to determine the separation between regions of fringe contrast for each of a series of different thicknesses for a thin film sample, for each of different illumination settings for a particular interferometer.
  • the results of the model can then be used to provide a correspondence between the actual thickness of a thin film sample based on the separation of the between the regions of fringe contrast from an experimental interferometry signal as a function of the illuminations settings (e.g., NA and illumination bandwidth) used to collect the signal.
  • the computer used to analyze the experimental signal may also be used to perform the numerical calculations for the model.
  • the results from the modelling can be done in advance, with the resulting correspondence being stored in the computer used to analyze the experimental data in the form of scaling factors, look-up tables, and/or functions.
  • the correspondence between the distance between the regions of the fringe contrast in the interferometry signal and the actual distance between the interfaces in the sample can be a simple scaling factor that is parametrized by the NA and the frequency bandwidth of the interferometer. For example, as described above, for a high-NA objective with a very narrow illumination frequency spectrum, one would correct for refraction distortions by multiplying by the index of refraction. We can understand this by likening the geometric limits on coherence to a focus effect. Using the paraxial lens formula, one finds that the position of best focus is shifted when entering from a vacuum into a material of index n' .
  • the scaling factor is the inverse of the group velocity index.
  • the distance between the regions of fringe contrast in the interferometry signal is divided by the group velocity index to determine the actual distance between the sample interfaces.
  • the scaling factors are stored in a look-up table (e.g., a storage database in an electronic processor). For example, the expected results for a 60-nm bandwidth, 500-nm center wavelength with a 0.35-NA objective generates an apparent thickness of approximately 7n'L/8 , as shown in Figure 9. A close match of specific experimental conditions to these parameters implies that the true physical thickness L is
  • the correspondence may differ from such linear scaling, and may involve a non-linear relationship between the scanning distance between the regions of the fringe contrast in the interferometry signal and the actual distance between the sample interfaces for each of different illumination conditions.
  • the specific interferometer used to collect the data in step 290 may have a fixed illumination frequency spectrum, but may implement various objectives with different numerical apertures depending on the specific application.
  • the correction factors provided in step 298, while based on the illumination frequency spectrum may only be parametrized according the different numerical apertures of the objectives because only one illumination frequency spectrum is used. So, in some embodiments, for example, the input parameter for the illumination frequency spectrum is fixed, and need not be specified.
  • the specific interferometer used to collect the data in step 290 may have a fixed NA and a variable illumination frequency spectrum (e.g., interchangeable LED sources), in which case, the correspondence between the distance between the regions of the fringe contrast in the interferometry signal and the actual distance between the sample interfaces, while based on the illumination geometry, may only be parametrized according the illumination frequency spectrum.
  • the illumination settings can be selected to suppress the regions of fringe contrast for underlying layer(s) to allow more accurate analysis of the fringe contrast region for the top surface using, for example, conventional analysis techniques. As a result, an accurate surface profile of the top surface can be determined, even for complex samples having one or more underlying layers.
  • the surface profile can be determined based on the relative change in the position of the fringe contrast region in the interferometry signal for different sample locations, using the conventional techniques described in the background.
  • the technique described in commonly owned U.S. Patent Application Serial No. 10/941,651 entitled "SURFACE PROFILING USING AN INTERFERENCE PATTERN MATCHING TEMPLATE" by Peter J. de Groot and filed September 15, 2004, which was incorporated by reference and described above, can also be used.
  • large interference objectives having such large NAs have a correspondingly large magnification (e.g., larger than about 40X), which in turn reduces the field of view. In many applications, however, the suppression phenomenon is desired, by not at the expense of a large field of view.
  • a sample may have multiple thin film regions separated laterally by regions having no underlying layers. In such cases it can be desirable to image an area large enough to cover multiple thin film regions or other landmarks.
  • interference objectives should be selected that have large NA (e.g., greater than 0.5, and preferably greater than 0.7), but not so large magnification (e.g., less than 10X). While such objectives are not common for interferometers, they are common in other fields such as telescope eye-pieces, and could be easily adapted for use in an interference microscope.
  • the model can further include system errors for the interference microscope.
  • a nonlinear chromatic dispersion resulting from an imbalance in refractive materials between the measurement and reference paths can be modeled as
  • step is the scan increment between data frames, as previously defined for Eq.(21).
  • the light source in the interferometer may be any of: an incandescent source, such as a halogen bulb or metal halide lamp, with or without spectral bandpass filters; a broadband laser diode; a light-emitting diode; a combination of several light sources of the same or different types; an arc lamp; any source in the visible spectral region; any source in the IR spectral region, particularly for viewing rough surfaces & applying phase profiling; any source in the UV spectral region, particularly for enhanced lateral resolution; and any source or combination of sources having a net spectral bandwidth broader than 0.1% of the mean wavelength.
  • an incandescent source such as a halogen bulb or metal halide lamp, with or without spectral bandpass filters
  • a broadband laser diode such as a halogen bulb or metal halide lamp, with or without spectral bandpass filters
  • a broadband laser diode such as a halogen bulb or metal halide lamp
  • the scanning system may be: driven by any of a piezo-electric device, a stepper motor, and a voice coil; implemented opto-mechanically or opto-electronically rather than by pure translation (e.g., by using any of liquid crystals, electro-optic effects, strained fibers, and rotating waveplates); any of a driver with a flexure mount and any driver with a mechanical stage, e.g. roller bearings or air bearings.
  • the interferometer optics may form any of: an interferometric microscope employing, e.g., a Mirau or Michelson objective lens; a Linnik, a Twyman Green system; a Fizeau interferometer employing a filtered or structured source spectrum so as to provide coherence peaks far from zero OPD; a fiber interferometer; and a Mach Zehnder, particularly for profiling transparent media.
  • the data analysis may involve any of: frequency domain analysis (FDA); peak-fringe analysis; dynamic filtering to extract the fringe visibility in real time; a least-squares technique to extract fringe visibility and phase at the same time; and fringe visibility analysis followed by phase analysis, potentially including a separate measurement for phase with a modified source spectrum.
  • FDA frequency domain analysis
  • peak-fringe analysis dynamic filtering to extract the fringe visibility in real time
  • a least-squares technique to extract fringe visibility and phase at the same time
  • fringe visibility analysis followed by phase analysis potentially including a separate measurement for phase with a modified source spectrum.
  • the analysis steps described above can be implemented in hardware or software, or a combination of both.
  • the methods can be implemented in computer programs using standard programming techniques following the method and figures described herein.
  • Program code is applied to input data to perform the functions described herein and generate output information.
  • the output information is applied to one or more output devices such as a display monitor.
  • Each program may be implemented in a high level procedural or object oriented programming language to communicate with a computer system.
  • the programs can be implemented in assembly or machine language, if desired. In any case, the language can be a compiled or interpreted language.
  • the program can run on dedicated integrated circuits preprogrammed for that purpose.
  • Each such computer program is preferably stored on a storage medium or device (e.g., ROM or magnetic diskette) readable by a general or special purpose programmable computer, for configuring and operating the computer when the storage media or device is read by the computer to perform the procedures described herein.
  • the computer program can also reside in cache or main memory during program execution.
  • the analysis method can also be implemented as a computer-readable storage medium, configured with a computer program, where the storage medium so configured causes a computer to operate in a specific and predefined manner to perform the functions described herein.
  • a surface topography measuring system should have lateral resolution comparable to the lateral size of typical surface features, and vertical resolution comparable to the minimum allowed surface step height. Typically, this requires a lateral resolution of less than a micron, and a vertical resolution of less than 1 nanometer.
  • the dual damascene process may be considered to have six parts: (1) an interlayer dielectric (ILD) deposition, in which a layer of dielectric material (such as a polymer, or glass) is deposited onto the surface of a wafer (containing a plurality of individual chips); (2) chemical mechanical polishing (CMP), in which the dielectric layer is polished so as to create a smooth surface, suitable for precision optical lithography, (3) a combination of lithographic patterning and reactive ion etching steps, in which a complex network is created comprising narrow trenches running parallel to the wafer surface and small vias nvnning from the bottom of the trenches to a lower (previously defined) electrically conducting layer, (4) a combination of metal deposition steps which result in the deposition of copper trenches and vias, (5) a dielectric deposition step in which a dielectric is applied over the copper trenches and vias, and (6) a final CMP step in which the excess copper is removed, leaving a network of copper filled trenches (
  • a device 500 is exemplary of the a film structure resulting from the deposition of a dielectric 504 over copper features 502 deposited on a substrate 501.
  • the dielectric 504 has a non-uniform outer surface 506 exhibiting height variations therealong.
  • Interference signals obtained from device 500 can include interference patterns resulting from surface 506, an interface 508 between copper features 502 and dielectric 504, and an interface 510 between substrate 501 and dielectric 504.
  • the device 500 may include a plurality of other features that also generate interference patterns.
  • a device 500' illustrates the state of device 500 after the final CMP step.
  • the upper surface 506 has been planarized to a surface 506', and interface 508 may now be exposed to the surroundings. Interface 510 at the substrate surface remains intact. Device performance and uniformity depends critically on monitoring the planarization of surface 504. It is important to appreciate that the polishing rate, and therefore the remaining copper (and dielectric) thickness after polishing, depends strongly and in a complex manner on the polishing conditions (such as the pad pressure and polishing slurry composition), as well as on the local detailed arrangement (i.e., orientation, proximity and shape) of copper and surrounding dielectric regions. Hence, portions of surface 506 over copper elements 502 may etch at different rates than other portions of surface 506. Additionally, once interface 508 of copper elements 502 is exposed, the dielectric and copper elements may exhibit different etch rates.
  • This 'position dependent polishing rate' is known to give rise to variable surface topography on many lateral length scales. For example, it may mean that chips located closer to the edge of a wafer on aggregate are polished more rapidly than those located close to the center, creating copper regions which are thinner than desired near the edges, and thicker than desired at the center. This is an example of a 'wafer scale' process nonumformity - i.e., one occurring on length scale comparable to the wafer diameter. It is also known that regions which have a high density of copper trenches polish at a higher rate than nearby regions with low copper line densities. This leads to a phenomenon known as 'CMP induced erosion' in the high copper density regions.
  • one or more spatial properties e.g., the topography of surface
  • the polishing conditions can be changed to achieve the desired planar surface 506'.
  • the pad pressure, pad pressure distribution, polishing agent characteristics, solvent composition and flow, and other conditions can be determined based on the spatial properties.
  • the spatial property can again be determined and the polishing conditions changed as needed.
  • the topography and/or thickness is also indicative of the end-point at which, e.g., surface 504' is achieved.
  • the low coherence interference signals can be used to avoid depressions caused by over polishing different regions of the object.
  • a structure 550 is exemplary of a structure produced during solder bump processing.
  • Structure 550 includes a substrate 551, regions 502 non- wettable by solder, and a region 503 wettable by solder. Regions 502 have an outer surface 507. Region 503 has an outer surface 509. Accordingly, an interface 505 is formed between regions 502 and substrate 501.
  • a mass of solder 504 is positioned in contact with wettable region
  • structure 550 includes a plurality of interfaces that may each result in an interference pattern. Overlap between the interference patterns prevents accurate determinate of the spatial properties using known interference techniques. Application of the systems and methods discussed herein allow the spatial properties to be determined.
  • a passive matrix LCD 450 is composed of several layers. The main parts are two glass plates 452,453 connected by seals 454. A polarizer 456 is applied to the front glass plate 453 in order to polarize incoming light in a single direction. The polarized light passes through the front glass plate 453. An Indium Tin Oxide (ITO) layer 458 is used as an electrode.
  • ITO Indium Tin Oxide
  • a passivation layer 460 sometimes called hard coat layer, based on SiOx is coated over the ITO 458 to electrically insulate the surface.
  • Polyimide 462 is printed over the passivation layer 460 to align the liquid crystal fluid 464.
  • the liquid crystal fluid is sensitive to electric fields and changes orientation when an electric field is applied.
  • the liquid crystal is also optically active and rotates the polarization direction of the incoming light.
  • the cell gap ⁇ g i.e., thickness of the liquid crystal layer 464, is determined by spacers 466, which keep the two glass plates 452,453 at a fixed distance. When there is no electric potential from the front plate 453 to the rear plate 452, the polarized light is rotated 90° as it passes through the liquid crystal layer 464.
  • the cell 450 may include filters 476 or other colorizing elements to provide a color display.
  • the cell gap ⁇ g determines to a great extent the optoelectrical properties of the LCD, e.g., the contrast ratio and brightness. Cell gap control during manufacturing is critical to obtaining uniform, quality displays.
  • the actual cell gap may differ from the dimensions of spacers 466 because, during assembly, pressure or vacuum is applied to introduce the liquid crystal medium, seals 454 cure and may change dimensions, and the added liquid crystal medium generates capillary forces between plates 452,453.
  • surfaces 480,482 of plates 452,453 reflect light that results in an interference pattern indicative of the cell gap ⁇ g.
  • the low coherence nature of the interference signal either itself or in combination with the described interference signal processing techniques can be used to monitor properties of the cell including the cell gap ⁇ g during manufacture even in the presence of interfaces formed by other layers of the cell.
  • An exemplary method can include obtaining a low coherence interference signal including interference patterns indicative of the cell gap ⁇ g prior to adding layer 464.
  • the cell gap (or other spatial property of the cell) is determined from the interference patterns and can be compared to a specified value. Manufacturing conditions, e.g., a pressure or vacuum applied to plates 452,453 can be changed to modify the cell gap ⁇ g if a difference between the specified value and the determined cell gap exceeds tolerances. This process can be repeated until achieving the desired cell gap. Liquid crystal medium is then introduced into the cell. The amount of liquid crystal medium to be added can be determined from the measured spatial property of the cell. This can avoid over- or underfilling the cell. The filling process can also be monitored by observing interference signals from the surfaces 480,482. Once the cell has been filed, additional low coherence interference patterns are obtained to monitor the cell gap ⁇ g (or other spatial property).
  • Manufacturing conditions e.g., a pressure or vacuum applied to plates 452,453 can be changed to modify the cell gap ⁇ g if a difference between the specified value and the determined cell gap exceeds tolerances. This process can be repeated until achieving the desired cell gap.
  • Laser Scribing and Cutting Lasers can be used to scribe objects in preparation for separating different, concurrently manufactured structures, e.g., microelectronics structures.
  • the quality of separation is related to the scribing conditions, e.g., laser focus size, laser power, translation rate of the object, and scribe depth.
  • the scribe lines may be adjacent thin film or layers of the structures. Interfaces associated with the thin film or layers may create interference patterns that appear when interferometry is used to determine the scribe depth. The methods and systems described herein can be used to determine the scribe depth even in the presence of such adjacent films or layers.
  • An exemplary method can include scribing one or more electronic structures and separating the structures along the scribe lines.
  • low coherence interference signals can be used to determine the depth of scribe.
  • Other scribing conditions are known, e.g., laser spot size, laser power, translation rate.
  • the scribe depth can be determined from the interference signals.
  • the quality of separation as a function of the scribing conditions, including the scribe depth, can be determined by evaluating the separated structures. Based on such determinations, the scribing conditions necessary to achieve a desired separation quality can be determined.
  • low coherence interference signals can be obtained from scribed regions to monitor the process. Scribing conditions can be changed to maintain or bring the scribe properties within tolerances.

Abstract

A method including: providing a low coherence scanning interferometry data for at least one spatial location of a sample having multiple interfaces, wherein the data is collected using a low coherence scanning interferometer having an illumination geometry and an illumination frequency spectrum, and wherein the data comprises a low coherence scanning interferometry signal having multiple regions of fringe contrast corresponding to the multiple interfaces; and determining a distance between at least one pair of interfaces based on a distance between the corresponding regions of fringe contrast and information about the illumination geometry.

Description

SCANNING INTERFEROMETRY FOR THIN FILM THICKNESS AND SURFACE MEASUREMENTS
CROSS-REFERENCE TO RELATED APPLICATIONS This application claims priority under 35 U.S.C. 119(e) to U.S. Provisional Patent Application: U.S. Patent Application Serial No. 60/515,140 filed October 27, 2003 and entitled "THIN FILM THICKNESS AND SIMULTANEOUS SURFACE TOPOGRAPHY MEASUREMENT USING SCANNING INTERFEROMETRY," by Peter J. de Groot et al., the contents of which are incorporated herein by reference.
BACKGROUND The invention relates to using scanning interferometry to measure thickness(es), surface topography, and/or other characteristics of objects having complex surface structures, such as thin film(s). Interferometric techniques are commonly used to measure the profile of a surface of an object. To do so, an interferometer combines a measurement avefront reflected from the surface of interest with a reference wavefront reflected from a reference surface to produce an mterferogram. Fringes in the interferogram are indicative of spatial variations between the surface of interest and the reference surface. A scanning interferometer scans the optical path length difference (OPD) between the reference and measurement legs of the interferometer over a range comparable to, or larger than, the coherence length of the interfering wavefronts, to produce a scanning interferometry signal for each camera pixel used to measure the interferogram. A limited coherence length can be produced, for example, by using a white-light source, which is referred to as scanning white light interferometry (SWLI). A typical scanning white light interferometry (SWLI) signal is a few fringes localized near the zero optical path difference (OPD) position. The signal is typically characterized by a sinusoidal carrier modulation (the "fringes") with bell- shaped fringe-contrast envelope. The conventional idea underlying SWLI metrology is to make use of the localization of the fringes to measure surface profiles. Scanning interferometers that use a limited coherence length to localize interference fringes in the interferometry signal are also referred to as "low coherence scanning interferometers." Typically, there are two approaches to processing such data. The first approach is to locate the peak or center of the envelope, assuming that this position corresponds to the zero optical path difference (OPD) of a two-beam interferometer for which one beam reflects from the object surface. The second approach is to transform the signal into the frequency domain and calculate the rate of change of phase with wavelength, assuming that an essentially linear slope is directly proportional to object position. See, for example, U.S. Patent No. 5,398,113 to Peter de Groot. This latter approach is referred to as Frequency Domain Analysis (FDA). If a low coherence scanning interferometer is used to collect a scanning interferometry signal from a sample having a thin film (e.g., a simple single-layer partially reflective film over an opaque substrate), and if the film is sufficiently thick, then the scanning interferometry signal will include two distinct regions of fringes corresponding to the upper and lower interfaces of the film. This is shown in Figure 1, extracted from a reference by S. Petitgrand et al. (S. Petitgrand, A. Bosseboeuf, J.P. Gilles, P. Coste, P. Nerin, P. Vabre "Mesures 3D de topographies et de vibrations a l'echelle (sub)micrometrique par microscopie optique interferometrique" Proc. Club CMOI, Methodes et Teclmiques Optiques pour l'lndustrie (2002). A nearly identical paper can be downloaded from Fogale Nanotech website (http://www. fogale.com/acrobat/IEFCMOI2002 FR.pdfV). According to another paper by Bosseboeuf and Petigrand (Proc. SPIE 5145, 1-16, (2003)), the distance between these two signals is " Δ = n d ," where here Δ is the distance between the maxima of the two regions of fringe contrast, d is the physical film thickness and nλ is the index of refraction. Because the light passes through the film before reaching the substrate, there is a distortion in the apparent film thickness related to the refractive properties of the film, hi prior-art references such as Bosseboeuf and Petigrand, the correction for this effect is to divide the apparent thickness by the index of refraction, to recover the true physical thickness of the film. Unfortunately, we often observe that this correction is insufficient. In other applications, one is interested in the topology of the top and/or bottom surface of the film, instead or, or in addition to, the thickness of the thin film. Unfortunately, conventional processing of the low coherence scanning interferometry data can sometimes be corrupted by the presence of one or more underlying layers. SUMMARY The inventors have recognized that an accurate analysis of low coherence scanning interferometry data of a sample having one or more layers (e.g., a thin film sample) should take into account both the illumination frequency spectrum and the illumination geometry (e.g., the numerical aperture of the light used to illuminate the sample) to more accurately account for the low coherence phenomenon that produce the regions of fringe contrast. For example, in addition to the low coherence phenomenon resulting from a broadband light source, the low coherence can also result from using a high numerical aperture (NA) for directing light to, and/or receiving light from, the test object. The high NA causes light rays to contact the test surface over a range of angles, and generates different spatial frequency components in the recorded signal as the OPD is scanned. The separation of the regions of fringe contrast in a signal produced from a multilayer sample will depend on the relative strengths of such low coherence phenomena. For example, the inventors have discovered that in the limit of very low NA and white light illumination, the apparent thickness of a thin film sample based on the separation between regions of the fringe contrast in the low coherence scanning interferometry signal is corrected by dividing this apparent thickness by the group-velocity index of refraction. In the opposite limit of very high NA and monochromatic illumination, the apparent thickness is corrected by multiplying it by the index of refraction. For intermediate illumination conditions, where both broadband illumination and high
NA contribute the localization of interference fringes, the correction of the apparent thickness based on the separation between regions of the fringe contrast can be determined based on a theoretical model (described in further detail below) that more accurately takes into account both phenomena. In practice the results of the model can be represented as a look-up table or simplified function which provides a correction factor to a user as a function of input parameters related to the illumination geometry and illumination frequency spectrum. In another aspect, the inventors have recognized that the illumination conditions can be selected to suppress the region(s) of fringe contrast in the interferometry signal associated with an underlying layer or layers of a sample. As a result, the interferometry signal is dominated only by the fringe contrast region associated with the top surface of the sample, and subsequent processing of the interferometry signal using, for example, conventional teclmiques to more accurately provide surface profile information about the top surface. This phenomenon typically occurs when there is both broadband illumination (e.g., a bandwidth larger than about 100 nm in the visible) and high NA (e.g., greater than about 0.5, and preferably greater than 0.7). In certain embodiments, an objective for the low coherence scanning interferometer can be selected to provide such high NA, while also providing a low magnification (e.g., less than 10X) to provide a large field of view. We now generally summarize different aspects and features of the invention. In general, in one aspect, the invention features a method including: (i) providing a low coherence scanning interferometry data for at least one spatial location of a sample having multiple interfaces, wherein the data is collected using a low coherence scanning interferometer having an illumination geometry and an illumination frequency spectrum, and wherein the data includes a low coherence scanning interferometry signal having multiple regions of fringe contrast corresponding to the multiple interfaces; and (ii) determining a distance between at least one pair of interfaces based on a distance between the corresponding regions of fringe contrast and information about the illumination geometry and/or the illumination frequency spectrum. Embodiments of the method may include any of the following features. Determining the distance between at least a pair of the interfaces includes providing information about a correspondence between the distance between the pair of interfaces and the distance between the corresponding regions of fringe contrast in the interferometry signal for different settings of the illumination geometry and the illumination frequency spectrum. For example, the correspondence may be represented as a function or a look-up table that uses the information about the illumination geometry and/or the illumination frequency spectrum as input parameters. The correspondence may be based on a theoretical model for the interferometer that uses the information about the illumination geometry and the illumination frequency spectrum as input parameters. For example, the theoretical model may be based on the following expression for the interferometry signal /(ζ) as a function of scan coordinate ζ for each spatial location in the data: » 1 /(ζ) = JJ g(β,*,ζ) J (β)F(Λ) βrfβ dfc
where U is an illumination distribution in a pupil plane of an objective used to illuminate the sample as a function of directional cosine β , V is the illumination frequency spectrum as a function of spectral wavenumber k , and g(β,/c,ζ) = R + Z + 2VΛZ cos[2β£(A - ζ) + (υ- ω)]
for a reference path reflectivity R , a sample path reflectivity Z , and a local sample height h , and where phase offsets υ, ω are system and phase change on reflection values for the reference and sample paths, respectively. The distance between the pair of interfaces may be determined by determining an estimate for the distance between the pair of interfaces corresponding to the distance between the two regions of fringe contrast, and correcting the estimate based on the information about the illumination geometry and the frequency spectrum. For example, the correction of the initial estimate may include decreasing the estimate by a scale factor that increases with a group velocity index of the film, hi another example, the correction of the initial estimate may include increasing the estimate by a scale factor that increases with a refractive index of the film. The sample may be a thin film sample, where the pair of interfaces is a top and bottom surface of the film. The sample may have the film at some spatial locations and not others. The sample may include a spacer element in a liquid crystal cell. The sample may include a solder bump. In general, in another aspect, the invention features an apparatus including: a low coherence scanning interferometer configured to collect data for at least one spatial location of a sample having at least one film, the coherence scanning interferometer having an illumination geometry and an illumination frequency spectrum, and the data including a low coherence scanning interferometry signal having multiple regions of fringe contrast corresponding to the multiple interfaces; and an electronic processor configured to analyze the data and determine a distance between at least one pair of interfaces based on a distance between the corresponding regions of fringe contrast and information about the illumination geometry and/or the illumination frequency spectrum. Embodiments of the apparatus may include any of the following features. The low coherence interferometer may be configured for use with an adjustable numerical aperture for the illumination geometry, and the information about the illumination geometry may include information about which numerical aperture was used to collect the interferometry signal. For example, the apparatus may further include a plurality of interference objective having different numerical apertures (NAs) each configured for use in the low coherence scanning interferometer to provide the adjustable numerical aperture for the illumination geometry. Alternatively, or in addition, the low coherence interferometer may be configured for use with an adjustable illumination frequency spectrum, and the information about the illumination geometry may include information about which illumination frequency spectrum was used to collect the interferometry signal. For example, the apparatus may include a plurality of light sources (e.g., light emitting diodes (LEDs)) having different emission spectrums each configured for use in the low coherence scanning interferometer to provide the adjustable illumination frequency spectrum. The electronic processor in the apparatus may also include features corresponding to those described above for the method aspect. In general, in another aspect, the invention features a method including: using a low coherence scanning interferometer having an illumination geometry and an illumination frequency spectrum to collect a low coherence scanning interferometry signal for each of multiple spatial locations of a sample having at least one thin film with a top surface and a bottom surface; selecting the illumination geometry and the illumination frequency spectrum to suppress a region of fringe contrast in the signals corresponding to the bottom surface relative to a region of fringe contrast in the signals corresponding to the top surface; and determining a surface height profile for the top surface of the film based on the signals. Embodiments of the method may include any of the following features. The selected illumination geometry may include an objective to illuminate the sample with a numerical aperture greater than 0.5, or preferably greater than 0.7, or even more preferably, greater than 0.8. In some cases, the objective has a magnification less than 10X to improve the field of view. The sample may include a spacer element in a liquid crystal cell. The sample may include a solder bump. In general, in another aspect, the invention features a low coherence scanning interferometer having an objective to illuminate the sample with a numerical aperture greater than 0.5 and a magnification less than 10X. For example, the numerical aperture may be greater than 0.7, or more preferably greater than 0.8. Unless otherwise defined, all technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. In case of conflict with publications, patent applications, patents, and other references mentioned incorporated herein by reference, the present specification, including definitions, will control. Other features, objects, and advantages of the invention will be apparent from the following detailed description.
BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a graph showing a typical low coherence interferometry signal for a thin film sample.. FIG. 2 is a flow chart showing an interferometry method for determining a thickness of a layer in a sample having one or more layers. FIG. 3 is a schematic drawing of a Linnik-type scanning interferometer. FIG. 4 is a schematic drawing of a Mirau-type scanning interferometer. FIG. 5 is a diagram showing illumination of the test sample through an objective lens. FIGS. 6(a) and 6(b) show simulations of a low-coherence scanning interferometry signal based on the model disclosed herein for a 2-μm thick film of index 2 deposited on a substrate of index 4, viewed with a 500-nm center wavelength. FIG. 6(a) is for a broad 200- nm gaussian bandwidth, and narrow 0.28 NA illumination. FIG. 6(b) is for a narrow 5-nm bandwidth, and wide 0.80 NA illumination. FIG. 7(a) is a graph showing agreement between the interferometry signal predicted by the model and experimental data for a SiC flat. FIG. 7(b) is a graph showing agreement between the interferometry signal predicted by the model and experimental data for a thin film standard of 1025 nm of SiO2 on Si. FIGS. 8(a) and 8(b) are graphs showing the agreement of the data in FIG. 7(b) in the frequency domain. FIG. 9 is a graph of simulated interferometry signal for a 2- m thick film of index n' = 2 deposited on a substrate of index 4, viewed with a 500-nm center wavelength, 0.35 NA and 60-nm bandwidth. The left-hand peak is at about -3.75 microns, or 7n'L/8 , where L is the thickness of the film. FIG. 10 is a graph of a simulated interferometry signal for an L — 2-μ thick film of index n' = 2 deposited on a substrate of index 4, viewed with a 500-nm center wavelength, 0.8 NA and 200-nm bandwidth. The combination of broad spectral bandwidth and high NA suppresses the underlying reflection from the substrate so that the top surface can be more easily analyzed. FIGS. 1 la and 1 lb are exemplary structures having copper interconnects. FIGS. 11a and 1 lb show the structure before and after planarization, respectively. FIGS. 12a and 12b are exemplary structures formed during solder bump processing. FIG. 12a shows the structure before addition of solder. FIG. 12b shows the structure after addition of solder but prior to flowing the solder. FIG. 13 is a portion of an exemplary liquid crystal display. Like reference numerals in different drawings refer to common elements. DETAILED DESCRIPTION The invention features a method to accurately correct the distortion in the apparent film thickness as measured by a low-coherence interference microscope by taking into account the coherence effects related to the illumination geometry. In preferred embodiments, the correction can be by means of a formula or look up table based in part on the NA of the objective and the nominal spectral characteristics of the source light. Figure 2 is flow chart providing an exemplary sequence of steps for the method. In step 290, an interference microscope provides a scanning interference signal from each of different surface locations of a sample having one or more layers. The interference microscope is a low-coherence (spectrally broadband and/or extended source) interferometer. The interferometer is used to mechanically or electro-optically scan the optical path difference (OPD) between a reference and measurement path, the measurement path being directed to an object surface. For example, scanning an interference objective along the line of the surface height coordinate generates an interference signal with a localized fringe contrast. A computer records an interference intensity signal during the OPD scan for each of multiple camera pixels corresponding to the different surface locations of the sample. The apparatus is configured to analyze surfaces that may have one or more layers (e.g., a partially reflective thin film on a substrate) for which multiple interference signals are generated in sequence during the scan, corresponding to the interfaces at the surface and between layers. In step 292, the scanning interference signal from the different locations are analyzed to identify regions of fringe contrast associated with each reflective or partially reflective interface in the sample. Typically, this done computationally. The center location of each region of fringe contrast can be identified using conventional methods, such as identifying the peak in the fringe contrast envelope, identifying the centroid of the fringe, or using frequency domain analysis (FDA). For example, when using FDA, each of region of fringe contrast is Fourier transformed and the center of each fringe contrast region is determined from the slope of the phase of the Fourier transform with respect to wavevector. In further embodiments, techniques that account for system dispersion characteristics can be used to more accurately determine the fringe contrast positions in the scanning interferometry data. Suitable techniques are disclosed in U.S. Patent Application Serial No. 10/941,651 entitled "SURFACE PROFILING USING AN INTERFERENCE PATTERN MATCHING TEMPLATE" by Peter J. de Groot and filed September 15, 2004, the contents of which are incorporated herein by reference. The center position of each region of fringe contrast in the scanning interferometry signal provide an initial estimate for the relative position of each reflective or partially reflective interface in the sample. In step 294, correction factors are applied to the estimates determined in step 292, to more accurately determine the physical distance between the interfaces of the relevant film layer (e.g., the actual thickness of a thin film layer). For example, the correction factor can be a scaling factor that converts the scanning distance between respective regions of fringe contrast extracted in step 292 to the physical distance between the interfaces of the relevant film layer. The correction factor can be applied to the scanning distances for each spatial location in the interferometry data to provide a thickness profile for each layer of the sample. Also, the thicknesses determined for different spatial locations can be averaged to improve signal-to-noise. Furthermore, the data extracted in step 292 can be laterally smoothed before applying the correction factor(s) and/or determining the thickness measurement(s). In step 296, the resulting thickness value(s) or profile(s) can shown on a user display and/or directed to another process as part of a quality control feedback loop (e.g., to determine whether, for example, a chemical mechanical processing step, solder bump thickness, or liquid crystal spacer thickness, has been optimized). The correction factors themselves are determined in step 298, based on input parameters that include the geometric and spectral characteristics (i.e., the illumination geometry and illumination frequency spectrum) of the instrument used to collect the data in step 290. The input parameters may also include the refractive index dispersion of the film layers. The correction factors may be based on direct calculations using a theoretical model for the low coherence interferometry signal (which is described further below). Alternatively, the correction factors may be determined from a look-up table or simplified function that is based on the theoretical model for typical values of the illumination geometry and illumination frequency spectrum for the interferometer used to collect the data in step
290. Whatever the exact implementation, the correction factors provide a correspondence between the distances extracted in step 292, which are related to the scanning distances between the different regions of fringe contrast, and the actual distances between different interfaces of the sample (e.g., a thickness of a thin film) as a function of the experimental conditions used to collect the data in step 290, including at least the optical spectrum and the illumination geometry as input parameters. For example, in the limit of very low NA and white light illumination, the correction approaches dividing the apparent thickness given by the scanning distance in step 292 by the group-velocity index of refraction. In the opposite limit, of very high NA and monochromatic illumination, the correction approaches multiplying the apparent thickness given by the scanning distance in step 292 by the index of refraction. The interferometer in step 290 may include any of the following features: a spectrally narrow-band light source with a high numerical aperture (NA) objective; a spectrally broad band light source; a combination of a high NA objective and a spectrally broadband source; an interferometric microscope objectives, including oil/water immersion and solid immersion types, in e.g. Michelson, Mirau or Linnik geometries; a sequence of measurements at multiple wavelengths; unpolarized light; and polarized light, including linear, circular, or structured. For example, structured polarized light may involve, for example, a polarization mask, generating different polarizations for different segments of the illumination or imaging pupils, so as to reveal polarization-dependent optical effects attributable to surface
5 characteristics. FIG. 3 shows a scanning interferometer of the Linnik type. Illumination light 102 from a source (not shown) is partially transmitted by a beam splitter 104 to define reference light 106 and partially reflected by beam splitter 104 to define measurement light 108. The measurement light is focused by a measurement objective 110 onto a test sample 112 (e.g., a o sample comprising a thin single- or multi-layer film of one or more dissimilar materials). Similarly, the reference light is focused by a reference objective 114 onto a reference mirror 116. Preferably, the measurement and reference objectives have common optical properties (e.g., matched numerical apertures). Measurement light reflected (or scattered or diffracted) from the test sample 112 propagates back through measurement objective 110, is transmitted 5 by beam splitter 104, and imaged by imaging lens 118 onto a detector 120. Similarly, reference light reflected from reference mirror 116 propagates back through reference objective 114, is reflected by beam splitter 104, and imaged by imaging lens 118 onto a detector 120, where it interferes with the measurement light. For simplicity, FIG. 3 shows the measurement and reference light focusing onto0 particular points on the test sample and reference mirror, respectively, and subsequently interfering on a corresponding point on the detector. Such light corresponds to those portions of the illumination light that propagate perpendicular to the pupil planes for the measurement and reference legs of the interferometer. Other portions of the illumination light ultimately illuminate other points on the test sample and reference mirror, which are then imaged onto5 corresponding points on the detector. In FIG. 3, this is illustrated by the dashed lines 122, which correspond to the chief rays emerging from different points on the test sample that are imaged to corresponding points on the detector. The chief rays intersect in the center of the pupil plane 124 of the measurement leg, which is the back focal plane of measurement objective 110. Light emerging from the test sample at an angle different from that of the0 chief rays intersect at a different location of pupil plane 124. In preferred embodiments, detector 120 is a multiple element (i.e., multi-pixel) camera to independently measure the interference between the measurement and reference light corresponding to different points on the test sample and reference mirror (i.e., to provide spatial resolution for the interference pattern). A scanning stage 126 coupled to test sample 112 scans the position of the test sample relative to measurement objective 110, as denoted by the scan coordinate ζ in FIG. 3. For example, the scanning stage can be based on a piezoelectric transducer (PZT). Detector 120 measures the intensity of the optical interference at one or more pixels of the detector as the relative position of the test sample is being scanned and sends that information to a computer 128 for analysis. Because the scanning occurs in a region where the measurement light is being focused onto the test sample, the scan varies the optical path length of the measurement light from the source to the detector differently depending on the angle of the measurement light incident on, and emerging from, the test sample. As a result, the optical path difference (OPD) from the source to the detector between interfering portions of the measurement and reference light scale differently with the scan coordinate ζ depending on the angle of the measurement light incident on, and emerging from, the test sample. In other embodiments of the invention, the same result can be achieved by scanning the position of reference mirror 116 relative to reference objective 114 (instead of scanning test sample 112 relative to measurement objective 110). This difference in how OPD varies with the scan coordinate ζ introduces a limited coherence length in the interference signal measured at each pixel of the detector. For example, the interference signal (as a function of scan coordinate) is typically modulated by an envelope having a spatial coherence length on the order of λ 2 {N ) , where λ is the nominal wavelength of the illumination light and NA is the numerical aperture of the measurement and reference objectives. To increase the limited spatial coherence, the objectives in the scanning interferometer preferably define a large numerical aperture, e.g., greater than about 0.7 (or more preferably, greater than about 0.8, or greater than about 0.9). The interference signal can also be modulated by a limited temporal coherence length associated with the spectral bandwidth of the illumination source. Depending on the configuration of the interferometer, one or the other of these limited coherence length effects may dominate, or they may both contribute substantially to the overall coherence length. Another example of a scanning interferometer is the Mirau-type interferometer shown in FIG. 4. Referring to FIG. 4, a source module 205 provides illumination light 206 to a beam splitter 208, which directs it to a Mirau interferometric objective assembly 210. Assembly 210 includes an objective lens 211, a reference flat 212 having a reflective coating on a small central portion thereof defining a reference mirror 215, and a beam splitter 213. During operation, objective lens 211 focuses the illumination light towards a test sample 220 through reference flat 212. Beam splitter 213 reflects a first portion of the focusing light to reference mirror 215 to define reference light 222 and transmits a second portion of the focusing light to test sample 220 to define measurement light 224. Then, beam splitter 213 recombines the measurement light reflected (or scattered) from test sample 220 with reference light reflected from reference mirror 215, and objective 211 and imaging lens 230 image the combined light to interfere on detector (e.g., a multi-pixel camera) 240. As in the system of FIG. 3, the measurement signal(s) from the detector is sent to a computer (not shown). The scanning in the embodiment of FIG. 4 involves a piezoelectric transducer (PZT) 260 coupled to Mirau interferometric objective assembly 210, which is configured to scan assembly 210 as a whole relative to test sample 220 along the optical axis of objective 211 to provide the scanning interferometry data l(ζ, h) at each pixel of the camera. Alternatively, the PZT may be coupled to the test sample rather than assembly 210 to provide the relative motion there between, as indicated by PZT actuator 270. In yet further embodiments, the scanning may be provided by moving one or both of reference mirror 215 and beam splitter 213 relative to objective 211 along the optical axis of objective 211. Source module 205 includes a spatially extended source 201, a telescope formed by lenses 202 and 203, and a stop 204 positioned in the front focal plane of lens 202 (which coincides with the back focal plane of lens 203). This arrangement images the spatially extended to source onto the pupil plane 245 of Mirau interferometric objective assembly 210, which is an example of Koehler imaging. The size of stop controls the size of the illumination field on test sample 220. In other embodiments, the source module may include an arrangement in which a spatially extended source is imaged directly onto the test sample, which is known as critical imaging. Either type of source module may be used with the Linnik-type scanning interferometry system of FIG. 1. In much of the analysis herein, it is assumed that the polarization state of the light in the pupil plane is random, i.e., comprised of approximately equal amounts of both s polarizations(orthogonal to the plane of incidence) and/? (orthogonal to the plane of incidence) polarizations. Alternative polarizations are possible, including pure s polarization, such as may be realized by means of a radial polarizer placed in the pupil plane (e.g., in the back-focal plane of the measurement object in the case of a Linnik interferometer and in the back focal plane of the common objective in the Mirau interferometer). Other possible polarizations include radial/? polarization, circular polarization, and modulated (e.g. two states, one following the other) polarization for ellipsometric measurements, i other words, optical properties of the test sample can be resolved not only with respect to their angle- or wavelength-dependence, but also with respect to their polarization dependence or with respect to a selected polarization. Such information may also be used to improve the accuracy of thin film structure characterization. To provide such ellipsometry measurements, the scanning interferometry system may include a fixed or variable polarizer in the pupil plane. Referring again to FIG. 4, the Mirau- type interferometry system, for example, includes polarization optics 280 in the pupil plane to select a desired polarization for the light incident on, and emerging from the test sample. Furthermore, the polarization optics may be reconfigurable to vary the selected polarization.
The polarization optics may include one or more elements including polarizers, waveplates, apodization apertures, and/or modulation elements for selecting a given polarization. Furthermore, the polarization optics may be fixed, structured or reconfigurable, for the purpose of generating data similar to that of an ellipsometer. For example, a first measurement with a radially-polarized pupil for s polarization, followed by a radially- polarized pupil for p polarization. In another example, one may use an apodized pupil plane with linearly polarized light, e.g., a slit or wedge, which can be rotated in the pupil plane so as to direct any desired linear polarization state to the object, or a reconfigurable screen such as a liquid crystal display. We now describe a theoretical model for the scanning interferometry signal. The model is the basis for providing the correction factors in step 298 of Figure 2. A full physical model can be very elaborate, taking into account the partial coherence of the light source, polarization mixing in the interferometer, the imaging properties of high- NA objectives, and the interaction of electric field vectors at high angles of incidence and in the presence of discontinuous surface features. We elect here to simplify the model by assuming a randomly-polarized, low-coherence extended source and a smooth surface that does not scatter or diffract incident light. The total signal is the incoherent sum of the interference contributions of all of the ray bundles passing through the pupil plane of the objective and reflecting from the object surface at an incident angle ψ, as shown in Figure 5. Following the usual two-beam interference analysis, the interference contribution for a single ray bundle through the optical system is proportional to
g(β,&,ζ) = i? + Z +
Figure imgf000017_0001
- ς) + (υ-ω)] (1)
where Z is the effective object intensity reflectivity, including e.g. the transmissivity of the beamsplitter, and R is the effective reference reflectivity, including both the beamsplitter and the reference mirror, and we assume a refractive index of 1 for the ambient medium. The directional cosine β for an incident angle ψ is β = cos(ψ) (2)
and the angular wavenumber k for a source wavelength λ is
Figure imgf000017_0002
The phase term in Eq.(l) has a contribution ω for the object path in the interferometer, including any phase change on reflection from the object surface (including underlying layer(s)), and a contribution υ for the reference path, including the reference mirror and other optics in the objective. In the general case, Z, R, υ, ω all vary with directional cosine β and angular wavenumber k. The total interference signal for a single scan position <^is the integral over all points in the pupil plane and over all wavelengths for the ray bundle contributions g(β,£,ζ) :
j(ς) = JJ g(β,*,ς) tf(β)F(*) βrfβ <ft (4) 0 0
where £/(β) is the intensity distribution in the pupil plane of the objective and V(k) is the optical spectrum distribution. The extra weighting factor β in Eq.(4) follows from a cos (ψ) term attributable to the projection angle and a sin (ψ) term for the diameter of the annulus of width d in the pupil plane: cos(ψ)sin(ψ)<iψ = -β β (5) We assume that the objective obeys the Abbe sine condition as shown in Figure 5. Certain simplifying assumptions often permit direct evaluation of Eq.(4). The most common simplification is to assume a point source in the center of the pupil plane (U=0 for β≠O), equivalent to a very low NA illumination, and a gaussian spectrum. In the more general case of an extended source and a more complicated source spectrum, Eq.(4) implies a numerical integration. In preferred embodiments, the modeling can be further simplified by frequency analysis to produce a more computationally efficient way of simulating the interference intensity signal /(ζ) . For most applications of interest in common height-scanning interferometric microscopes, the most rapidly varying factor in the integrand of Eq.(4) as a function of k and β is the quasi-periodic interference contribution g(β,/c,ζ) . This factor in turn is modulated most rapidly by the product 2β/c in the phase term, which we can redefine physically as the spatial frequency K of the interference contribution g(β,/c,ζ) generated by scanning orthogonally to the sample surface: κ = 2β/c (6)
This spatial frequency i is the angular rate of change of the phase term of g(β,&,ζ) as a function of the scan coordinate ζ. In the integration, various combinations of β and k result in the same spatial frequency K . One path to simplifying Eq.(4), therefore, is to recast the calculation in terms equivalent to these spatial frequencies. As we shall show, the numerical calculation of the intensity signal /(ζ) can then be more efficiently expressed as a fast Fourier Transform of the frequency-domain spectrum (K) of the signal, where K is the frequency coordinate of the transformed data. The first step in the simplifying analysis is the somewhat counter-intuitive step of Fourier Transforming Eq.(4), leading to a triple integral that defines (K) :
q(K = exp(zKζ) β dk . (7)
Figure imgf000019_0001
Figure imgf000019_0002
After expansion of the cosine term in g(β,&,ζ) in the usual way
2 cos (i ζ + ...) = exp ( z'icζ + ...) + exp (-z'i ζ - ...) (8) and using the Dirac delta function
δ (K ± κ) = j"exp[(K ± κ)ιζ] dζ (9)
the inner integral over ζ evaluates to |g(β ζ)exp(zKζ) dζ = δ(K)(i? + Z)
+ δ(K-κ)v^Zexp[ zic/z + z(υ-ω)] (10) + δ(K + κ)VΛZexp[-z Λ- (υ-ω)]
The δ functions underscore that the mathematically general frequencies K of the Fourier decomposition relate to the spatial frequency K defined by Eq.(6). A logical change of variables in Eq.(7) for the second inner integral at constant k is therefore
Figure imgf000020_0001
Figure imgf000020_0002
Eq.(7) after using Eq.(lO) then becomes
∞ 2k q{K)= J j δ(K)(R + Z)Tdκdk 0 0 + zκA + z(υ-ω)]rJκ /c (13)
Figure imgf000020_0003
co 2k + f f b(K + κ) Rlz exp[-itø-i(υ-ω)~]rdκ.dk 0 0 where we have gathered the weighting terms as
T(k,k) = c7[β(κ,/c)] (/)κ/4/c2. (14)
Although for compactness we have not noted the dependencies explicitly in Eq.(13), it is understood that Z, R, υ, ω, T all vary with spatial frequency ic and wavelength k. The presence of dirac functions in the integrands of Eq.(13) eventually leads to the following simplification:
Figure imgf000021_0001
+ H( K) exp( zKΛ) J" {J Z exp[ z (υ - ω) ] r }_ dk . (15) K/2 + H (-K) exp (-zK/z) j RZ exp [-i (υ - ω) ] r } . dk - /2 where H is the unitless Heaviside step function defined by
Figure imgf000021_0002
The calculation of the frequency-domain representation of the interference signal has now been reduced to one double integral for the DC term (K = 0) , and to single integrals over k for all other spatial frequencies (K ≠ 0) . This is a substantial simplification in terms of the number of numerical evaluations. The incoherent superposition model accommodates polarization by summing the resulting Fourier components q (K) for s and/? polarization contributions. Writing this explicitely for fully random polarization, q (K) = qs (K) + qp {K) , (l7)
where the s and/? subscripts in Eq.(17) refer to Eq.(15) with all of the relevant parameters calculated for the corresponding polarization state, including the sample reflectivity, the beamsplitter, and so on. The final calculation of the interference signal is now an inverse Fourier Transform / (ζ) = jq (K) exp (-zKζ) dK (18)
Although this is another integral, it can be evaluated by a numerical FFT and is therefore of low computational burden. One benefit of Eq.(15) is computational efficiency. To illustrate this, the integrals are replaced with sums as follows:
*o fc Σ>K 2(R + Z)r (19)
q (K > 0) = exp (iKΛ) T JRZ exp [z (υ - ω)] r (20) /c>K/2
If the N discrete samples for /(ζ) are spaced by an increment ζ , there will be
N/2 + 1 positive spatial frequencies starting from zero and rising to N/2 cycles per data trace, spaced by an increment
2π ^s,ep = —r - (2 1 ) J V L=sfe/>
Unless the spectral bandwidth and or the range of incident angles is exceptionally large, only a fraction of the total frequency range is needed to fully characterize the signal. There are therefore only a few relevant K values for which q (K) is nonzero. For example, if we acquire data at a nominal rate of eight camera frames per interference fringe, this is a spatial frequency of N/8 cycles per data trace in a numerical FFT. Assuming quite safely that the source bandwidth is no greater than the nominal mean wavelength itself, there would be < N/8 values to calculate using Eq.(20). In the example following Eq.(5), if there are N=256 individual scan positions, the number of relevant K values will be 32, and if we employ 64 angular wavenumbers k in the numerical integration, there are 2048 calculations each for Eqs.(19) and (20), or of order 200X fewer complex calculations then a direct numerical evaluation of Eq.(4). Even after factoring in the cost of the inverse Fourier Transform, this substantial relief in computation makes it more practical to perform full-field simulations of signals in low coherence interferometry. It is worthwhile considering the limit cases of collimated white light (temporal coherence limit) and high-ΝA monochromatic illumination (spatial coherence limit). Along with verifying Eq.(13), these limit cases provide insight into the frequency-domain portrait of the interference signal. For both of these limit cases, as a first simplifying step, let us assume that the phase contribution (υ - ω) = 0 for all K,/c and that the reflectivities R, Z are independent of incident angle and wavelength, so that the integrals in Eq. (13) simplify to
q ( ) = δ(K)(i? + Z) J j T(κ,k) dk JK 0 κ/2 + H( K) exp( ΪKh) RZ \ τ(K,k) dk (22) K/2
Figure imgf000023_0001
Now we have only to handle integrals involving the weighting factor T( ,k) defined in Eq.(14). One limit case is for collimated white light. The illumination angle for this case is ψ = 0 and consequently the pupil plane function is t/(β) = δ(β-l).(23)
Rewriting in terms of k,
L7(K,/) = δ(K/2/c-l).(24)
Using the mathematical identity
Figure imgf000024_0001
rø where ξ is the root of f(k) , we have
K2 (/c) r(κ,*) δ(/ -K/2).(26) 8 k2 The integrals simplify via the delta function to
Figure imgf000024_0002
Looking at the positive, nonzero portion of the spectrum, we see that the magnitude of the Fourier coefficients are directly proportional to the source spectral distribution V:
Figure imgf000024_0003
where at normal incidence the frequency K is twice the angular wavenumber k : k = K/2. Eq.(28) is the familiar result that there is a Fourier transform relationship between the interference signal and the emission spectrum of the white light source. The opposing limit is an extended monochromatic light source . This may be represented by a delta-function spectrum for a nominal angular wavenumber kQ :
V(k) = δ(k -k0) . (29)
Eq.(22) readily simplifies to
q(K = δ(K)(R + Z) j κ.U(κ/2k0)dκ 0 + H( K)H( K -K/2) (30)
Figure imgf000025_0001
+ HI 4K
Looking once again at the positive, nonzero portion of the spectrum, we see that the magnitude of the Fourier coefficients are now proportional to the function [/weighted by the spatial frequency K:
| ^(K > 0) | oc β L7(β) (31)
where the spatial frequency K is proportional to the directional cosine β: β = K/2/ 0 . This reveals a Fourier transform relationship between the interference signal and the cosine of the illumination angle. Most successful interference microscope profilometry applications today are for single material surfaces. For these cases, using the Fresnel equations, one can calculate an amplitude reflectivity z that for the simplest case of an ideal beamsplitter fully defines the reflectivity Z and phase shift ω for the measurement path:
Figure imgf000026_0001
ω(β,λ) = arg[z(β,/c)] (33)
Here again, the incoherent superposition model accommodates the dependency of the reflection coefficient z on polarization by summing the resulting Fourier components for s and ? polarization contributions (Eq.(17)). A more challenging situation for an interference microscope is an object comprised of partially-transparent thin film layers. Such samples are being delivered with increasing frequency to the optical metrology lab as thin film nanostructures such as MEMS devices, flat panel display pixels, and patterned semiconductors extend their dominance in high technology applications. A straightforward example is a single-layer film deposited on a substrate. The amplitude reflectivity z becomes
Figure imgf000026_0002
where L is the thickness of the film, n' is the index of the film, 0 is the reflectivity of the air-film interface, θ' is the reflectivity of the film-substrate interface, and
Figure imgf000026_0003
is the directional cosine of propagation within the film. The model can be similarly extended to structures with multiple films. The interference signal generation for a thin film is quite interesting and has some surprises, especially with high-NA objectives. Figure 6(a) and 6(b) compares computer simulations of the model of an interference microscope for a L = 2-μm layer of a hypothetical dielectric film of index n' = 2 on a substrate of index 4. Figure 6(a) shows that with the white light illumination, there are two distinct signals corresponding to the two interfaces. The film appears to be twice the physical thickness L , the optical thickness being close to Ln' . The signals are well separated and one can analyze each of them separately to determine the profile of each interface. In prior-art systems, the technique for finding the physical thickness is to divide by the index of refraction n' . The model shows, however, that the correction is more accurately the group velocity index nG' of the film material, which takes into account the dispersion in the material. Note that the group velocity index is defined as the derivative of the wavenumber with respect to frequency. The distinction can be very important. For example, if the film is common silicon dioxide, using the group velocity index as proposed herein improves the measurement accuracy with respect to the prior art by 4%. Figure 6(b) shows that for monochromatic light and a high-NA objective, there are again two signals, but this time they are much closer together than in Figure 6(a), the optical thickness being close to L/n' . Here the apparent thickness is actually inferior to the physical thickness by about a factor of two. Use of the prior-art Ln' formula in this case would lead to an even more serious error in determining the correct physical thickness. We have also verified the model experimentally. We viewed a solid-surface, SiC flat using a 100X, 0.78 NA Mirau objective in a microscope with a white-light LED having a 62- nm emission bandwidth. We assumed (and attempted experimentally) a uniform illumination of the pupil, thus U(β) = 1 within the NA of the objective and outside the central Mirau obscuration, and is zero elsewhere. The interference objective was treated as having a perfect 50/50 beamsplitter with a fixed value for the reference path phase shift υ, and we allowed the signal strength and an average value of the phase offset ω to be adjustable parameters in comparing experiment to theory. Figure 7(a) shows excellent agreement with experimental data, indicating that the simple incoherent superposition model is sufficient for simulating the main features of interference signals in practical applications. For a thin film example, we elected the same 100X, 0.78 NA Mirau objective as for Figure 7(a), but exchanged the light source for a narrow 27-nm bandwidth LED centered at 498 nm. The sample is thin film standard of 1025 nm of SiO2 on Si. Once again we observe in Figure 7(b) a satisfying agreement between experiment and theory. The results are so close, that the difference is difficult to quantify by inspection of the signal itself. A comparison in the frequency domain, shown in Figures 8(a) and 8(b), indicate characteristic features of thin films, including nonlinearities in Fourier magnitude and phase that can be associated with material index and film thickness. Referring again to Figure 2, the model is used to provide the correspondence between the scanning distance between the regions of the fringe contrast in the interferometry signal and the actual distance between the interfaces in the sample that give rise to the different regions of fringe contrast. As shown above, the model takes into account the geometrical and spectral properties of the interferometer. For example, the model can be used to determine the separation between regions of fringe contrast for each of a series of different thicknesses for a thin film sample, for each of different illumination settings for a particular interferometer. The results of the model can then be used to provide a correspondence between the actual thickness of a thin film sample based on the separation of the between the regions of fringe contrast from an experimental interferometry signal as a function of the illuminations settings (e.g., NA and illumination bandwidth) used to collect the signal. The computer used to analyze the experimental signal may also be used to perform the numerical calculations for the model. Alternatively, the results from the modelling can be done in advance, with the resulting correspondence being stored in the computer used to analyze the experimental data in the form of scaling factors, look-up tables, and/or functions. In some embodiments, the correspondence between the distance between the regions of the fringe contrast in the interferometry signal and the actual distance between the interfaces in the sample can be a simple scaling factor that is parametrized by the NA and the frequency bandwidth of the interferometer. For example, as described above, for a high-NA objective with a very narrow illumination frequency spectrum, one would correct for refraction distortions by multiplying by the index of refraction. We can understand this by likening the geometric limits on coherence to a focus effect. Using the paraxial lens formula, one finds that the position of best focus is shifted when entering from a vacuum into a material of index n' . The best focus position is coincident with the position of equal optical path length for the multiply-angled rays reflecting from the surface, and is consequently the position of highest fringe contrast. On the other hand, as described above, in the limit of high-bandwidth and low-NA, the scaling factor is the inverse of the group velocity index. In other words, the distance between the regions of fringe contrast in the interferometry signal is divided by the group velocity index to determine the actual distance between the sample interfaces. As described above, the scaling factors are stored in a look-up table (e.g., a storage database in an electronic processor). For example, the expected results for a 60-nm bandwidth, 500-nm center wavelength with a 0.35-NA objective generates an apparent thickness of approximately 7n'L/8 , as shown in Figure 9. A close match of specific experimental conditions to these parameters implies that the true physical thickness L is
S/ln' times the apparent thickness. In further embodiments, the correspondence may differ from such linear scaling, and may involve a non-linear relationship between the scanning distance between the regions of the fringe contrast in the interferometry signal and the actual distance between the sample interfaces for each of different illumination conditions. In some cases, the specific interferometer used to collect the data in step 290 may have a fixed illumination frequency spectrum, but may implement various objectives with different numerical apertures depending on the specific application. In such cases, the correction factors provided in step 298, while based on the illumination frequency spectrum, may only be parametrized according the different numerical apertures of the objectives because only one illumination frequency spectrum is used. So, in some embodiments, for example, the input parameter for the illumination frequency spectrum is fixed, and need not be specified. Conversely, in other cases, the specific interferometer used to collect the data in step 290 may have a fixed NA and a variable illumination frequency spectrum (e.g., interchangeable LED sources), in which case, the correspondence between the distance between the regions of the fringe contrast in the interferometry signal and the actual distance between the sample interfaces, while based on the illumination geometry, may only be parametrized according the illumination frequency spectrum. In another aspect of the invention, the illumination settings can be selected to suppress the regions of fringe contrast for underlying layer(s) to allow more accurate analysis of the fringe contrast region for the top surface using, for example, conventional analysis techniques. As a result, an accurate surface profile of the top surface can be determined, even for complex samples having one or more underlying layers. Typically, this is possible, at least for visible wavelengths, with NAs greater than 0.5, and preferable greater than 0.7. This is illustrated in the simulation shown in Figure 10 for an L = 2-μm thick film of index n' = 2 deposited on a substrate of index 4, viewed with a 500-nm center wavelength, 0.8 NA and 200-nm bandwidth. The combination of broad spectral bandwidth and high NA suppresses the underlying reflection. As a result, one can profile the top surface only, free of unwanted interference effects. More generally, the illumination settings necessary to suppress the contrast fringes from underlying layer can be determined using the theoretical model described above. The surface profile can be determined based on the relative change in the position of the fringe contrast region in the interferometry signal for different sample locations, using the conventional techniques described in the background. The technique described in commonly owned U.S. Patent Application Serial No. 10/941,651 entitled "SURFACE PROFILING USING AN INTERFERENCE PATTERN MATCHING TEMPLATE" by Peter J. de Groot and filed September 15, 2004, which was incorporated by reference and described above, can also be used. Typically, large interference objectives having such large NAs have a correspondingly large magnification (e.g., larger than about 40X), which in turn reduces the field of view. In many applications, however, the suppression phenomenon is desired, by not at the expense of a large field of view. For example, in some applications, a sample may have multiple thin film regions separated laterally by regions having no underlying layers. In such cases it can be desirable to image an area large enough to cover multiple thin film regions or other landmarks. To achieve this result, interference objectives should be selected that have large NA (e.g., greater than 0.5, and preferably greater than 0.7), but not so large magnification (e.g., less than 10X). While such objectives are not common for interferometers, they are common in other fields such as telescope eye-pieces, and could be easily adapted for use in an interference microscope. In preferred embodiments, the model can further include system errors for the interference microscope. As an example, a nonlinear chromatic dispersion resulting from an imbalance in refractive materials between the measurement and reference paths can be modeled as
Figure imgf000031_0001
where p is the second-order phase dependence of υ . This aberration leads to a broadening of the fringe contrast envelope. A similar envelope broadening for interference patterns that are limited by spatial coherence can be attributed to a nonlinear dependence of the system phase υ on the directional cosine β , which can result from optical aberrations. Another example of a system imperfection is the signal integration time of the camera, which has the effect of averaging the signal over a range of scan positions. This often-called "integrating bucket" may be modeled as the convolution of a rectangular
"boxcar" window with the signal. In the frequency domain, the convolution becomes the product of the Fourier coefficients q with a sync function:
^step /1
where C,step is the scan increment between data frames, as previously defined for Eq.(21).
The effect of this time integration is to dampen the contribution from the higher spatial frequencies, as well as to reduce overall fringe contrast. Furthermore, many system imperfections are field dependent. A relevant example is linear dispersion in the system phase υ , which changes the phase of the underlying carrier in the interference signal with respect to the fringe contrast envelope. The field dependence of the system phase behavior complicates the determination of fringe order. Modeling this phenomenon over the full image field is an example of where computational efficiency of Eq.(19) and (20) is a substantial benefit. The data processing procedures described above can be applied to a large range of low coherence interferometry systems. For example, the light source in the interferometer may be any of: an incandescent source, such as a halogen bulb or metal halide lamp, with or without spectral bandpass filters; a broadband laser diode; a light-emitting diode; a combination of several light sources of the same or different types; an arc lamp; any source in the visible spectral region; any source in the IR spectral region, particularly for viewing rough surfaces & applying phase profiling; any source in the UV spectral region, particularly for enhanced lateral resolution; and any source or combination of sources having a net spectral bandwidth broader than 0.1% of the mean wavelength. Furthermore, the scanning system may be: driven by any of a piezo-electric device, a stepper motor, and a voice coil; implemented opto-mechanically or opto-electronically rather than by pure translation (e.g., by using any of liquid crystals, electro-optic effects, strained fibers, and rotating waveplates); any of a driver with a flexure mount and any driver with a mechanical stage, e.g. roller bearings or air bearings. Also, the interferometer optics may form any of: an interferometric microscope employing, e.g., a Mirau or Michelson objective lens; a Linnik, a Twyman Green system; a Fizeau interferometer employing a filtered or structured source spectrum so as to provide coherence peaks far from zero OPD; a fiber interferometer; and a Mach Zehnder, particularly for profiling transparent media. Finally, the data analysis may involve any of: frequency domain analysis (FDA); peak-fringe analysis; dynamic filtering to extract the fringe visibility in real time; a least-squares technique to extract fringe visibility and phase at the same time; and fringe visibility analysis followed by phase analysis, potentially including a separate measurement for phase with a modified source spectrum. The analysis steps described above can be implemented in hardware or software, or a combination of both. The methods can be implemented in computer programs using standard programming techniques following the method and figures described herein. Program code is applied to input data to perform the functions described herein and generate output information. The output information is applied to one or more output devices such as a display monitor. Each program may be implemented in a high level procedural or object oriented programming language to communicate with a computer system. However, the programs can be implemented in assembly or machine language, if desired. In any case, the language can be a compiled or interpreted language. Moreover, the program can run on dedicated integrated circuits preprogrammed for that purpose. Each such computer program is preferably stored on a storage medium or device (e.g., ROM or magnetic diskette) readable by a general or special purpose programmable computer, for configuring and operating the computer when the storage media or device is read by the computer to perform the procedures described herein. The computer program can also reside in cache or main memory during program execution. The analysis method can also be implemented as a computer-readable storage medium, configured with a computer program, where the storage medium so configured causes a computer to operate in a specific and predefined manner to perform the functions described herein. The methods and systems described above can be particularly useful in any application in which one is interested in the thickness and/or surface profiles of complex samples, e.g., thin film and multilayer samples. Some exemplary applications are described below. Semiconductor Applications It is presently of considerable interest in the semiconductor industry to make quantitative measurements of surface topography. Due to the small size of typical chip features, the instruments used to make these measurements typically must have high spatial resolution both parallel and perpendicular to the chip surface. Engineers and scientists use surface topography measuring systems for process control and to detect defects that occur in the course of manufacturing, especially as a result of processes such as etching, polishing, cleaning and patterning. For process control and defect detection to be particularly useful, a surface topography measuring system should have lateral resolution comparable to the lateral size of typical surface features, and vertical resolution comparable to the minimum allowed surface step height. Typically, this requires a lateral resolution of less than a micron, and a vertical resolution of less than 1 nanometer. It is also preferable for such a system to make its measurements without contacting the surface of the chip, or otherwise exerting a potentially damaging force upon it, so as to avoid modifying the surface or introducing defects. Further, as it is well-known that the effects of many processes used in chip making depend strongly on local factors such as pattern density and edge proximity, it is also important for a surface topography measuring system to have high measuring throughput, and the ability to sample densely over large areas in regions which may contain one or many surface features of interest. Chemical Mechanical Polishing Applications It is becoming common among chip makers to use the so-called 'dual damascene copper' process to fabricate electrical interconnects between different parts of a chip. This is an example of a process which may be effectively characterized using a suitable surface topography system. The dual damascene process may be considered to have six parts: (1) an interlayer dielectric (ILD) deposition, in which a layer of dielectric material (such as a polymer, or glass) is deposited onto the surface of a wafer (containing a plurality of individual chips); (2) chemical mechanical polishing (CMP), in which the dielectric layer is polished so as to create a smooth surface, suitable for precision optical lithography, (3) a combination of lithographic patterning and reactive ion etching steps, in which a complex network is created comprising narrow trenches running parallel to the wafer surface and small vias nvnning from the bottom of the trenches to a lower (previously defined) electrically conducting layer, (4) a combination of metal deposition steps which result in the deposition of copper trenches and vias, (5) a dielectric deposition step in which a dielectric is applied over the copper trenches and vias, and (6) a final CMP step in which the excess copper is removed, leaving a network of copper filled trenches (and possibly vias) surrounded by dielectric material. Referring to Fig. 1 la, a device 500 is exemplary of the a film structure resulting from the deposition of a dielectric 504 over copper features 502 deposited on a substrate 501. The dielectric 504 has a non-uniform outer surface 506 exhibiting height variations therealong. Interference signals obtained from device 500 can include interference patterns resulting from surface 506, an interface 508 between copper features 502 and dielectric 504, and an interface 510 between substrate 501 and dielectric 504. The device 500 may include a plurality of other features that also generate interference patterns. Referring to Fig. 1 lb, a device 500' illustrates the state of device 500 after the final CMP step. The upper surface 506 has been planarized to a surface 506', and interface 508 may now be exposed to the surroundings. Interface 510 at the substrate surface remains intact. Device performance and uniformity depends critically on monitoring the planarization of surface 504. It is important to appreciate that the polishing rate, and therefore the remaining copper (and dielectric) thickness after polishing, depends strongly and in a complex manner on the polishing conditions (such as the pad pressure and polishing slurry composition), as well as on the local detailed arrangement (i.e., orientation, proximity and shape) of copper and surrounding dielectric regions. Hence, portions of surface 506 over copper elements 502 may etch at different rates than other portions of surface 506. Additionally, once interface 508 of copper elements 502 is exposed, the dielectric and copper elements may exhibit different etch rates. This 'position dependent polishing rate' is known to give rise to variable surface topography on many lateral length scales. For example, it may mean that chips located closer to the edge of a wafer on aggregate are polished more rapidly than those located close to the center, creating copper regions which are thinner than desired near the edges, and thicker than desired at the center. This is an example of a 'wafer scale' process nonumformity - i.e., one occurring on length scale comparable to the wafer diameter. It is also known that regions which have a high density of copper trenches polish at a higher rate than nearby regions with low copper line densities. This leads to a phenomenon known as 'CMP induced erosion' in the high copper density regions. This is an example of a 'chip scale' process non- uniformity - i.e., one occurring on a length scale comparable to (and sometimes much less than) the linear dimensions of a single chip. Another type of chip scale nonumformity, known as 'dishing', occurs within single copper filled trench regions (which tend to polish at a higher rate than the surrounding dielectric material). For trenches greater than a few microns in width dishing may become severe with the result that affected lines later exhibit excessive electrical resistance, leading to a chip failure. CMP induced wafer and chip scale process nonuniformities are inherently difficult to predict, and they are subject to change over time as conditions within the CMP processing system evolve. To effectively monitor, and suitably adjust the process conditions for the purpose of ensuring that any nonuniformities remain within acceptable limits, it is important for process engineers to make frequent non-contact surface topography measurements on chips at a large number and wide variety of locations. This is possible using embodiments of the interferometry methods and systems described above. In some embodiments one or more spatial properties, e.g., the topography of surface
506 and/or the thickness of dielectric 504, are monitored by obtaining low coherence interference signals from the structure before and/or during CMP. Based on the spatial properties, the polishing conditions can be changed to achieve the desired planar surface 506'. For example, the pad pressure, pad pressure distribution, polishing agent characteristics, solvent composition and flow, and other conditions can be determined based on the spatial properties. After some period of polishing, the spatial property can again be determined and the polishing conditions changed as needed. The topography and/or thickness is also indicative of the end-point at which, e.g., surface 504' is achieved. Thus, the low coherence interference signals can be used to avoid depressions caused by over polishing different regions of the object. The low coherence interference methods and systems are advantageous in this respect because spatial properties of the device, e.g., the relative heights of the surface of the dielectric (a) over copper elements 502 and (b) over substrate surface 510 but adjacent copper elements 502 can be determined even in the presence of the multiple interfaces. Solder Bump Processing Referring to Figs. 12a and 12b, a structure 550 is exemplary of a structure produced during solder bump processing. Structure 550 includes a substrate 551, regions 502 non- wettable by solder, and a region 503 wettable by solder. Regions 502 have an outer surface 507. Region 503 has an outer surface 509. Accordingly, an interface 505 is formed between regions 502 and substrate 501. During processing a mass of solder 504 is positioned in contact with wettable region
503. Upon flowing the solder, the solder forms a secure contact with the wettable region 503. Adjacent non- wettable regions 502 act like a dam preventing the flowed solder from undesirable migration about the structure. It is desirable to know spatial properties of the structure including the relative heights of surfaces 507, 509 and the dimensions of solder 504 relative to surface 502. As can be determined from other discussions herein, structure 550 includes a plurality of interfaces that may each result in an interference pattern. Overlap between the interference patterns prevents accurate determinate of the spatial properties using known interference techniques. Application of the systems and methods discussed herein allow the spatial properties to be determined. Spatial properties determined from structure 550 can be used to change manufacturing conditions, such as deposition times for layers 502,503 and the amount of solder 504 used per area of region 503. Additionally, heating conditions used to flow the solder can also be changed based on the spatial properties to achieve adequate flow and or prevent migration of the solder. Liquid Crystal Displays Referring to Fig. 13, a passive matrix LCD 450 is composed of several layers. The main parts are two glass plates 452,453 connected by seals 454. A polarizer 456 is applied to the front glass plate 453 in order to polarize incoming light in a single direction. The polarized light passes through the front glass plate 453. An Indium Tin Oxide (ITO) layer 458 is used as an electrode. A passivation layer 460, sometimes called hard coat layer, based on SiOx is coated over the ITO 458 to electrically insulate the surface. Polyimide 462 is printed over the passivation layer 460 to align the liquid crystal fluid 464. The liquid crystal fluid is sensitive to electric fields and changes orientation when an electric field is applied. The liquid crystal is also optically active and rotates the polarization direction of the incoming light. The cell gap Δg, i.e., thickness of the liquid crystal layer 464, is determined by spacers 466, which keep the two glass plates 452,453 at a fixed distance. When there is no electric potential from the front plate 453 to the rear plate 452, the polarized light is rotated 90° as it passes through the liquid crystal layer 464. When an electric potential is applied from one plate to the other plate the light is not rotated. After the light has passed through the liquid crystal layer 464, it passes through another polyimide layer 468, another hard coat layer 470, a rear ITO electrode 472, and the rear glass plate 452. Upon reaching a rear polarizer 474, the light either transmitted through or absorbed, depending on whether or not it has been rotated 90°. The cell 450 may include filters 476 or other colorizing elements to provide a color display. The cell gap Δg determines to a great extent the optoelectrical properties of the LCD, e.g., the contrast ratio and brightness. Cell gap control during manufacturing is critical to obtaining uniform, quality displays. The actual cell gap may differ from the dimensions of spacers 466 because, during assembly, pressure or vacuum is applied to introduce the liquid crystal medium, seals 454 cure and may change dimensions, and the added liquid crystal medium generates capillary forces between plates 452,453. Both before and after adding the liquid crystal medium 464, surfaces 480,482 of plates 452,453 reflect light that results in an interference pattern indicative of the cell gap Δg. The low coherence nature of the interference signal either itself or in combination with the described interference signal processing techniques can be used to monitor properties of the cell including the cell gap Δg during manufacture even in the presence of interfaces formed by other layers of the cell. An exemplary method can include obtaining a low coherence interference signal including interference patterns indicative of the cell gap Δg prior to adding layer 464. The cell gap (or other spatial property of the cell) is determined from the interference patterns and can be compared to a specified value. Manufacturing conditions, e.g., a pressure or vacuum applied to plates 452,453 can be changed to modify the cell gap Δg if a difference between the specified value and the determined cell gap exceeds tolerances. This process can be repeated until achieving the desired cell gap. Liquid crystal medium is then introduced into the cell. The amount of liquid crystal medium to be added can be determined from the measured spatial property of the cell. This can avoid over- or underfilling the cell. The filling process can also be monitored by observing interference signals from the surfaces 480,482. Once the cell has been filed, additional low coherence interference patterns are obtained to monitor the cell gap Δg (or other spatial property). Again, the manufacturing conditions can be changed so that the cell gap is maintained or brought within tolerances. Laser Scribing and Cutting Lasers can be used to scribe objects in preparation for separating different, concurrently manufactured structures, e.g., microelectronics structures. The quality of separation is related to the scribing conditions, e.g., laser focus size, laser power, translation rate of the object, and scribe depth. Because the density of features of the structure may be large, the scribe lines may be adjacent thin film or layers of the structures. Interfaces associated with the thin film or layers may create interference patterns that appear when interferometry is used to determine the scribe depth. The methods and systems described herein can be used to determine the scribe depth even in the presence of such adjacent films or layers. An exemplary method can include scribing one or more electronic structures and separating the structures along the scribe lines. Before and/or after separation, low coherence interference signals can be used to determine the depth of scribe. Other scribing conditions are known, e.g., laser spot size, laser power, translation rate. The scribe depth can be determined from the interference signals. The quality of separation as a function of the scribing conditions, including the scribe depth, can be determined by evaluating the separated structures. Based on such determinations, the scribing conditions necessary to achieve a desired separation quality can be determined. During continued manufacturing, low coherence interference signals can be obtained from scribed regions to monitor the process. Scribing conditions can be changed to maintain or bring the scribe properties within tolerances. A number of embodiments of the invention have been described. Nevertheless, it will be understood that various modifications may be made without departing from the spirit and scope of the invention.

Claims

WHAT IS CLAIMED IS:
1. A method comprising: providing a low coherence scanning interferometry data for at least one spatial location of a sample having multiple interfaces, wherein the data is collected using a low coherence scanning interferometer having an illumination geometry and an illumination frequency spectrum, and wherein the data comprises a low coherence scanning interferometry signal having multiple regions of fringe contrast corresponding to the multiple interfaces; and determining a distance between at least one pair of the interfaces based on a distance between the corresponding regions of fringe contrast and information about the illumination geometry.
2. The method of claim 1, wherein the information about the illumination geometry comprises information about the illumination geometry and the illumination frequency spectrum.
3. The method of claim 2, wherein determining the distance between at least one pair of interfaces comprises providing information about a correspondence between the distance between the pair of interfaces and the distance between the corresponding regions of fringe contrast in the interferometry signal for different settings of the illumination geometry and the illumination frequency spectrum.
4. The method of claim 3, wherein the correspondence is represented as a function or a look-up table that uses the information about the illumination geometry and the illumination frequency spectrum as input parameters.
5. The method of claim 3, wherein the correspondence is based on a theoretical model for the interferometer that uses the information about the illumination geometry and the illumination frequency spectrum as input parameters.
6. The method of claim 5, wherein the theoretical model is based on the following expression for the interferometry signal /(ζ) as a function of scan coordinate ζ for each spatial location in the data: j(ζ) = J J g(β,*,ζ) u(p)v(k) β^β dk 0 0 where U is an illumination distribution in a pupil plane of an objective used to illuminate the sample as a function of directional cosine β , V is the illumination frequency spectrum as a function of spectral wavenumber k , and g (β, k, ζ) = R + Z + 2-sfRZ cos [2β k (h - ζ) + (υ - ω)] for a reference path reflectivity R , a sample path reflectivity Z , and a local sample height h , and where phase offsets υ, ω are system and phase change on reflection values for the reference and sample paths, respectively.
7. The method of claims 1, wherein the sample is a thin film sample, and the pair of interfaces is a top and bottom surface of the film.
8. The method of claim 2, wherein the distance between the pair of interfaces is determined by determining an estimate for the distance between the pair of interfaces corresponding to the distance between the two regions of fringe contrast, and correcting the estimate based on the information about the illumination geometry and the frequency spectrum.
9. The method of claim 8, wherein the correction of the initial estimate comprises decreasing the estimate by a scale factor that increases with a group velocity index of the film.
10. The method of claim 8, wherein the correction of the initial estimate comprises increasing the estimate by a scale factor that increases with a refractive index of the film.
11. The method of claim 10, wherein the sample has the film at some spatial locations and not others.
12. The method of claim 1, wherein the sample comprises a spacer element in a liquid crystal cell.
13. The method of claim 1 , wherein sample comprises a solder bump. <
14. An apparatus comprising: a low coherence scanning interferometer configured to collect data for at least one spatial location of a sample having at least one film, the coherence scanning interferometer having an illumination geometry and an illumination frequency spectrum, and the data comprising a low coherence scanning interferometry signal having multiple regions of fringe contrast corresponding to the multiple interfaces; and an electronic processor configured to analyze the data and determine a distance between at least a pair of the interfaces based on a distance between the corresponding regions of fringe contrast and information about the illumination geometry.
15. The apparatus of claim 14, wherein the low coherence interferometer is configured for use with an adjustable numerical aperture for the illumination geometry, and wherein the information about the illumination geometry comprises information about which numerical aperture was used to collect the interferometry signal.
16. The apparatus of claim 15, further comprising a plurality of interference objective having different numerical apertures (NAs) each configured for use in the low coherence scanning interferometer to provide the adjustable numerical aperture for the illumination geometry.
17. The apparatus of claim 15, wherein the information about the illumination geometry comprises information about the illumination geometry and the illumination frequency spectrum.
18. The apparatus of claim 14, wherein the information about the illumination geometry comprises information about the illumination geometry and the illumination frequency spectrum.
19. The apparatus of claim 18, wherein the low coherence interferometer is configured for use with an adjustable illumination frequency spectrum, and wherein the information about the illumination geometry comprises information about which illumination frequency spectrum was used to collect the interferometry signal.
20. The apparatus of claim 19, further comprising a plurality of light sources having different emission spectrums each configured for use in the low coherence scanning interferometer to provide the adjustable illumination frequency spectrum.
21. A method comprising: using a low coherence scanning interferometer having an illumination geometry and an illumination frequency spectrum to collect a low coherence scanning interferometry signal for each of multiple spatial locations of a sample having at least one thin film with a top surface and a bottom surface; selecting the illumination geometry and the illumination frequency spectrum to suppress a region of fringe contrast in the signals corresponding to the bottom surface relative to a region of fringe contrast in the signals corresponding to the top surface; and determining a surface height profile for the top surface of the film based on the signals.
22. The method of claim 21 , wherein the selected illumination geometry comprises an objective to illuminate the sample with a numerical aperture greater than 0.5.
23. The method of claim 22, wherein the numerical aperture is greater than 0.7.
24. The method of claim 23, wherein the numerical aperture is greater than 0.8.
25. The method of claim of claims 22, wherein the obj ective has a magnification less than 10X.
26. The method of claim 21, wherein the sample comprises a spacer element in a liquid crystal cell.
27. The method of claim 21 , wherein the sample comprises a solder bump.
28. An apparatus comprising a low coherence scanning interferometer having an objective to illuminate the sample with a numerical aperture greater than 0.5 and a magnification less than 10X.
29. The apparatus of claim 28, wherein the numerical aperture is greater than 0.7.
30. The apparatus of claim 28, wherein the numerical aperture is greater than 0.8.
PCT/US2004/035704 2003-10-27 2004-10-27 Scanning interferometry for thin film thickness and surface measurements WO2005045361A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US51514003P 2003-10-27 2003-10-27
US60/515,140 2003-10-27

Publications (2)

Publication Number Publication Date
WO2005045361A2 true WO2005045361A2 (en) 2005-05-19
WO2005045361A3 WO2005045361A3 (en) 2005-08-18

Family

ID=34572809

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/035704 WO2005045361A2 (en) 2003-10-27 2004-10-27 Scanning interferometry for thin film thickness and surface measurements

Country Status (3)

Country Link
US (2) US7324210B2 (en)
TW (1) TWI335417B (en)
WO (1) WO2005045361A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108351503A (en) * 2015-11-06 2018-07-31 浜松光子学株式会社 Image capturing device, image acquisition method and spatial light modulation unit

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7139081B2 (en) * 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7869057B2 (en) * 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
US7324214B2 (en) * 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US7271918B2 (en) * 2003-03-06 2007-09-18 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7106454B2 (en) * 2003-03-06 2006-09-12 Zygo Corporation Profiling complex surface structures using scanning interferometry
TWI334921B (en) * 2003-09-15 2010-12-21 Zygo Corp Surface profiling using an interference pattern matching template
US7054071B2 (en) * 2004-07-08 2006-05-30 Spectel Research Corporation Mireau interference objective lens
US20060012582A1 (en) * 2004-07-15 2006-01-19 De Lega Xavier C Transparent film measurements
JP4520846B2 (en) * 2004-12-28 2010-08-11 日本分光株式会社 Near-field film thickness measurement system
US7884947B2 (en) * 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
US7428057B2 (en) 2005-01-20 2008-09-23 Zygo Corporation Interferometer for determining characteristics of an object surface, including processing and calibration
ES2749378T3 (en) * 2005-05-19 2020-03-20 Zygo Corp Low coherence interferometry signal analysis for thin film structures
US7411667B2 (en) * 2005-06-03 2008-08-12 Asml Netherlands B.V. Method for correcting disturbances in a level sensor light path
US7595891B2 (en) * 2005-07-09 2009-09-29 Kla-Tencor Corporation Measurement of the top surface of an object with/without transparent thin films in white light interferometry
FR2889584B1 (en) * 2005-08-08 2008-07-11 Centre Nat Rech Scient TOMOGRAPHIC IMAGING BY IMMERSION INTERFEROMETRIC MICROSCOPE
US20070042510A1 (en) * 2005-08-19 2007-02-22 Wafermasters, Incorporated In situ process monitoring and control
DE102005040749B3 (en) * 2005-08-26 2007-01-25 Heraeus Quarzglas Gmbh & Co. Kg Method for the interferometric measurement of an optical property of a test region of a blank made from a transparent material comprises completely covering the test region with a film made from an immersion fluid
JP2009509149A (en) 2005-09-22 2009-03-05 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング Layer thickness determination by interferometer
KR100677998B1 (en) * 2005-09-30 2007-02-02 동부일렉트로닉스 주식회사 Method for manufacturing shallow trench isolation layer of the semiconductor device
WO2007044786A2 (en) * 2005-10-11 2007-04-19 Zygo Corporation Interferometry method and system including spectral decomposition
US7408649B2 (en) * 2005-10-26 2008-08-05 Kla-Tencor Technologies Corporation Method and apparatus for optically analyzing a surface
US7542148B2 (en) * 2005-12-06 2009-06-02 Tokyo Electron Limited Method for measuring physical quantity of measurement object in substrate processing apparatus and storage medium storing program for implementing the method
US7791724B2 (en) * 2006-06-13 2010-09-07 Asml Netherlands B.V. Characterization of transmission losses in an optical system
US7492467B1 (en) * 2006-06-26 2009-02-17 Nanometrics Incorporated Method and apparatus for measuring thickness and optical properties of a thin-film on a substrate
WO2008011510A2 (en) * 2006-07-21 2008-01-24 Zygo Corporation Compensation of systematic effects in low coherence interferometry
KR100848033B1 (en) * 2006-12-05 2008-07-24 한국전자통신연구원 Optical Microscope System Using a Polarizer and Fast Fourier Transform Method for a Nanowire device
US7444198B2 (en) * 2006-12-15 2008-10-28 Applied Materials, Inc. Determining physical property of substrate
JP5502491B2 (en) * 2006-12-22 2014-05-28 ザイゴ コーポレーション Apparatus and method for characterization of surface features
US7889355B2 (en) * 2007-01-31 2011-02-15 Zygo Corporation Interferometry for lateral metrology
JP2010519559A (en) * 2007-02-27 2010-06-03 コーニング インコーポレイテッド Method for quantifying defects in transparent substrates
US7840375B2 (en) * 2007-04-02 2010-11-23 Applied Materials, Inc. Methods and apparatus for generating a library of spectra
US8456613B2 (en) * 2007-05-22 2013-06-04 Micronic Laser Systems Method and apparatus for quantification of illumination non-uniformity in the mask plane of a lithographic exposure system
US7505863B2 (en) * 2007-07-13 2009-03-17 Veeco Instruments, Inc. Interferometric iterative technique with bandwidth and numerical-aperture dependency
US7619746B2 (en) * 2007-07-19 2009-11-17 Zygo Corporation Generating model signals for interferometry
US8072611B2 (en) * 2007-10-12 2011-12-06 Zygo Corporation Interferometric analysis of under-resolved features
KR101274517B1 (en) * 2007-11-13 2013-06-13 지고 코포레이션 Interferometer utilizing polarization scanning
US8218152B1 (en) * 2007-12-04 2012-07-10 The Board Of Trustees Of The University Of Illinois Group refractive index reconstruction with broadband interferometric confocal microscopy
US8126677B2 (en) 2007-12-14 2012-02-28 Zygo Corporation Analyzing surface structure using scanning interferometry
US8025416B2 (en) * 2008-02-18 2011-09-27 3D4K Displays, Inc. Integrated optical polarization combining prism for projection displays
US20090180082A1 (en) * 2008-01-16 2009-07-16 Oakley William S Arrays of LEDS/Laser Diodes for Large Screen Projection Displays
US11839430B2 (en) * 2008-03-27 2023-12-12 Doheny Eye Institute Optical coherence tomography-based ophthalmic testing methods, devices and systems
GB0807668D0 (en) * 2008-04-28 2008-06-04 Innovia Films Ltd Method of authenticating a polymer film
GB0813406D0 (en) * 2008-07-22 2008-08-27 Univ City Image analysis system and method
DE102009025562A1 (en) 2008-10-20 2010-04-29 Siemens Aktiengesellschaft Method for optically examining layers
US8004688B2 (en) 2008-11-26 2011-08-23 Zygo Corporation Scan error correction in low coherence scanning interferometry
US8107084B2 (en) * 2009-01-30 2012-01-31 Zygo Corporation Interference microscope with scan motion detection using fringe motion in monitor patterns
US8599383B2 (en) 2009-05-06 2013-12-03 The Regents Of The University Of California Optical cytometry
DE102010006749B4 (en) * 2010-02-02 2011-12-15 Bundesrepublik Deutschland, vertreten durch das Bundesministerium für Wirtschaft und Technologie, dieses vertreten durch den Präsidenten der Physikalisch-Technischen Bundesanstalt Measuring device for measuring at least one change in position and / or at least one change in angle and a method for dynamically measuring at least one change in position and / or an angle change
US8334986B2 (en) * 2010-02-25 2012-12-18 Corning Incorporated Methods and apparatus for the measurement of film thickness
JP5618727B2 (en) * 2010-09-21 2014-11-05 キヤノン株式会社 Shape measuring method and shape measuring device
US8804129B2 (en) 2011-01-26 2014-08-12 Mitutoyo Corporation Method and apparatus for performing film thickness measurements using white light scanning interferometry
EP2482031A1 (en) 2011-01-26 2012-08-01 Mitutoyo Research Center Europe B.V. Method and apparatus for performing film thickness measurements using white light scanning interferometry
WO2012115643A1 (en) 2011-02-24 2012-08-30 Corning Incorporated Methods and apparatus for the measurement of film thickness
US8698891B2 (en) 2011-03-09 2014-04-15 Zygo Corporation Object thickness and surface profile measurements
DE102011077567B4 (en) 2011-06-15 2013-05-29 Leibniz-Institut Für Polymerforschung Dresden E.V. METHOD AND DEVICE FOR DETERMINING THE SURFACE OPOGRAPHY OF COATED, REFLECTIVE SURFACES
US9696138B2 (en) 2011-08-01 2017-07-04 University Of Florida Research Foundation, Inc. Simultaneous refractive index and thickness measurements with a monochromatic low-coherence interferometer
KR101950339B1 (en) 2011-08-02 2019-02-20 더 리전츠 오브 더 유니버시티 오브 캘리포니아 Rapid, massively parallel single-cell drug response measurements via live cell interferometry
US9310186B2 (en) 2012-04-23 2016-04-12 Ben-Gurion University Of The Negev Research And Development Authority True-spectroscopic dual mode high resolution full-field optical coherence tomography using liquid crystal devices
CA2912842C (en) 2013-05-24 2019-03-19 The Regents Of The University Of California Identifying desirable t lymphocytes by change in mass responses
US20150002852A1 (en) * 2013-06-26 2015-01-01 Zygo Corporation Coherence scanning interferometry using phase shifted interferometrty signals
TWI477736B (en) * 2013-12-05 2015-03-21 Nat Applied Res Laboratories Multiplexing article parameter integrated optically measuring device and method thereof
US9581433B2 (en) 2013-12-11 2017-02-28 Honeywell Asca Inc. Caliper sensor and method using mid-infrared interferometry
US10094695B2 (en) 2014-08-12 2018-10-09 Hans-Christian Luedemann Interferometric measurement of liquid volumes
CA2957677C (en) * 2014-08-12 2023-05-16 Hans-Christian LUEDEMANN Interferometric measurement of liquid volumes
CN106796160B (en) * 2014-08-15 2021-01-12 齐戈股份有限公司 Optical evaluation of lenses and lens molds
US9863756B1 (en) * 2014-10-07 2018-01-09 Kla-Tencor Corporation Line scan spectroscopic white light interferometry for semiconductor inspection and metrology
JP6589371B2 (en) * 2015-05-25 2019-10-16 三菱電機株式会社 Surface level difference measuring method, surface level difference measuring device, and liquid crystal display manufacturing method
US11039741B2 (en) 2015-09-17 2021-06-22 Envision Diagnostics, Inc. Medical interfaces and other medical devices, systems, and methods for performing eye exams
CN105371752B (en) * 2015-11-19 2017-12-08 中国计量学院 The adjustable polarization-type Miller interference device of fringe contrast and measuring method
KR101886919B1 (en) * 2016-06-16 2018-09-11 한국표준과학연구원 Method for measuring three­dimensional thickness profile of multi-layered film structure based on imaging spectrometer
US10288408B2 (en) 2016-12-01 2019-05-14 Nanometrics Incorporated Scanning white-light interferometry system for characterization of patterned semiconductor features
US10422700B1 (en) * 2017-04-24 2019-09-24 Apre Instruments, Inc. Optical alignment based on spectrally-controlled interferometry
CA3064764A1 (en) 2017-05-24 2018-11-29 The Trustees Of Columbia University In The City Of New York Broadband achromatic flat optical components by dispersion-engineered dielectric metasurfaces
KR20200047612A (en) 2017-08-31 2020-05-07 메탈렌츠 인코포레이티드 Transmissive metasurface lens integration
US11073372B2 (en) * 2018-03-07 2021-07-27 Applejack 199 L.P. Multi-probe gauge for slab characterization
US11112234B2 (en) * 2018-03-07 2021-09-07 Applejack 199 L.P. Multi-probe gauge for slab characterization
JP2019168313A (en) * 2018-03-23 2019-10-03 株式会社日立エルジーデータストレージ Optical module for optical height measurement
US11441893B2 (en) 2018-04-27 2022-09-13 Kla Corporation Multi-spot analysis system with multiple optical probes
US10557803B2 (en) 2018-06-26 2020-02-11 Onto Innovation Inc. Surface height determination of transparent film
US11125969B2 (en) 2019-04-26 2021-09-21 Microsoft Technology Licensing, Llc Bonding of resonant oscillating mirror to frame
US11761753B2 (en) 2021-07-30 2023-09-19 Svarog LLC Thin films and surface topography measurement using polarization resolved interferometry
WO2023170692A1 (en) * 2022-03-10 2023-09-14 Nova Ltd. System and method for determining parameters of patterned structures from optical data
US11927769B2 (en) 2022-03-31 2024-03-12 Metalenz, Inc. Polarization sorting metasurface microlens array device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5398113A (en) * 1993-02-08 1995-03-14 Zygo Corporation Method and apparatus for surface topography measurement by spatial-frequency analysis of interferograms

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4355903A (en) 1980-02-08 1982-10-26 Rca Corporation Thin film thickness monitor
US4576479A (en) 1982-05-17 1986-03-18 Downs Michael J Apparatus and method for investigation of a surface
JPS60127403A (en) 1983-12-13 1985-07-08 Anritsu Corp Thickness measuring apparatus
US4618262A (en) 1984-04-13 1986-10-21 Applied Materials, Inc. Laser interferometer system and method for monitoring and controlling IC processing
US4818110A (en) 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
GB8903725D0 (en) 1989-02-18 1989-04-05 Cambridge Consultants Coherent tracking sensor
US5042949A (en) 1989-03-17 1991-08-27 Greenberg Jeffrey S Optical profiler for films and substrates
US5042951A (en) 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
US4999014A (en) 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5112129A (en) 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
US5135307A (en) 1990-05-30 1992-08-04 Hughes Danbury Optical System, Inc. Laser diode interferometer
US5129724A (en) 1991-01-29 1992-07-14 Wyko Corporation Apparatus and method for simultaneous measurement of film thickness and surface height variation for film-substrate sample
DE69231715D1 (en) 1991-03-04 2001-04-12 At & T Corp Manufacturing process of semiconductor integrated circuits using latent images
DE4108944A1 (en) 1991-03-19 1992-09-24 Haeusler Gerd Contactless measurement of surface shape of diffusely scattering objects e.g. semiconductor wafers - using interferometric arrangement for three=dimensional measurement with minimal coherence length and illumination aperture angle less than observation aperture angle
US5133601A (en) 1991-06-12 1992-07-28 Wyko Corporation Rough surface profiler and method
US5181080A (en) 1991-12-23 1993-01-19 Therma-Wave, Inc. Method and apparatus for evaluating the thickness of thin films
US5390023A (en) * 1992-06-03 1995-02-14 Zygo Corporation Interferometric method and apparatus to measure surface topography
DE4309056B4 (en) 1993-03-20 2006-05-24 Häusler, Gerd, Prof. Dr. Method and device for determining the distance and scattering intensity of scattering points
US5386119A (en) 1993-03-25 1995-01-31 Hughes Aircraft Company Apparatus and method for thick wafer measurement
JPH074922A (en) 1993-06-21 1995-01-10 Jasco Corp Apparatus and method for measurement of film thickness of semiconductor multilayer thin film
US5459564A (en) 1994-02-18 1995-10-17 Chivers; James T. Apparatus and method for inspecting end faces of optical fibers and optical fiber connectors
JPH08327327A (en) * 1995-06-02 1996-12-13 Tokyo Seimitsu Co Ltd Method and apparatus for measuring height of bump
US5589938A (en) 1995-07-10 1996-12-31 Zygo Corporation Method and apparatus for optical interferometric measurements with reduced sensitivity to vibration
US5602643A (en) 1996-02-07 1997-02-11 Wyko Corporation Method and apparatus for correcting surface profiles determined by phase-shifting interferometry according to optical parameters of test surface
GB9610471D0 (en) 1996-05-18 1996-07-24 Univ Nottingham Optical measurement
US5774224A (en) 1997-01-24 1998-06-30 International Business Machines Corporation Linear-scanning, oblique-viewing optical apparatus
US5900633A (en) 1997-12-15 1999-05-04 On-Line Technologies, Inc Spectrometric method for analysis of film thickness and composition on a patterned sample
US6124141A (en) 1998-01-07 2000-09-26 International Business Machines Corporation Non-destructive method and device for measuring the depth of a buried interface
DE19814057B4 (en) 1998-03-30 2009-01-02 Carl Zeiss Meditec Ag Arrangement for optical coherence tomography and coherence topography
US6242739B1 (en) 1998-04-21 2001-06-05 Alexander P. Cherkassky Method and apparatus for non-destructive determination of film thickness and dopant concentration using fourier transform infrared spectrometry
USH1972H1 (en) 1998-10-06 2001-07-03 Nikon Corporation Autofocus system using common path interferometry
KR100290086B1 (en) 1999-03-23 2001-05-15 윤덕용 Method and Apparatus for Three Dimensional Thickness Profile Measurement of Transparent Dielectric Thin-Film by White-Light Scanning Interferometry
TW477897B (en) * 1999-05-07 2002-03-01 Sharp Kk Liquid crystal display device, method and device to measure cell thickness of liquid crystal display device, and phase difference plate using the method thereof
US6507405B1 (en) 1999-05-17 2003-01-14 Ultratech Stepper, Inc. Fiber-optic interferometer employing low-coherence-length light for precisely measuring absolute distance and tilt
US6249351B1 (en) 1999-06-03 2001-06-19 Zygo Corporation Grazing incidence interferometer and method
US6259521B1 (en) 1999-10-05 2001-07-10 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography parameters based on photoresist images
US6545761B1 (en) 1999-11-30 2003-04-08 Veeco Instruments, Inc. Embedded interferometer for reference-mirror calibration of interferometric microscope
US6597460B2 (en) 2000-05-19 2003-07-22 Zygo Corporation Height scanning interferometer for determining the absolute position and surface profile of an object with respect to a datum
WO2002040938A2 (en) 2000-11-02 2002-05-23 Zygo Corporation Height scanning interferometry method and apparatus including phase gap analysis
US6721094B1 (en) 2001-03-05 2004-04-13 Sandia Corporation Long working distance interference microscope
KR100393429B1 (en) 2001-04-09 2003-08-02 한국과학기술원 Two-wavelength white-light interferometry and interferometer for measuring steps of different metallic materials
US6624894B2 (en) 2001-06-25 2003-09-23 Veeco Instruments Inc. Scanning interferometry with reference signal
KR100437024B1 (en) 2001-10-18 2004-06-23 엘지전자 주식회사 The inspection method of thin film and the same apparatus
US6934035B2 (en) 2001-12-18 2005-08-23 Massachusetts Institute Of Technology System and method for measuring optical distance
JP2005516187A (en) 2002-01-24 2005-06-02 ザ ジェネラル ホスピタル コーポレーション Apparatus and method for ranging with parallel detection of spectral bands and noise reduction of low coherence interferometry (LCI) and optical coherence tomography (OCT) signals
GB2385417B (en) 2002-03-14 2004-01-21 Taylor Hobson Ltd Surface profiling apparatus
US7139081B2 (en) 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
AU2003266136A1 (en) 2002-09-09 2004-03-29 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7271918B2 (en) 2003-03-06 2007-09-18 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7106454B2 (en) 2003-03-06 2006-09-12 Zygo Corporation Profiling complex surface structures using scanning interferometry
TWI334921B (en) 2003-09-15 2010-12-21 Zygo Corp Surface profiling using an interference pattern matching template
JP4790632B2 (en) 2004-01-06 2011-10-12 ザイゴ コーポレーション Multi-axis interferometer and method and system using multi-axis interferometer
US7321430B2 (en) 2004-04-22 2008-01-22 Zygo Corporation Vibration resistant interferometry
US20060012582A1 (en) 2004-07-15 2006-01-19 De Lega Xavier C Transparent film measurements
US7428057B2 (en) 2005-01-20 2008-09-23 Zygo Corporation Interferometer for determining characteristics of an object surface, including processing and calibration
GB0502677D0 (en) * 2005-02-09 2005-03-16 Taylor Hobson Ltd Apparatus for and a method of determining a surface characteristic
JP5186129B2 (en) * 2006-08-25 2013-04-17 大日本スクリーン製造株式会社 Method and apparatus for measuring groove pattern depth

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5398113A (en) * 1993-02-08 1995-03-14 Zygo Corporation Method and apparatus for surface topography measurement by spatial-frequency analysis of interferograms

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
DE GROOT PETER ET AL: "Signal modeling for low-coherence height-scanning interference microscopy" APPL. OPT.; APPLIED OPTICS SEP 1 2004, vol. 43, no. 25, 1 September 2004 (2004-09-01), pages 4821-4830, XP002318515 *
LYAKIN D V ET AL: "Interferometric system with resolution better than coherence length for determination of geometrical thickness and refractive index of a layer object" PROCEEDINGS OF THE SPIE - THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING SPIE-INT. SOC. OPT. ENG USA, vol. 4956, July 2003 (2003-07), pages 163-169, XP002318514 ISSN: 0277-786X *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108351503A (en) * 2015-11-06 2018-07-31 浜松光子学株式会社 Image capturing device, image acquisition method and spatial light modulation unit

Also Published As

Publication number Publication date
US20080180694A1 (en) 2008-07-31
TW200530554A (en) 2005-09-16
WO2005045361A3 (en) 2005-08-18
US7324210B2 (en) 2008-01-29
TWI335417B (en) 2011-01-01
US7468799B2 (en) 2008-12-23
US20050088663A1 (en) 2005-04-28

Similar Documents

Publication Publication Date Title
US7468799B2 (en) Scanning interferometry for thin film thickness and surface measurements
TWI428559B (en) Compensation of systematic effects in low coherence interferometry
KR101054786B1 (en) Methods and systems for analyzing low coherence interferometric signals for information about thin film structures
EP2232195B1 (en) Analyzing surface structure using scanning interferometry
US7636168B2 (en) Interferometry method and system including spectral decomposition
US8107085B2 (en) Methods and systems for interferometric analysis of surfaces and related applications
TWI420068B (en) Interferometry for lateral metrology
KR101223195B1 (en) Interferometry method and apparatus for ellipsometry, relectometry, and scatterometry measurements, including characterization of thin film structure

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase