WO2005045692A2 - Data processing device and method - Google Patents

Data processing device and method Download PDF

Info

Publication number
WO2005045692A2
WO2005045692A2 PCT/EP2004/009640 EP2004009640W WO2005045692A2 WO 2005045692 A2 WO2005045692 A2 WO 2005045692A2 EP 2004009640 W EP2004009640 W EP 2004009640W WO 2005045692 A2 WO2005045692 A2 WO 2005045692A2
Authority
WO
WIPO (PCT)
Prior art keywords
data
input
register
output
registers
Prior art date
Application number
PCT/EP2004/009640
Other languages
French (fr)
Other versions
WO2005045692A3 (en
WO2005045692A9 (en
Inventor
Martin Vorbach
Alexander Thomas
Original Assignee
Pact Xpp Technologies Ag
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from DE10357284A external-priority patent/DE10357284A1/en
Application filed by Pact Xpp Technologies Ag filed Critical Pact Xpp Technologies Ag
Priority to JP2006524345A priority Critical patent/JP4700611B2/en
Priority to EP04803091A priority patent/EP1676208A2/en
Priority to US10/570,173 priority patent/US7844796B2/en
Publication of WO2005045692A2 publication Critical patent/WO2005045692A2/en
Publication of WO2005045692A3 publication Critical patent/WO2005045692A3/en
Publication of WO2005045692A9 publication Critical patent/WO2005045692A9/en
Priority to US12/258,100 priority patent/US8145881B2/en
Priority to US12/389,274 priority patent/US8812820B2/en
Priority to US14/462,858 priority patent/US20140359255A1/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/80Architectures of general purpose stored program computers comprising an array of processing units with common control, e.g. single instruction multiple data processors
    • G06F15/8007Architectures of general purpose stored program computers comprising an array of processing units with common control, e.g. single instruction multiple data processors single instruction multiple data [SIMD] multiprocessors
    • G06F15/8023Two dimensional arrays, e.g. mesh, torus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7867Architectures of general purpose stored program computers comprising a single central processing unit with reconfigurable architecture
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/16Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
    • G06F15/163Interprocessor communication
    • G06F15/173Interprocessor communication using an interconnection network, e.g. matrix, shuffle, pyramid, star, snowflake
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/16Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
    • G06F15/163Interprocessor communication
    • G06F15/173Interprocessor communication using an interconnection network, e.g. matrix, shuffle, pyramid, star, snowflake
    • G06F15/17337Direct connection machines, e.g. completely connected computers, point to point communication networks
    • G06F15/17343Direct connection machines, e.g. completely connected computers, point to point communication networks wherein the interconnection is dynamically configurable, e.g. having loosely coupled nearest neighbor architecture
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/16Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
    • G06F15/163Interprocessor communication
    • G06F15/173Interprocessor communication using an interconnection network, e.g. matrix, shuffle, pyramid, star, snowflake
    • G06F15/17356Indirect interconnection networks
    • G06F15/17368Indirect interconnection networks non hierarchical topologies
    • G06F15/17381Two dimensional, e.g. mesh, torus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/80Architectures of general purpose stored program computers comprising an array of processing units with common control, e.g. single instruction multiple data processors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/80Architectures of general purpose stored program computers comprising an array of processing units with common control, e.g. single instruction multiple data processors
    • G06F15/8007Architectures of general purpose stored program computers comprising an array of processing units with common control, e.g. single instruction multiple data processors single instruction multiple data [SIMD] multiprocessors
    • G06F15/803Three-dimensional arrays or hypercubes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units
    • G06F9/3893Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units controlled in tandem, e.g. multiplier-accumulator
    • G06F9/3895Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units controlled in tandem, e.g. multiplier-accumulator for complex operations, e.g. multidimensional or interleaved address generators, macros
    • G06F9/3897Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units controlled in tandem, e.g. multiplier-accumulator for complex operations, e.g. multidimensional or interleaved address generators, macros with adaptable data path
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Definitions

  • the present invention relates to recon igurable computing.
  • the present invention relates to improvements in the architecture of r ⁇ configurable devices.
  • Reconfigurable data procesing arrays are known in the art. Reference is being made to the previous applications and/or publications of the present applicant/assignee all of which are encorporated herein by way of reference. Accordingly, the devices described hereinafter may be multidimensional (n>l) arrays comprinsing coarse grained computing and/or data operation elements allowing for runtime reconfiguration of the entire array or parts thereof, preferably in response to a signal indicating reconfigurability to a loading unit (CT, CM or the like) .
  • a first way to improve the known devices is to improve the functionability of each single processor element. It has been previously suggested to include a ring-memory (R ⁇ NGSPEICHER) in the array, to store instructions in the ring-memory and to provide a pointer that points to one of the ring-memory address- ses so as to select an instruction to be carried out next . Furthermore, it has been suggested to provide at least onerada shadow configuration" and to switch over between several configurations /shadow configurations. Anotrher or additional suggestions has been designated as suntwave reconfiguration". hile these known methods improve the performance of a recon ⁇ figurable device, there seems to be both a need and a possibility for further improvements.
  • a PAE might e.g. comprise 4 input ports and 4 output ports.
  • Embedded with each PAE is the_FREG -path newly named DF with its dataflow capabilities, like MERGE, SWAP, DEMUX as well as ELUT.
  • Ri2 and Ri3 are typically fed to the DF path which output is Ro2 and Ro3.
  • Ri2 and Ri3 can serve as inputs for the ALU as well. This extension is needed to provide a suitable amount of ALU inputs if Function Folding (as described later) is used. In this mode Ro2 and Ro3 serve as additional outputs.
  • each data register (Ri or Ro) is- an event port (Ei or Eo) .
  • Tt is possible, albeit not necessary to implement an additional data and event bypass BRiO-l f BEiO-.
  • the decision depends on how often Function Folding will be used and how many inputs and outputs are required in average.
  • SIMD operation is implemented, in the ALUs to support 8 and 16 bit wide data words for i.e. graphics and imaging.
  • each PAE operates as a data flow node as defined by Perti-Nets. (Some arrays might have parts that have other functions and should thus be not considered as a standard PAE) .
  • a Petri-Net supports a calculation of multiple inputs and produces one single output. Special for a Perti-Net is, that the operation is delayed until all input data is available.
  • the quantity of data - and events is defined by the data and control flow, the availability is displayed at runtime by the handshake protocol RDY/ACK.
  • the thick arbor indicates the operation
  • the dot on the right side indicates that the- operation is delayed until all inputs are available.
  • Enhancing the basic methodology function folding supports multiple operations - maybe even sequential - instead of one, defined as a Cycle. It is important that the basics of Petri- Nets remain unchanged.
  • Typical PAE-like Petri-Nets consume one input packet per one operation. For sequential operation multiple reads of the same input packet are supported. However, the interface model again keeps unchanged.
  • the internal registers can be implemented in different ways, e.g. in one of the following two:
  • Each register (r' ) has a valid bit which is set as soon as data has-been written into the register and reset after the data has been read. Data cannot be written if valid is set, data can not be read if valid is not set. This approach implements a 100% compatible dataflow behaviour.
  • the registers have no associated valid bits.
  • the PAE operates as a sequencer, whereas at the edges of the PAE (the bus connects) the paradigm is changed to the XPP-like dataflow behaviour.
  • PAE For allowing complex function like i.e. address generation as well as algorithms like "IMEC'-like data stream operations the PAE has not only 4 instruction registers implemented but 8, whereas the maximum bus-clock vs. PAE-clock ration is limited to a factor of 4 for usual function folding.
  • a program counter is used to select a certain instruction within the instruction memory.
  • a finite state machine controls the program counter.
  • This finite state machine now checks whether or not all conditions for the instruction in RC (PC) , that is the instruction, onto which the PC (Program Counter) points, are fulfilled. To do so, the respective RDY- and/or ACK- handshakes of the in- and/or outputs needed for the execution of the instructions are checked. Furthermore, the valid-flags of the internal registers to be read (RD0..RDn) are checked so as to control whether or not they are set, and the valid-flags of those internal registers (RD0..RDn) into which is to be written, are checked whether they are not set. -If one of the conditions is not fulfilled, the instructions will not be carried out. PC is controlled to count further, the instruction is. skipped and the next instruction is selected and checked as described.
  • each RC is assigned an entry in an evaluation mask field, the length of which corresponds to the maximum number of states to be tested; therefore, for every possible RDY- or ACK-trigger- signal (as well the RDY/ACKs of the triggers) as well as for every valid bit in RD0...RDn two bits are available indicating whether or not the respective signal is to be set or not set; or, whether the state of the signal is unimportant for the execution of the instruction.
  • the mask shows only some entries.
  • both the state of the trigger (set, not set) as well as the value of the trigger (trigger value) can be tested via RDY-value.
  • an instruction of the set of all executables is selected.
  • the arbiter controls the instruction multiplexer via ISel according to the transferral of the selected instructions to the PAE.
  • the Line Control has one single line of Boolean test logic for every single instruction.
  • ExOR-gate e
  • OR-gate 4-
  • a selection is carried out, whether the checked signal is relevant (don't care) .
  • the results of all checked signals are ANDed.
  • a logic 1 at the output of the AND-gates (&) shows an executable instruction.
  • An arbiter having one of a number of possible implementations such as a priority arbiter, Round-Robin-Arbiter and so forth, selects one instruction for execution out of all executable instructions.
  • Advantages of the method are: Significantly fast, in view of the fact that one instruction can be carried out in every single clock Reduced power consumption, since no energy is wasted on disgaxded—cycles which is in particular advantageous to the static power dissipation. Similar hardware expense as in the sequential solution when using small and medium sized configuration memories (RC) therefor similar costs.
  • RC configuration memories
  • All busses assigned to a certain PAE are connected to the input registers (IR) or the output registers of the PAE are connected to all busses respectively (compare for example DE 100 50 442.6 or the XPP/VPU-handbooks of the applicant).
  • PAEs in particular FF PAEs
  • FF PAEs allow for a depopulation of bus interconnects, in particular, if more IR/OR will be available compared to the State of the Art of the XPP as previously known.
  • the depopulation that is the reductions of the possibilities to connect the IR or ER onto the busses can be symmetrically or asymmetrically.
  • the depopulation will typically amount to 20 to 70 %. It is significant that the depopulation will not or not significantly effect the interconnectability and/or the routability of an algorithm in a negative way.
  • the method of depopulation is particularly relevant in view of the fact that several results can be achieved.
  • the hardware- expense and thus the costs of the bus systems can be reduced significantly; the speed of the busses is increased since the gate delay is reduced by the minimisation of connecting points; simultaneously, the power consumption of the busses is reduced.
  • the known PAE has a main data flow in the direction from top to bottom to the main ALU in the PAE-core.
  • data channels are placed additionally transmitting data along the main data flow direction, once the same direction as the main data flow (FREG) and once in the reverse direction (BREG) .
  • FREG main data flow
  • BREG reverse direction
  • data busses are provided that run in the reverse direction of the main data flow of the PAE and onto which the PAE as well as FREG and BREG are connected.
  • the architecture of the State of the Art requires eight data busses for each PAE side as well as four transfer channels for FREG/BREG for typical applications.
  • the bus system of the State of the Art has switching elements, register elements (R) , each at the side of the PAEs.
  • the switching elements allow for the disruption of a bus segment or- disconnection to a neighbouring bus
  • the register elements allow the construction of an efficient pipelining by transferring data through the register, so as to allow for higher transferral band-width.
  • the typical latency in vertical direction for next-neighbour-transmitting is 0 per segment, however is 0,5-1 in horizontal direction per segment and higher frequencies .
  • the double-ALU structure has been further developed to an ALU-PAE having inputs and outputs in both directions.
  • automatic routers as well as hand-routed applications, further additional significant improvements of the network topology can be shown.
  • register and switching elements in the busses in the middle of the PAE instead of at the sides thereof (see Fig, below) .
  • the perferred embodiment comprises two ALUs, one of these being "complete" and having all necessary functions, for example-multiplication and BarrelShift while the second has a reduced instruction set eliminating functions that require larger arrays such as multiplication and BarrelShift.
  • the second ALU is in a way replacing BYPASS (as drawn) .
  • BYPASS as drawn
  • Both ALUs comprise additional circuits to transfer data between the busses so as to implement the function of the bypass.
  • Configurable multiplexers within the ALU are connected so that ALU inputs are bypassing the ALU and are directly connected to their outputs.
  • a MOVE instruction, stored in RcO.. Ren is transferring within the respective processing clock of the function fol the data according to the input specified within the instruction to the specified output.
  • MUL is implemented as one single opcode which is pipelined over two stages.
  • MUL takes its operands from the input registers Ri and stores the results into internal data registers Rd.
  • VALID is set if • data is stored into Rd.
  • ADD or any other Opcode, such as BSFT) uses the result in Rd if VALID is set; if not the execution is skipped according to the specified VALID behaviour.
  • the timing changes for all OpCodes ' if the MUL instruction is used inside a PAE configuration. In this case all usually single cycle OpCodes will change to pipelined 2 cycle OpCodes. The change is achieved by inserting a bypass able multiplexer into the data stream as well as into control.
  • OpCodes besides MUL which require 2 clock cycles for execution e.g. BSTF
  • the architecture must be modified to allow at least 3 data writes to registers after the second internal clock cycle.
  • the data path output multiplexer gets 2 times larger as well as the bus system to the output registers (OR) and the feedback path to the internal data registers (Rd) . If accordingly defined for the OpCodes, more than 4 internal registers can be used without increasing the complexity by using enables (en) to select the specific register to write in the data. Multiple registers are connected to the same bus, e.g. RdO, Rd4, Rd8, Rdl2. However not all combinations of register transfers are possible with this structure. If e.g. MUL uses RdO and Rdl the following registers are blocked for the OpCode executed in parallel: Rd4, 5, 8, 9, 12, 13.
  • the SEQ-PAEs are not build from scratch. Instead such a tile will be build up by a closely coupling of a ALU-PAE and neighboring RAM-PAE, which can be seen in Figure 1.
  • the extended version of the ALU-PAE is ' given in Figure 2. To the right border the registers which are controlling the different modules can be seen. Those registers will be used in normal- as well as in SEQ-mode. Therefore the appropriate control signals from the local configuration manager and the RAM- PAE are first merged by OR-Gates and then are forwarded to the register whereas it has to be ensured that in normal mode the signals from the RAM-PAE are .0 and vice versa.
  • Figure 2 Enhanced Version of the ALU-PAE
  • data can be processed during one or two cycles by the ALU-PAE depending on the selected arithmetic function. Due to the auto synchronization feature of the XPP and due to the fact that in normal mode a successive operation will not start before the previous one is finished, it does not really care if an operation lasts one or two clock cycles. Whereas the tile is working in SEQ mode there is a difference since we assume to have a pipeline character. This means that a one cycle operation could run in parallel with a two cycle module where the operation would be executed in stage two at this time. Due to the limited multiplexing capacities of a word - 16 Bit - only one result could be written to the connected registers whereas the other one would be lost. In general there are three possibilities to solve this problem.
  • the second idea could be to recognize such a situation in the decode stage of the pipeline. If a two cycle instruction is directly followed by an instruction accessing a one stage arithmetic unit it has to be delayed by one clock cycle as well.
  • the program counter for the next clock cycle will be calculated. This means that it will be either incremented by 1 via a local adder or one of the program counters from the decode or execution stage 2 will be selected.
  • the program counter of the execution stage thereby provides the address if a call instruction occurred whereas the program counter of- -the execution stage provides the PC if there has been a conditional jump.
  • the branch address can'ei- ther be calculated out of the current PC and a value which either be an immediate value or a value from a internal registers of the ALU-RAM - indirect addressing mode - or an absolute value. This e.g. is necessary if there is return from a subroutine ' to the previous context whereas the according absolute PC will be provided by the stack bank.
  • the instruction coming from the Gode bank will be decoded.
  • Necessary control signals and, if needed, the immediate, value for the internal execution stage 1 as well as for the execution stage 1 of the ALU-PAE will be generated.
  • the signals include the control information for the multiplexers and gating stages of section two of the ALU-PAE, the operation selection of the ALU's tiles, e.g. signed or unsigned multiplication, and the information whether the stack pointer (SP) should be in/decremented or kept unchanged in the next stage- depending on the fact if the instruction is either a call or jump, in case a call instruction occurred a new PC will be calculated in parallel and delivered to the fetch stage.
  • SP stack pointer
  • the read address and read enable signal to the data bank will be generated in case of a load instruction.
  • the execution stage 1 which by the way is the first stage available on the ALU as well as on the RAM-PAE, the control signals for execution stage 2 of the ALU-PAE are generated. Those...signal will take care that the correct output of one of the arithmetical tiles will be selected and written to the enabled registers. If the instruction should be a conditional jump or return the stack pointer will be modified in this stage. In parallel the actual PC will be saved to the stack bank at the address give by the Rsp EXl register in case of a branch. Otherwise, in case of a return, the read address as well as the read enable .signal will be applied to the stack bank.
  • execution stage 2 the value of the PC will be calculated and provided to the multiplexer in the fetch stage in case of a jump.
  • write address arid write enable signal to the data bank are generated if data from the ALU have to be saved.
  • FIG. 3 Overview of the RAM-PAE Pipeline actions
  • ⁇ IR Instruction Register DR: Data Register DB: Data Bank
  • SBR Store/Branch Register Instruction: Load value from data bank to R[n]
  • the XPP-II structure of the PAEs consumes much area for FREG and BREG and their associated bus interfaces. I-h addition feed backs through the FREGs require the insertion of registers into the feedback path, which result not only in an increased latency but also in a negative impact onto the throughput and performance of the XPP.
  • a new PAE structure and arrangement is proposed with the expectation to minimize latency and optimize the bus interconnect structure to achieve an optimized area.
  • the XPP-III PAE structure does not include BREGs any more.
  • the ALUs are alternating flipped horizontally which leads to improved placement and routing capabilities especially for feedback paths i.e. of loops.
  • Each PAE contains now two ALUs and two BP paths, one from top to bottom. and one flipped from bottom to top.
  • registers are implemented in the vertical busses which can be switched on by configuration for longer paths. This registers can furthermore be preloaded by configuration which requires a significant amount of silicon area. It is proposed to not implement registers in the busses any more, but to use an enhanced DF or Bypass (PB) part within the PAEs which is able to reroute a path to the same bus using the DF or BP internal registers instead:
  • PB Bypass
  • This method saves a significant amount of static resources in silicon but requires dedicated PAE resources at runtime.
  • the RAM-PAE is enhanced by an feedback from the data output to the address input through a register (FF) to supply subsequent address within each stage. Furthermore additional address inputs from the PAE array can cause conditional jumps, data output will generate event signals for the PAE array. Associated counters which can be reloaded and stepped by the memory output generate address input for conditional jumps (i.e. end of line, end of frame of a video picture) .
  • a typical—RAM—PAE implementation has about 16-32 data bits but only 8-12 address bits. To optimize the range of input vectors it is therefore suggested to insert some multiplexers at the address inputs to select between multiple vectors, whereas the multiplexers are controlled by some of the output data bits.
  • the memory organisation suggested here may be as follows: 8 address bits 24 data bits (22 used) 4 next address 8 multiplexer selectors 6 counter control (shared with 4 additional next address) 4 output
  • the width of the counters is according to the bus width of the data busses.
  • the proposed memory organisation is as follows: 8 address bits 16 data bits (16 used) 4 next address 4 multiplexer selectors 3 counter control (shared with 3 additional next address) 4 output
  • address generators to support e.g. 1 to 3 dimensional addressing directly without any ALU-PAE resources.
  • the address generation is then done by 3 counters, each of them has e.g. configurable base- address, length and step width.
  • the first counter (CNT1) has a step input to be controlled by the array of ALU-PAEs. Its carry is connected to the step input of CNT2,. which carry again is connected to the step input of CNT3.
  • Each counter generates carry if the value is equal to the configured length. Immediately with carry the counter is reset to its configured base address. One input is dedicated for addresses from the array of ALU- PAEs which can be added to the values of the counters. If one or more counters are not used they are configured to be zero. In addition CNT1 supports generation of bit reversal addressing by supplying multiple carry modes.
  • the IOAG allows the split and merge of such smaller data words. Since the new PAE structure allows 4 input and 4 output ports, the IOAG can support word splitting and merging as follows:
  • Input ports are. merged within the IOAG for word writes to the 10.
  • For output ports the read word is split according tb the configured word width.
  • PAEs and busses are build to perform depending on the workload. Therefore the clock frequency is configurable according to the data bandwidth, in addition clock gating for registers is supported, busses are decoupled using row of AND gates. Dynamically clock pulses are gated, whenever no data can be processed.
  • the voltage is scaled in an advanced architecture. Within the 4S project such methods are evaluated and commercially usable technologies are researched.
  • a) memory coupling for large data streams The most convenient method with the highest performance is a direct cache coupling, whereas an AMBA based memory coupling will be sufficient for the beginning (to be discussed with ATAIR)
  • b) register coupling for small data and irregular MAC operations Preferable is a direct coupling into the processors registers with an implicit synchronisation in the OF-stage of the processor pipeline. However coupling via load/store- or in/out-commands as external registers is acceptable with the penalty of a higher latency which causes some performance limitation.
  • the ALU-PAE comprises 3 paths: ALU arithmetic, logic and data flow handling BP bypass
  • each of the paths contains 2 data busses and 1 event bus.
  • the busses of the DF path can be rerouted to the ALU path by configuration.
  • The. ALU path comprises 12 data registers: RiO-3 Input data register 0-3 from bus RvO-3 Virtual output data register 0-3 to bus Rd0-3 Internal general purpose register 0-3 ViO-3 V event input 0-3 from bus UiO-3 U event input 0-3 from bus EvO-3 Virtual V event output register 0-3 to bus EuO-3 Virtual U event output register 0-3 to bus FuO-3 FvO-3 Internal Flag u and v registers according to the XPP-II PAE's event busses , J Ace Accumulator
  • Rlc Loop Counter configured by CM
  • CM not accessible through ALU-PAE itself. Will be decremented according to JL opcode. Is reloaded after value 0 is reached.
  • Rjb Jump-Back register to define the number of used entries in Rc[0..7]. It is not accessible through ALU-PAE itself. If Rpp is equal to Rjb, Rpp is immediately reset to 0. The jump back can be bound to a condition i.e. an incoming event. If the condition is missing, the jump back will be delayed.
  • Each input register, Ri can be configured to work in- one of two different modes: ⁇
  • a data packet is taken read from the bus if the register is empty, an ACK handshake is generated. If the register is not empty ACK the data is not latched and ACK is not generated.. If the register contains data, it can be read once. Immediately with the read access the register is marked as empty. An empty register cannot be read.
  • the input interface is according to the bus protocol definition: A data packet is taken read from the bus if the register is empty, an ACK handshake is generated. If the register is not empty ACK the data is not latched and ACK is not generated.
  • the register contains data it can be read multiple times during a sequence.
  • each data register can be individually selected.
  • Three address opcode form is used, r t * - r sl , r s0 .
  • An virtual output register is selected by adding o' behind the register. The result will be stored in rt and copied to the virtual output register r v as well according to the rule op out (r v , r t ) — r sl , r s0 .
  • Events are used equal to data registers. All input and internal events can be addressed directly, output events are used whenever an ⁇ o' is added behind the event.
  • an accumulator register is available which can be addressed by just one set bit for the result register (ao) and- operand register (ai) .
  • Operand register 2 defines the accordingly other operand.
  • Jt is to be noted that it has to be clarified whether a real Accumula tor mode makes sense or j ust a MAC-command should be implemented to handle the multiply accumulate in a single com ⁇ mand consuming two clock cycles with an implicit hidden accumulator access .
  • the FF-PAE To access the PStack, the FF-PAE must be in the Fast-Parameter Mode. Each read access to Ri3 is redirected to read, from the PStack, whereas after each read access the pointer incremented with one. ' There is no check for an overflow of the PStack pointer implemented, an overflow is regarded as a program bug. Programming Error
  • n 1 Transitions n:l transitions are not supported within the busses any more. Alternatively simple writes to multiple output registers Ro and event outputs Eo are supported.
  • the Virtual Output registers (Rv) and Virtual Event (Ev) are translated to real Output registers (Ro) and real Events (Eo) , whereas a virtual register can be mapped to multiple output registers .
  • the Multi-Config Mode allows for selecting 1 out of maximum stored configurations. Incoming events .on Fui0,l and Fvi0,l select one of the 4 configurations. Only one Event shall be active at a clock, cycle.
  • each event points to a specific memory address.
  • Long configurations may use more than 3 opcode by using the next code segments as well. In this case, the according events can not be used .
  • Source registers can be Ri and Rd
  • target registers are Rv and
  • Each operation can target a Virtual Output Register Rv by adding an out tag as a target identifier to the opcode: op (r t , ro t ) ⁇ - r a , r b
  • the SKIPE command supports conditional execution. Either an event or ALU flag is tested for a specific value. Depending on the check either the next two addresses are executed (Rpp + 1). or skipped (Rpp + 3) . If an incoming event is checked, the program execution stops until the event is arrived at the event port (RDY handshake set) . ,.,
  • SKIPE supports conditional execution of any OpCode which is not larger than two memory entries.
  • the PAE can operate at a configurable clock frequency of lx Bus Clock 2x Bus Clock 4x Bus Clock [8x Bus Clock]
  • the DataFlow path comprises the data registers Bri0..3 and Bro0..3 as well as the event register Bui/Bvi0..3 and Buo/BvoO ..3.
  • the main purpose of the DF path is to establish bus connections in the vertical direction.
  • the path includes a 4 stage FIFO for each of the data and event paths.
  • the DF path supports numerous instructions, whereas the instruction is selected by ' configuration and only one of them can be performed during a configuration, function folding is not available.
  • Parameters and constants can be updated fast and synchronous using input register Ri3 and event input Ei7.
  • data packets at the input register Ri3 are copied subsequently into Rd3, Rd2 and Rdl at each access of the according register by the PAE, if the event Ei7 is set. Afterwards all input data at Ri3 is propagated to the output register Ro3, also the Eo7 event output is set, to indicate following PAEs the occurrence of a fast parameter update, which allows to chain PAEs together (i.e. in a multi-TAP FIR filter) and updating all parameters in the chain.
  • Ei7 must be 0 for at least one clock cycle to indicate the end of a running parameter update and the start of a new update.
  • the IOAGs are located in the RAM-PAEs and share, the same registers to the busses.
  • An IOAG comprises 3 counters with forwarded carries. The values of the counters and an immediate address input from the array are added to generate the address .
  • One counter offers reverse carry capabilities.
  • Multidimensional addressing using IOAG internal counters xD means ID, 2D, 3D xD circular Multidimensional addressing using IOAG internal counters, after overflow counters reload with base address xD plus immedixD plus a value from the PAE array ate Stack decrement after "push” operations increment after "read” operations
  • the address is generated in the array and directly fed through the adder to the address output. All counters are. disabled and set to 0.
  • Counters are enabled depending on the required dimension (x- di ensions require x counters) . For each counter a base address- and the step width as well as the maximum address are configured. Each carry is forwarded to the next higher and enabled counter; after carry the counter is reloaded with the start address.
  • a carry at the highest enabled counter generates an event, counting stops.
  • One counter (CNTl) is used to decrement after data writes and increment after data reads.
  • the base value of the counter can either be configured (base address) or loaded by the PAE array.
  • carry is forwarded from LSB to MSB. Forwarding the carry to the opposite direction (reverse carry) allows generating address patterns which are very well suited for applications like FFT and the like. The carry is discarded at MSB.
  • the counter is implemented to allow reverse carry at least for STEP values of -2, -1, +1, +2.
  • Each ALU-PAE at the left or right edge of the array can be closely coupled to the neighbouring RAM-PAEs as an IP option, thus allowing for configure a sequencer.
  • the data and opcode width of the sequencer is l ⁇ bits.
  • the ALU-PAEs can operate exactly as array internal ALU-PAEs but have several extensions. Operation is Sequencer mode the register file is 8 data registers wide, Fu and Fv flags are used as carry, sign, null, overflow, and parity ALU flag word.
  • the address width is accordingly l ⁇ bit.
  • the RAM- PAE size is limited it is segmented into 16 segments. Those segments are used for code, data and stack and must be individually preloaded by the compiler.
  • the compiler has to take care that necessary data segments are preloaded and available. For cost reasons there is no automatic. TLB installed.
  • Code segments behave accordingly to data segments.
  • the compiler has to preload them before execution jumps into them. Also jumps are physically direct addressed, due to the absence of TLBs again.
  • mapping is fixed by the compiler.
  • CodeBank CB
  • SB StackBank
  • Memory banks are updates in terms of loaded or flushed in the background by a DMA engine controlled by the following opcodes LOADDSEG Loads and validates a data/auxiliary/stack bank ; STOREDSEG Stores and invalidates a data/auxiliary/stack bank LOADCSEG Loads and validates a code bank
  • the address generators in the IOAG interfaces can be reused as DMA engine.
  • Memory banks can be specifically validated or invalidated as follows: VALIDATESSEG Validates a bank INVALIDATESEG Invalidates a bank
  • the bank pointers are added to the address of any memory access. Since the address pointer can be larger than the 6 bits addressing a 64 line range, segment -boarders are not "sharp", which means, can be crossed without any limitation. However the programmer or compiler has to take care that no damage occurs while crossing them. If an invalid segment is reached a flag or trap is generated indicating the fault, eventually just wait states are inserted if a segment preload is running already in the background.
  • IOAGs may comprise a 4-8 stage data output buffer to balance external latency and allow reading the same data address directly after the data has been written, regardless of external bus or memory latencies (up to the number of buffer stages) .
  • Event output Eo II -> 0 Rpp++ rs: source register rt: target register et4: target event Input Registers: Ri / Rd
  • Input Flags Output Flags : Mode SEQ sign, zero FF sign, zero -> F / Eo-
  • Event output Eo II , 12 -> 0
  • CodeBank is not influenced.
  • CodeBank is not influenced.
  • Rpp++ rs source register rt: target register as: add/substract mode es4: event source
  • Rpp++ rt target register rs: source register et4 :. target event Input Registers: Ri / Rd, Ri / Rd
  • Rpp-t-t- rs source register rt: target register et4: target event Input Registers:
  • Output 02 Rpp++ rt: target register rs: source register es4: source event
  • Event E - es3 nnn Ei [nnn ] Wait for incoming event of defined value. Acknowledge all incoming events .
  • FIG. 1 depicts a cut-out of a reconfigurable array with a set of functional units (FU) .
  • Each functional unit encloses one routing unit (RU) and additional functional modules (FMs) .
  • the enclosed functional modules are used to manipulate data and characterize the type of the FU.
  • the RU contains an interconnect matrix which' is able to route each input port to any desirable output ports. All FUs are connected through point-to-point links whereas each is composed of two half-duplex links and able to transport the data in both directions at the same time.
  • the routing technique described in this document is instruction based which means that each routing process must be started by an instruction. If the user wants to establish a routing between two cells, he has to bring a specific instruction into the source cell. The hardware within the array calculates based on the instruction fields values the desired routing direction and establishes the logic stream. The routing process happens stepwise from one functional unit to another whereby each cell decides which direction should be taken next.
  • On the way to an established route we defined three valuable states of the routing resources.
  • the first state is the physical route or link. This means that the resources of this route are not used and available to routing processes.
  • the second state is named temporal route or link. This state describes the temporarily not available link, which means that this link is in use for routing purposes but the mentioned routing is not confirmed yet . The problem here is that this route can be confirmed in the future or released if the successor cells are able to realise the desired routing.
  • the last state is the logical route or link. This state represents an established route on the array which is able to transport calculation data.
  • This routing technique uses coordinates on the array to calculation routings.
  • Each FU possesses unique coordinate's und on the basis of this information it is able to determine the routing direction to each desired cell within the array.
  • This concept is the basis for the adaptive runtime routing described in this document.
  • the needed control logic for adaptive routing is implemented within the routing unit, especially within the routing controller which controls the interconnect matrix at runtime. Therefore the routing controller is able to analyze the incoming data of all input ports of the concerned FU and come to a decision what to do next.
  • each input port owns so called in-registers (InReg) .
  • InRegCtrl InReg-controllers implemented
  • FSMs finite state machines
  • InCtrl in-controllers
  • InCtrl in-controller
  • Important requirement for requesting of new routings is that the mentioned input resource (InReg, InRegCtrl) are not used and so in the state of physical link.
  • InCtrl gets requests of all InRegCtrls all over the time and forwards one request after another to the routing controller (RoutCtrl) . ; The selection which InRegCtrl should be served first is dependant on the routing priority of the input link and/or which input link was served last. Based on the coordinate information of the target cell and the coordinates of the actual FU the RoutCtrl calculates the forward direction for the requested input link. Thereby the RoutCtrl takes into account additional parameters like optimum bit (will be described later) , the network utilisation towards the desired direction, etc.
  • RoutCtrl forwards the request with additional information about the output port to the interconnect matrix, which connects the input port with calculated output port. If this is done the RoutCtrl signals the successful. routing operation to InCtrl. Because the actual reached routing state is not final it is necessary to store the actual state. This happens within the queue-request-registerfile (QueueRRF) . Therefore the InCtrl is directly connected to the QueueRRF and is able to store the desired information. At this point the related input and output links reach the temporal link state and are temporarily not available for other routing processes.
  • the InCtrl Due the fact that the QueueRRF is able to store more than one routing entry, the InCtrl is able to hold multiple routing processes at the same time. But for the purpose of high hardware area consumption the direction calculation is realized once within the RoutCtrl.
  • the established temporal routing stays stored within the QueueRRF till the point the successor cell acknowledges the routing.
  • the InCtrl clear the according entry in the QueueRRF and signals the ' successful routing to the InCtrl .
  • the InRegCtrl changes into the state logical route and signal the predecessor cell the successfully finished routing process. The other case can happen if the successor cell is not able to establish the desired route.
  • the InCtrl forwards a new request to the RoutCtrl based on the QueueRRF-entry. This request leads to new routing suggestion which will be stored within the QueueRRF.
  • InCtrl If all available and expedient directions are checked and routing trials failed the InCtrl signals to InRegCtrl the failed routing. The InCtrl signals the same routing miss to the predecessor cell and finishes the routing process in the current cell.
  • the routing unit establishes a desired routing. Those exceptions affect the source and the target cell. The exception in both cases is that as well the source cell as the target cell do not need to route the started/ending routing through the interconnect matrix.
  • the InRegCtrl doesn't have to acknowledge the successful routing the predecessor it just has to consume the actual routing instruction in the InReg instead. This happens after the InCtrl signals the successful routing. Additionally the InReg switches the output multiplexer associated to the output port of the FM and finishes the routing establishment. The information needed the switch the right output multiplexer gets the InCtrl from the RoutCtrl.
  • the second exception concerns the target routing cell.
  • the InRegCtrl has the last job to finish the routing process by deleting the routing instruction and going to logical state.
  • end packets For releasing of the logically established routings we introduced special instructions, so called end packets.
  • the only purpose of those instructions is the route-dissolving by inject the necessary end packet into the logic established routing.
  • the second way for route releasing is the local route releasing. Here it is possible to release single established routes between output and input ports of FMs. The end packets are not propagated through the FMs. In this case the end packet will be consumed by the last InRegCtrl .
  • the internal RU communication is similar to the routing process. If the InRegCtrl determines incoming end packet and the InRegCtrl is in the logic route state, the InRegCtrl forwards the route release request to the InCtrl. The InCtrl clears the entries either within the interconnect matrix or within the input multiplexers registers or within the output multiplexer registers. Meanwhile the InRegCtrl consumes (in case of the local end packet and last cell in the chain) the instruction and goes to the idle state. If the end packet was a global instruction the InRegCtrl forwards alway the end packet to the successor. , '
  • the instructions For the purpose of priority control, we introduced a priority system to influence the order in which the RU serves the incoming routing requests. Therefore the instructions contain priority fields which describe the priority level. Higher values in this field result in higher priority und will be preferred by the RU during the runtime routing.
  • the priority field has direct influence on the selection of the incoming routing requests from the InRegCtrls to InCtrl.
  • Some inner configuration communication streams require strictly defined latency to reach the desired performance. Therefore it is very important to keep the maximum register chain length. To decrease the latency of the routed streams its is necessary to ensure that the array chose always the best routing between source and target, but this requirement may lead to not routable streams if this feature will be always required. To ease this problem we introduced a special bit within the routing instruction, so called optimum bit (OptBit) . This bit has to be activated if the optimum routing is definitely required. In this case the array tries to reach this requirement und delivers an ⁇ interrupt if fails.
  • the alternative to reach the required latency is the speed path counter.
  • This counter gives the possibility to bypass a specific number of registers before buffering again. Therefore we defined a reference value and the counter value. Both numbers are stored within the instruction field. Each passed cell respective the RU compares the counter value and the reference- value. If both values are equal then the actual cell buffers the stream and resets the counter. If the counter is smaller than the reference value the current buffer will be bypassed and the counter incremented by one. In this way it is possible to bypass a number of buffers which equals exactly to reference value.
  • one single point-to- point link connects two neighbor cells respective the RUs within those cells.
  • One coarse-grained link consists of a set of wires, e.g. 32 wires for one 32 link, and additionally protocol signals. The whole vector is handled by a single set of control signals which makes this communication resource not usable for multi-grained communication.
  • Multi-grained Routing In order to route multi-grained channels it's necessary to use the coarse grained links to support the routing process.
  • the idea is to route two links in parallel, one coarse-grained link to support multi-grained routing and one multi-grained link, which will contain the final multi-grained stream. Therefore we defined a two packet routing instruction with needed data fields .
  • the first instruction packet contains - compared to coarsegrained routing instruction - additional bit mask to specify used multi- grained sub-links and multi-grained link ID to identify the associated multi-grained link.
  • the other features like described above - optimum bit, speed path, priority routing - are support in this routing mode as well.
  • the routing process within the RU is performed similar " to the coarsegrained routing.
  • the first packet which arrives in a cell is analyzed by the InRegCtrl and a request is generated and forwarded to the InCtrl.
  • InCtrl forwards the request to the RoutCtrl and wait for the acknowledgement. If RoutCtrl finds one possible routing direction, the InCtrl gets the successful acknowledgement and the temporal routing will be established by the RoutCtrl. Next, the actual job will be stored within the QueueRRP and the InCtrl waits for the acknowledgement from the successor cell. If RoutCtrl is not able to find a possible routing, the InCtrl gets negative acknowledgement and which will be forwarded to the associated InRegCtrl, which generates the route unable signal to the predecessor cell and quits the routing process within this cell.
  • the InRegCtrl clears the related entry in the QueueRRP and finishes the routing. If the successor cell is not able to establish a rout to the destination cell, it generates negative acknowledgement signal. Hereupon, the InCtlr starts new request to the RoutCtrl and handle the responses as described above.
  • the difference between the coarse-grained routing and multi-grained routing lies in the handling of the multi-grained interconnect matrix. Each strip of a multi-grained link is handled separately.
  • the RoutCtrl forwards the switch request to the strip matcher.
  • Strip matcher has the job to analyze the input strips and to match them to the output link according to already used strips. What strip matcher is doing is to map the problem of strip matching into the time domain and switches the needed switchboxes for each strip separately one after another.

Abstract

A reconfigurable data processing device comprising a multidimensional array of coarse grained logic elements processing data and operating at a first clock rate and communicating with one another via communication lines operated at a second clock rate, wherein the first clock rate is higher than the second and wherein the coarse grained logic elements comprise storage means for storing data needed to be processed.

Description

Data processing device and method The present invention relates to recon igurable computing. In particular, the present invention relates to improvements in the architecture of rβconfigurable devices. Reconfigurable data procesing arrays are known in the art. Reference is being made to the previous applications and/or publications of the present applicant/assignee all of which are encorporated herein by way of reference. Accordingly, the devices described hereinafter may be multidimensional (n>l) arrays comprinsing coarse grained computing and/or data operation elements allowing for runtime reconfiguration of the entire array or parts thereof, preferably in response to a signal indicating reconfigurability to a loading unit (CT, CM or the like) .
Now, several of these data procesing arrays have been built (i.e. Xppl, XPP128, XPP2, XPP64) . It is however desirable to improve the known device further as well as to improve methods of its operation. Accordingly, in order to achieve this object there will be described a number of improvements allowing separately or in commo to improve the performance and /or power consumption and /or cost of the device.
A first way to improve the known devices is to improve the functionability of each single processor element. It has been previously suggested to include a ring-memory (RΪNGSPEICHER) in the array, to store instructions in the ring-memory and to provide a pointer that points to one of the ring-memory adres- ses so as to select an instruction to be carried out next . Furthermore, it has been suggested to provide at least one „ shadow configuration" and to switch over between several configurations /shadow configurations. Anotrher or additional suggestions has been designated as „wave reconfiguration". hile these known methods improve the performance of a recon¬ figurable device, there seems to be both a need and a possibility for further improvements.
It is to be understood that while in the following description, a detailed example is given, for example with respect to the number of registers given associated with eachj PAE, it is not deemed necessary to provide an ALU with exactly this num¬ ber of registers. Rather, it will be understood by the average skilled person that deviations from the explicitly described embodiment are easily feasible and that the detailed level of description stems from an effort to provide an exemplary PAE and not from the wish to restrict the scope of invention. - SUBSTITUTESHEET(RULE58) 1 Overview of changes vs . XPP XPP-II
1.1 ALU-PAE Architecture
In the suggested improved architecture, a PAE might e.g. comprise 4 input ports and 4 output ports. Embedded with each PAE is the_FREG -path newly named DF with its dataflow capabilities, like MERGE, SWAP, DEMUX as well as ELUT.
2 input ports RiO and Ril are directly connected to the ALU. Two output ports receive the ALU results. . '
Ri2 and Ri3 are typically fed to the DF path which output is Ro2 and Ro3.
Alternatively Ri2 and Ri3 can serve as inputs for the ALU as well. This extension is needed to provide a suitable amount of ALU inputs if Function Folding (as described later) is used. In this mode Ro2 and Ro3 serve as additional outputs.
Associated to each data register (Ri or Ro) is- an event port (Ei or Eo) .
Tt is possible, albeit not necessary to implement an additional data and event bypass BRiO-l f BEiO-. The decision depends on how often Function Folding will be used and how many inputs and outputs are required in average.
Figure imgf000004_0001
Figure imgf000004_0002
1.1.1 Other extensions
SIMD operation is implemented, in the ALUs to support 8 and 16 bit wide data words for i.e. graphics and imaging.
Saturation is supported for ADD/SUB/MUL instructions for i.e. voice, video and imaging algorithms.
1.2 Function Folding
1.2.1 Basics and input/output paradigms
Within this chapter the basic operation paradigms of the XPP architecture are repeated for a better understanding based on Petri-Nets. In addition the Petri-Nets will be enhanced for a better understanding of the subsequently described changes of the current XPP architecture.
In most arrays each PAE operates as a data flow node as defined by Perti-Nets. (Some arrays might have parts that have other functions and should thus be not considered as a standard PAE) . A Petri-Net supports a calculation of multiple inputs and produces one single output. Special for a Perti-Net is, that the operation is delayed until all input data is available.
For the XPP technology this means: 1. all necessary data is available 2. all necessary events are available
The quantity of data - and events is defined by the data and control flow, the availability is displayed at runtime by the handshake protocol RDY/ACK.
Figure imgf000005_0001
The thick arbor indicates the operation, the dot on the right side indicates that the- operation is delayed until all inputs are available.
Enhancing the basic methodology function folding supports multiple operations - maybe even sequential - instead of one, defined as a Cycle. It is important that the basics of Petri- Nets remain unchanged.
Figure imgf000006_0001
Typical PAE-like Petri-Nets consume one input packet per one operation. For sequential operation multiple reads of the same input packet are supported. However, the interface model again keeps unchanged.
Data duplication occurs in the output path of the Petri-Net, which does not influence the operation basics again.
Figure imgf000006_0002
1.2.2 Method of Function Folding
One of the most important extensions is the capability to fold multiple PAE functions onto on PAE and execute them in a sequential manner. It is important to understand that the intention is not to support sequential processing or even microcontroller capabilities at all. The intention of Function Folding is just to take multiple dataflow operations and map them on a single PAE, using a register structure instead, of a network between each function.
One goal may be to save silicon area by rising to clock frequency locally in the PAEs. An additional expectation is to save power since the busses operate, at a fraction of the clock frequencies of the PAEs. Data transfers over the busses, which consume_much. power, are reduced.
Figure imgf000007_0001
RoO Ro1 Ro2 PAE Frequency 200MHz Bus Frequency 50MHz XPP V2 Rcunction I/O allocation PAE Frequency 50lv1Hz Register allocation Bus Frequency 50MHz
STEP 1 STEP 2 STEP 3a STEP 3b
The internal registers can be implemented in different ways, e.g. in one of the following two:
1. dataflow model
Each register (r' ) has a valid bit which is set as soon as data has-been written into the register and reset after the data has been read. Data cannot be written if valid is set, data can not be read if valid is not set. This approach implements a 100% compatible dataflow behaviour.
2. sequencer model
The registers have no associated valid bits. The PAE operates as a sequencer, whereas at the edges of the PAE (the bus connects) the paradigm is changed to the XPP-like dataflow behaviour.
Even if at first the dataflow model seems preferable, it has major down sides. One is that a high amount of register is needed to implement each data path and data duplication is quite complicated and not efficient. Another is that sometimes a limited sequential operation simplifies programming and hardware effort.
Therefore it is assumed consecutively that sequencer model is implemented. Since pure dataflow can be folded using, automatic tools the programmer should stay within the dataflow paradigm and not be confused with the additional capabilities. Auto- atic tools must take care i.e. while register allocation that the paradigm is not violated.
The following figure shows that using sequencer model only 2 registers (instead of 4) are required:
Figure imgf000008_0001
RoO Ro1 Ro2 PAE frequency 200MHz Bus frequency 50MHz XPP V2 function I/O allocation PAE frequency 50MHz Register allocation Bus frequency 50MHz
STEP 1 STEP 2 STEP 3a STEP 3b
For allowing complex function like i.e. address generation as well as algorithms like "IMEC'-like data stream operations the PAE has not only 4 instruction registers implemented but 8, whereas the maximum bus-clock vs. PAE-clock ration is limited to a factor of 4 for usual function folding.
It is expected that the size of the new PAE supporting Function Folding will increase by max. 25%. On the other hand 4 PAEs are reduced to 1.
Assuming that in average not the optimum but only about 3 functions can be folded onto a single PAE a XPP64 could be replaced by a XPP21. Taking the larger PAEs into account the functionality of a XPP64 XPP-IΪ should be executable on a XPP XPP-III with an area of less than half. The function folding method and apparatus as well as otrher further improvements will be described in even more detailed hereinafter.
Equality of internal data registers and bus transfers
The function fold concept realises two different -models of data processing:
a) Sequential model, wherein within the PAE the same rules apply as in von-Neuman- and Harvard-processors. b) PACT VPU-model, wherein data are calculated or operated upon in arbitrary order according to the PETRI-Net-Model (data flow + synchronisation) .
Due to the unpredictability of the arrival of data at the input registers (IR) a deadlock or at a least significant reduction in performance could occur if the commands in RC0...RCn were to be performed in a linear manner. In particular, if feed-backs of the PAE outputs to the inputs of the PAE are present, deadlocks might occur. This can be avoided if the instructions are not to be processed in a given order but rather according to the possibility of their processing, that is, one instruction can be carried, out as soon as all conditions of the VPU-model are fulfilled. Therefore, for example, once all RDY-handshakes of incoming data, ACK-handshakes of outgoing data and, if necessary, triggers (including their handshakes) are valid, then the instruction can be carried out. As the FF PAE has data additionally stored in internal registers, their validity and status has to be checkable as well in a preferred embodiment. Therefor, every internal data register (RDO..-. RDn) is separately assigned a valid bit indicating whether or not valid data are present in the register. When writing data into the register, valid is set, when reading, valid is reset. Data can be read only if "valid" is set and can be written only if "valid" is not set. Accordingly, the valid flag corresponds most closely to the status that is produced in the state machines of bus systems by the transmittal of RDY/ACK- handshakes. It is a preferred embodiment and considered to be inventive to provide a register with a status bit in that way.
It is therefore possible to carry out instructions at the time when all conditions for the execution - again very similar to PETRI-nets are fulfilled.
Basically, there are two methods available for selection of instruction and control of their execution described herein after.
Method A: FF PAE Program Pointer (Finite State Machine & Program Pointer-Approach)
Figure imgf000010_0002
Figure imgf000010_0001
Fig. 1
According to the control principle of sequential processors, a program counter is used to select a certain instruction within the instruction memory. A finite state machine controls the program counter. This finite state machine now checks whether or not all conditions for the instruction in RC (PC) , that is the instruction, onto which the PC (Program Counter) points, are fulfilled. To do so, the respective RDY- and/or ACK- handshakes of the in- and/or outputs needed for the execution of the instructions are checked. Furthermore, the valid-flags of the internal registers to be read (RD0..RDn) are checked so as to control whether or not they are set, and the valid-flags of those internal registers (RD0..RDn) into which is to be written, are checked whether they are not set. -If one of the conditions is not fulfilled, the instructions will not be carried out. PC is controlled to count further, the instruction is. skipped and the next instruction is selected and checked as described.
The advantage of this method is the compatibility with sequential processor models. The disadvantage resides in the necessity to test and to skip instructions. Both of which might result in significant losses of performance under certain circumstances.
Method B: FF PAE Program Pointer (Enabler & Arbiter-Approach)
Figure imgf000012_0001
Fig. 2
This method is based upon the possibility to test all instructions in RcO.. Ren in parallel. In order to save the expense of the complete decoding of array instructions, each RC is assigned an entry in an evaluation mask field, the length of which corresponds to the maximum number of states to be tested; therefore, for every possible RDY- or ACK-trigger- signal (as well the RDY/ACKs of the triggers) as well as for every valid bit in RD0...RDn two bits are available indicating whether or not the respective signal is to be set or not set; or, whether the state of the signal is unimportant for the execution of the instruction.
Example mask
Figure imgf000012_0002
The mask shows only some entries. At In-Trigger, both the state of the trigger (set, not set) as well as the value of the trigger (trigger value) can be tested via RDY-value.
A test logic testing via for example the Line Control described herein after all instructions in parallel. Using an arbiter, an instruction of the set of all executables is selected. The arbiter controls the instruction multiplexer via ISel according to the transferral of the selected instructions to the PAE.
The Line Control has one single line of Boolean test logic for every single instruction. By means of an ExOR-gate (e) the value of the signal to be tested against the setting in em of the line is checked. By means of an OR-gate (4-) respectively, a selection is carried out, whether the checked signal is relevant (don't care) . The results of all checked signals are ANDed. A logic 1 at the output of the AND-gates (&) shows an executable instruction. For every RC, a different test-line exists. All test-lines are evaluated in parallel. An arbiter having one of a number of possible implementations such as a priority arbiter, Round-Robin-Arbiter and so forth, selects one instruction for execution out of all executable instructions. There are further implementations possible obvious to the average skilled person. Those variants might be widely equivalent in the way of operation and function. In particular, the possibility of using "negative logic" is to be mentioned.
Figure imgf000014_0001
Fig.3
The following figure gives an overview of the entire circuitry:
Figure imgf000015_0001
Fig. 4
Advantages of the method are: Significantly fast, in view of the fact that one instruction can be carried out in every single clock Reduced power consumption, since no energy is wasted on disgaxded—cycles which is in particular advantageous to the static power dissipation. Similar hardware expense as in the sequential solution when using small and medium sized configuration memories (RC) therefor similar costs.
Disadvantages : Likely to be significantly more expensive on large RC; therefore, an optimisation is suggested for. a given set of applications. .' In order to implement the sequencer mode (compare other parts of the application) the program counter having an FSM must be provided for. The FSM then is restricted to the tasks of the sequencer so that the additional expenses and the additional costs are relatively low. Depopulated Busses according to the State of the Art
All busses assigned to a certain PAE are connected to the input registers (IR) or the output registers of the PAE are connected to all busses respectively (compare for example DE 100 50 442.6 or the XPP/VPU-handbooks of the applicant).
It has been realised that PAEs, in particular FF PAEs, allow for a depopulation of bus interconnects, in particular, if more IR/OR will be available compared to the State of the Art of the XPP as previously known. The depopulation, that is the reductions of the possibilities to connect the IR or ER onto the busses can be symmetrically or asymmetrically. The depopulation will typically amount to 20 to 70 %. It is significant that the depopulation will not or not significantly effect the interconnectability and/or the routability of an algorithm in a negative way.
The method of depopulation is particularly relevant in view of the fact that several results can be achieved. The hardware- expense and thus the costs of the bus systems can be reduced significantly; the speed of the busses is increased since the gate delay is reduced by the minimisation of connecting points; simultaneously, the power consumption of the busses is reduced.
A preferred depopulation according to the VPU-architecture according to the State of the Art, however, with more IR/OR is shown in the following figure.
Figure imgf000017_0001
Fig. 5
In particular, reference is being made to an optional extension of the bus architecture allowing for a direct next neighbour data transfer of two adjacent PAEs, in particular two PAEs placed one onto the other. Here, the outputs (OR) of one PAE are directly connected to a dedicated bus which is then directly connected to the inputs (IR) of a neighbouring PAE (compare next figure) . The figure only shows an horizontal next neighbour bus, however, in general, vertical busses are possible as well.
In the figure, the shaded circles stand for possible bus connects: MUX. Double circuits stand for a connection from the bus: DeMUX. Changes of the PAE IO
The following figure shows the State of the Art of a PAE implementation as known from XP.U128, XPP64A and described in DE 100 50 442:6
The known PAE has a main data flow in the direction from top to bottom to the main ALU in the PAE-core. At the left and right side, data channels are placed additionally transmitting data along the main data flow direction, once the same direction as the main data flow (FREG) and once in the reverse direction (BREG) . On both sides of the PAE, data busses are provided that run in the reverse direction of the main data flow of the PAE and onto which the PAE as well as FREG and BREG are connected. The architecture of the State of the Art requires eight data busses for each PAE side as well as four transfer channels for FREG/BREG for typical applications.
Bus=8 FREG, BREG =4
Figure imgf000018_0001
Fig.6
The bus system of the State of the Art has switching elements, register elements (R) , each at the side of the PAEs. The switching elements allow for the disruption of a bus segment or- disconnection to a neighbouring bus, the register elements allow the construction of an efficient pipelining by transferring data through the register, so as to allow for higher transferral band-width. The typical latency in vertical direction for next-neighbour-transmitting is 0 per segment, however is 0,5-1 in horizontal direction per segment and higher frequencies .
Figure imgf000019_0001
Fig.7
Now, a modified PAE structure is suggested, wherein two ALUs, each having a different main data flow direction are provided in each PAE, allowing for significantly improved routability. On one hand, the tools used for routing are better and simpler, on the other hand, a significant reduction in hardware resources is achieved. First tests shows that the number of busses necessary in horizontal direction is reduced by about 25 % over the State of the Art. The vertical connects in FREG/BREG (= BYPASS) can even be reduced by about 50 %. Also, it is no more necessary to distinguish between FREG and BREG as was necessary in DE 100 50 442.6.
Figure imgf000020_0001
Bus = 6 FREG, BREG = 2
Fig. 8
The double-ALU structure has been further developed to an ALU-PAE having inputs and outputs in both directions. Using automatic routers as well as hand-routed applications, further additional significant improvements of the network topology can be shown. The number of busses necessary seems to be reduced to about 50 % over the State of the Art, the number of vertical connects in the FREG/BREG (= BYPASS) can be reduced by about 75 %.
Figure imgf000020_0002
Bus = 4 FREG, BREG = 1
Fig. 9
For this preferred embodiment which can be used for conventional as well as for function fold ALUs, it is possible to place register and switching elements in the busses in the middle of the PAE instead of at the sides thereof (see Fig, below) .
Figure imgf000021_0001
Fig.10
In this way, it is possible even for high frequencies to transmit data in horizontal direction to the respective neighbouring PAE without having to go through a register element. Accordingly, it is possible to set up next neighbour connections in vertical and horizontal directions which are latency free (compare State of the Art and drawings referring to depopulated busses) . The example of the interconnections shown in the respective figure allows transferral having zero latency in- ertical direction and horizontally from left to right. Using an optimisation of PAE interface structure a latency free next neighbouring transmission in both horizontal directions can be achieved. If in every corner of the PAE input register (IR, arrow of bus into PAE) from bus and output register (OR, arrow from PAE to bus) to the bus are implemented, each neighbouring PAE can exchange data without latency (see Fig) .
Figure imgf000022_0001
Fig.11
It is possible to further optimise the above disclosed PAE arrangement. This can be done by using no separate bypass at all in all or some of the PAEs. The perferred embodiment comprises two ALUs, one of these being "complete" and having all necessary functions, for example-multiplication and BarrelShift while the second has a reduced instruction set eliminating functions that require larger arrays such as multiplication and BarrelShift. The second ALU is in a way replacing BYPASS (as drawn) . There are several possible positions for the register in switching elements per bus system, and. two of the preferred positions per bus are shown in Fig. 12 below in dotted lines.
Figure imgf000023_0001
Fig.12
Both ALUs comprise additional circuits to transfer data between the busses so as to implement the function of the bypass. A number of possible ways of implementations exist and two of these shall be explained as an example..
a) Multiplexer
Configurable multiplexers within the ALU are connected so that ALU inputs are bypassing the ALU and are directly connected to their outputs.
b) MOVE instruction
A MOVE instruction, stored in RcO.. Ren is transferring within the respective processing clock of the function fol the data according to the input specified within the instruction to the specified output.
Superscalarity/ Pipelining
It is possible and suggested as first way of improving performance to provide roughly superscalar FF ALU-PAEs which cal- culate for example 2,4,8 operations per bus clock @ FF=2,4,8, even while using the MUL opcode.
The basic concept is to maJe use of the VALID-flags of each internal register. MUL is implemented as one single opcode which is pipelined over two stages.
MUL takes its operands from the input registers Ri and stores the results into internal data registers Rd. VALID is set if data is stored into Rd. ADD (or any other Opcode, such as BSFT) uses the result in Rd if VALID is set; if not the execution is skipped according to the specified VALID behaviour. In addition the timing changes for all OpCodes,' if the MUL instruction is used inside a PAE configuration. In this case all usually single cycle OpCodes will change to pipelined 2 cycle OpCodes. The change is achieved by inserting a bypass able multiplexer into the data stream as well as into control.
The following program will be explained in detail: MUL (RdO, Rdl), RiO, Ril; ADD RoO, Rdl, Ri2;
In the first bus-cycle after configuration (to) MUL is executed (assuming the availability of data at RiO/1) . The register pair RdO/1 is invalid during the whole bus-cycle, which means during both FF-PAE internal clock cycles. Therefore ADD is not executed in the 2nd clock cycle. After t0 the result of MUL is written into the register pair, which VALID flags are set at the same time.
In ti new data is multiplied. Since the VALID is set for RdO/1 now the ADD command is executed in the 2nd clock cycle, but takes 2 clock cycles for over all execution. Therefore operand read and result write is inline for both operations, MUL as well as ADD.
The result of a MUL-ADD combination is available with 2 clocks latency in a FE=2 ALU-PAE. For FF >= 6 no latency is inserted.
However since multiplication and all other commands are processed in parallel the machine streams afterwards without any additional delays.
Figure imgf000025_0001
Figure imgf000025_0003
Figure imgf000025_0002
If there are OpCodes besides MUL which require 2 clock cycles for execution (e.g. BSTF) the architecture must be modified to allow at least 3 data writes to registers after the second internal clock cycle.
The data path output multiplexer gets 2 times larger as well as the bus system to the output registers (OR) and the feedback path to the internal data registers (Rd) . If accordingly defined for the OpCodes, more than 4 internal registers can be used without increasing the complexity by using enables (en) to select the specific register to write in the data. Multiple registers are connected to the same bus, e.g. RdO, Rd4, Rd8, Rdl2. However not all combinations of register transfers are possible with this structure. If e.g. MUL uses RdO and Rdl the following registers are blocked for the OpCode executed in parallel: Rd4, 5, 8, 9, 12, 13.
Register map:
Figure imgf000026_0002
Datapath architecture:
Figure imgf000026_0001
The Segμencer PAEs
Since there is a need to be able to run control flow dominated applications on the XPP III as well, Sequencer PAEs will be introduced. Such a PAE can be thought of as a very simple kind of processor which is capable to run sequential code within the XPP. This allows the efficient implementation of control flow oriented applications like the H.264 Codec on the array whereas with SEQ-PAEs missing the realization would be more difficult and resource consuming. , '
The SEQ-PAEs are not build from scratch. Instead such a tile will be build up by a closely coupling of a ALU-PAE and neighboring RAM-PAE, which can be seen in Figure 1.
Figure imgf000027_0001
! configurable j Sequencer
Figure imgf000027_0002
Figure 1 : Configurabel Sequencer
Therefore the functionality of the ALU- as well as RAM-PAE has to be enhanced to be .able to fulfill the requirements of such a SQE-PAE. This information will be given next.
ALU-PAE Enhancements
The extended version of the ALU-PAE is' given in Figure 2. To the right border the registers which are controlling the different modules can be seen. Those registers will be used in normal- as well as in SEQ-mode. Therefore the appropriate control signals from the local configuration manager and the RAM- PAE are first merged by OR-Gates and then are forwarded to the register whereas it has to be ensured that in normal mode the signals from the RAM-PAE are .0 and vice versa.
Further more, since the ALU-PAE marks the execution part of the tiny processor, there is a need to transfer values to and from the internal register directly to the RAM. Therefore a additional multiplexer AMI is inserted in the multiplexer hi- erarch of section 2. In the normal mode this multiplexer feeds the word from its predecessor to the next stage whereas in the SEQ mode an immediate value provided by the Imm. Register will be delivered. In addition in SEQ mode a value of one of the internal registers can be delivered to the RAM-PAE via the output of the multiplexer. However, it has also to be considered to provide a "LOAD reg, imm" since this is not much slower than „ADD reg, reg, imm"
To enable the RAM-PAE to write data to the internal register of the ALU-PAE another multiplexer is inserted in the multiplexer chain of section 4. Similar to the scenario given above this multiplexer will only be activated in SEQ mode whereas in normal mode this multiplexer will just forward the data of its predecessor. In one preferred embodiment, it is suggested to place RS2 be written in )]
Figure imgf000028_0002
Figure imgf000028_0001
Figure 2 : Enhanced Version of the ALU-PAE As it has already been discussed, data can be processed during one or two cycles by the ALU-PAE depending on the selected arithmetic function. Due to the auto synchronization feature of the XPP and due to the fact that in normal mode a successive operation will not start before the previous one is finished, it does not really care if an operation lasts one or two clock cycles. Whereas the tile is working in SEQ mode there is a difference since we assume to have a pipeline character. This means that a one cycle operation could run in parallel with a two cycle module where the operation would be executed in stage two at this time. Due to the limited multiplexing capacities of a word - 16 Bit - only one result could be written to the connected registers whereas the other one would be lost. In general there are three possibilities to solve this problem.
The first one could be that the compiler is capable to handle this problem. This would mean that it has to know about the pipeline structure of the whole SEQ-PAE as well as of a tile in detail. To prohibit a parallel execution the compile would have to add a NOP to every two cycle instruction for the structure given above. However this idea seems not to be convenient due to the strong relation between the hardware structure and the compiler. The drawback would be that every time changes are made to the hardware the compile would most likely have to be trimmed to the new structure.
The second idea could be to recognize such a situation in the decode stage of the pipeline. If a two cycle instruction is directly followed by an instruction accessing a one stage arithmetic unit it has to be delayed by one clock cycle as well.
The last possibility is to make the complete ALU-PAE look like a two stage execution unit. Therefore only one register has to be included in the multiplexer chain of section four right after the crossover from the multiplexer separating the one stage of the two stage modules. Obviously, this is preferred.
Comparing the . last to ideas the third one seems to be the best one since only one register has to be inserted. If we a closer look to the second solution special logic would be needed for ' analyzing the disallowed combination of instructions as well as logic for stopping the program counter (PC) and the instruction retardation. It has to be assumed that- this logic would require much more area than the registers as well as the fact that the delay of the logic would possibly increase the critical path.
Since it has to be distinguished between the SEQ and the normal mode where a one cycle execution should still be available. This possibility is given by a multiplexer which allows to bypass the RS2 Register as shown in the corresponding figure. (Figure 2) The BAM-PAE
A short description of the stages
To get the SEQ-PAE working there still has to be provided more functionality. Right now the RAM-PAE will take care of it. As a first approach for realizing the sequencer a four stage pipeline has been chosen. The stages are, as it can be seen in Figure 3:
• The fetch stage • The decode stage • The execution stage 1 • The execution stage 2
In the fetch stage the program counter for the next clock cycle will be calculated. This means that it will be either incremented by 1 via a local adder or one of the program counters from the decode or execution stage 2 will be selected. The program counter of the execution stage thereby provides the address if a call instruction occurred whereas the program counter of- -the execution stage provides the PC if there has been a conditional jump. Right now the branch address can'ei- ther be calculated out of the current PC and a value which either be an immediate value or a value from a internal registers of the ALU-RAM - indirect addressing mode - or an absolute value. This e.g. is necessary if there is return from a subroutine' to the previous context whereas the according absolute PC will be provided by the stack bank.
In the decode stage the instruction coming from the Gode bank will be decoded. Necessary control signals and, if needed, the immediate, value for the internal execution stage 1 as well as for the execution stage 1 of the ALU-PAE will be generated. The signals include the control information for the multiplexers and gating stages of section two of the ALU-PAE, the operation selection of the ALU's tiles, e.g. signed or unsigned multiplication, and the information whether the stack pointer (SP) should be in/decremented or kept unchanged in the next stage- depending on the fact if the instruction is either a call or jump, in case a call instruction occurred a new PC will be calculated in parallel and delivered to the fetch stage.
Furthermore the read address and read enable signal to the data bank will be generated in case of a load instruction. In the execution stage 1, which by the way is the first stage available on the ALU as well as on the RAM-PAE, the control signals for execution stage 2 of the ALU-PAE are generated. Those...signal will take care that the correct output of one of the arithmetical tiles will be selected and written to the enabled registers. If the instruction should be a conditional jump or return the stack pointer will be modified in this stage. In parallel the actual PC will be saved to the stack bank at the address give by the Rsp EXl register in case of a branch. Otherwise, in case of a return, the read address as well as the read enable .signal will be applied to the stack bank.
In execution stage 2 the value of the PC will be calculated and provided to the multiplexer in the fetch stage in case of a jump. At the time write address arid write enable signal to the data bank are generated if data from the ALU have to be saved. , -
Instead of two adders, it is possible to provide only one in the rpp path.
Figure imgf000031_0001
Figure 3 : Overview of the RAM-PAE Pipeline actions In the following section a short overview of the actions that are taking place in the four stages will be given for some basic instructions. It should ' help to understand the behaviour of the pipeline. Since the instruction which is going to be discussed will be available at the instruction register the actins of the fetch stage will be omitted in this representation. IR: Instruction Register DR: Data Register DB: Data Bank SBR : Store/Branch Register Instruction: Load value from data bank to R[n]
Figure imgf000032_0001
Instruction: Sore value from R[n] to data bank
Figure imgf000032_0002
1.3 Array S ructure
First advantages over the prior art are obtained by using function folding PAEs. These as well as other PAEs can be improved.
The XPP-II structure of the PAEs consumes much area for FREG and BREG and their associated bus interfaces. I-h addition feed backs through the FREGs require the insertion of registers into the feedback path, which result not only in an increased latency but also in a negative impact onto the throughput and performance of the XPP.
A new PAE structure and arrangement is proposed with the expectation to minimize latency and optimize the bus interconnect structure to achieve an optimized area.
The XPP-III PAE structure does not include BREGs any more. As a replacement the ALUs are alternating flipped horizontally which leads to improved placement and routing capabilities especially for feedback paths i.e. of loops.
Each PAE contains now two ALUs and two BP paths, one from top to bottom. and one flipped from bottom to top.
Figure imgf000033_0001
Figure imgf000033_0002
PAE Structure and PAE Structure and arrangement V2.0 arrangement V2.2
1.4 Bus modi ications
Within this chapter optimizations are described which might reduce the required area and the amount of busses . However, those modifications comprise several proposals, since they have to be evaluated based on real algorithms . It is possible to e. g. compose a questionnaire to collect the necessary input from the application programmes .
1.4.1 Next neighbour In XPP-II architecture a direct horizontal data path between two PAEs block a vertical data bus. This effect increases the required vertical busses within a XPP and drives cost unnecessarily. Therefore in XPP-III a direct feed path between horizontal PAEs is proposed. f
In addition horizontal busses- of different length are proposed, i.e. next neighbour, crossing 2 PAEs, crossing 4 PAEs. •
1.4.2 Removal of registers in busses
In XPP-II registers are implemented in the vertical busses which can be switched on by configuration for longer paths. This registers can furthermore be preloaded by configuration which requires a significant amount of silicon area. It is proposed to not implement registers in the busses any more, but to use an enhanced DF or Bypass (PB) part within the PAEs which is able to reroute a path to the same bus using the DF or BP internal registers instead:
Figure imgf000034_0002
Figure imgf000034_0003
Figure imgf000034_0001
Here, it might be to decide how many resources are saved for the busses and how many are needed for the PAEs and /or how often must registers be inserted, are 1 or max. 2 paths enough per PAE (limit is two since DF/BP offers max. 2 inputs
1.4.3 Shifting n:l, l:n capabilities from busses to PAEs In XPP-II n:l and l:n transitions are supported by the busses which requires a significant amount of resources i.e. for the sample-and-hold stage of the handshake signals.
Depending on the size of n two different capabilities are provided with the new PAE structure: n < 2 The required operations are done within the
DF path of the PAE 2 < n < 4 The ALU path is required since 4 ports are necessary n > 4 Multiple ALUs have to be combined
This method saves a significant amount of static resources in silicon but requires dedicated PAE resources at runtime.
Here, it might be worthwhile to evaluate how much silicon area is saved per bus how often occurs n=2 , 2 ≤ n < 4 , n > 4 the ratio between saved silicon area and required PAE resource and to decide on the exact bus structure in repsonse to one or all of said criteria .
1.5 FSM in RAM-PAEs
In the XPP-II architecture implementing control structures is very costly, a lot of resources are required and programming is quite difficult.
However memories can be used for a simple FSMs implementation. The following enhancement of the RAM-PAEs offers a cheap and easy to program solution for many of the known control issues, including HDTV.
Figure imgf000035_0001
Basically the RAM-PAE is enhanced by an feedback from the data output to the address input through a register (FF) to supply subsequent address within each stage. Furthermore additional address inputs from the PAE array can cause conditional jumps, data output will generate event signals for the PAE array. Associated counters which can be reloaded and stepped by the memory output generate address input for conditional jumps (i.e. end of line, end of frame of a video picture) . A typical—RAM—PAE implementation has about 16-32 data bits but only 8-12 address bits. To optimize the range of input vectors it is therefore suggested to insert some multiplexers at the address inputs to select between multiple vectors, whereas the multiplexers are controlled by some of the output data bits.
One implementation for an XPP having 24bit wide data busses is sketched in the next figure. 4 event inputs are used as input, as well as the lower for bits of input port RiO. 3 counters are implemented, 4 events are generated as well as the lower 10 bits of the RoO port.
The memory organisation suggested here may be as follows: 8 address bits 24 data bits (22 used) 4 next address 8 multiplexer selectors 6 counter control (shared with 4 additional next address) 4 output
Figure imgf000037_0001
It is to be noted that the typical memory mode of the RAM-PAE is not sketched in the block diagram above.
The width of the counters is according to the bus width of the data busses.
For a 16 bit implementation it is suggested to. use the carry signal of the counters as their own reload signal (auto reload) , also some of the multiplexers are not driven by the memory but Λhard wired" by the configuration.
The proposed memory organisation is as follows: 8 address bits 16 data bits (16 used) 4 next address 4 multiplexer selectors 3 counter control (shared with 3 additional next address) 4 output
Figure imgf000038_0001
It is to be noted that actually the RAM-PAEs typically will not be scaleable any more since the 16-bit implementation is different from the 24-bit implementation . It is to decide whether the striped down 16-bit implementation is used for 24-bit als
1.6 IOAG interface
1.6.1 Address Generators and bit reversal addressing
Implemented within the 10 interfaces are address generators to support e.g. 1 to 3 dimensional addressing directly without any ALU-PAE resources. The address generation is then done by 3 counters, each of them has e.g. configurable base- address, length and step width.
The first counter (CNT1) has a step input to be controlled by the array of ALU-PAEs. Its carry is connected to the step input of CNT2,. which carry again is connected to the step input of CNT3.
Each counter generates carry if the value is equal to the configured length. Immediately with carry the counter is reset to its configured base address. One input is dedicated for addresses from the array of ALU- PAEs which can be added to the values of the counters. If one or more counters are not used they are configured to be zero. In addition CNT1 supports generation of bit reversal addressing by supplying multiple carry modes.
Figure imgf000039_0001
1.6.2 Support for different word width In general it is necessary to support multiple word width within the PAE array. 8 and 16 bit wide data words are preferred for a lot of algorithms i.e. graphics. In addition to the already described SIMD operation, the IOAG allows the split and merge of such smaller data words. Since the new PAE structure allows 4 input and 4 output ports, the IOAG can support word splitting and merging as follows:
Figure imgf000039_0002
Input ports are. merged within the IOAG for word writes to the 10. For output ports the read word is split according tb the configured word width.
1.7 Multi-Voltage Power Supply and Frequency Stepping PAEs and busses are build to perform depending on the workload. Therefore the clock frequency is configurable according to the data bandwidth, in addition clock gating for registers is supported, busses are decoupled using row of AND gates. Dynamically clock pulses are gated, whenever no data can be processed.
Depending on the clock frequency in the PAEs and the required bandwidth for the busses the voltage is scaled in an advanced architecture. Within the 4S project such methods are evaluated and commercially usable technologies are researched.
1.8 XPP / μP coupling
For a closed coupling of a μP and a XPP a cache and register interface would be the preferable structure for high level tools like C-compilers. However such a close coupling is expected not to be doable in a very first step.
Yet, two different kind of couplings may be possible for a tight coupling: a) memory coupling for large data streams: The most convenient method with the highest performance is a direct cache coupling, whereas an AMBA based memory coupling will be sufficient for the beginning (to be discussed with ATAIR) b) register coupling for small data and irregular MAC operations: Preferable is a direct coupling into the processors registers with an implicit synchronisation in the OF-stage of the processor pipeline. However coupling via load/store- or in/out-commands as external registers is acceptable with the penalty of a higher latency which causes some performance limitation.
2 Specification of ALU-PAE
2.1 Overview
In a preferred embodiment, the ALU-PAE comprises 3 paths: ALU arithmetic, logic and data flow handling BP bypass
Then, each of the paths contains 2 data busses and 1 event bus. The busses of the DF path can be rerouted to the ALU path by configuration.
2.2 ALU path Registers
The. ALU path comprises 12 data registers: RiO-3 Input data register 0-3 from bus RvO-3 Virtual output data register 0-3 to bus Rd0-3 Internal general purpose register 0-3 ViO-3 V event input 0-3 from bus UiO-3 U event input 0-3 from bus EvO-3 Virtual V event output register 0-3 to bus EuO-3 Virtual U event output register 0-3 to bus FuO-3 FvO-3 Internal Flag u and v registers according to the XPP-II PAE's event busses , J Ace Accumulator
Eight instruction- registers are implemented, each of them is 24 bit wide according to the opcode format. RcO-7 Instruction registers
Three special purpose registers are implemented: Rlc Loop Counter,, configured by CM, not accessible through ALU-PAE itself. Will be decremented according to JL opcode. Is reloaded after value 0 is reached. Rjb Jump-Back register to define the number of used entries in Rc[0..7]. It is not accessible through ALU-PAE itself. If Rpp is equal to Rjb, Rpp is immediately reset to 0. The jump back can be bound to a condition i.e. an incoming event. If the condition is missing, the jump back will be delayed. Rpp Program pointer
2.3 Data duplication and multiple input reads
Since Function Folding can operate in a purely data stream mode as well as in a sequential mode (see 1.2) it is useful to support Ri reads in dataflow mode (single read only) and sequential mode (multiple read) . The according protocols are described below:
Each input register, Ri can be configured to work in- one of two different modes: \
Dataflow Mode
This is the standard protocol of the XPP-II implementation: A data packet is taken read from the bus if the register is empty, an ACK handshake is generated. If the register is not empty ACK the data is not latched and ACK is not generated.. If the register contains data, it can be read once. Immediately with the read access the register is marked as empty. An empty register cannot be read.
Simplified .the protocol is defined as follows: RDY & empty → full → ACK RDY & full . .. → notACK READ & empty → stall READ & full → read data , ' → empty
Please note: pipeline effects are not taken into account in this description and protocol.
Sequencer Mode
The input interface is according to the bus protocol definition: A data packet is taken read from the bus if the register is empty, an ACK handshake is generated. If the register is not empty ACK the data is not latched and ACK is not generated.
If the register contains data it can be read multiple times during a sequence. A sequence is defined from Rpp = 0 to Rpp = Rjb. During this time no new data can be written into the register. Simultaneously with the reset of Rpp to 0 the register content is cleared an new data is accepted from the bus.
Simplified the protocol is defined as follows: RDY & empty → full → ACK RDY & full → notACK READ & empty stall READ & full read data (Rpp == Rjb) empty
Please note: pipeline effects are not taken into account in this description and protocol.
2.4 Data register and event handling
Data registers are directly addressed, each data register can be individually selected. Three address opcode form is used, rt *- rsl, rs0. An virtual output register is selected by adding o' behind the register. The result will be stored in rt and copied to the virtual output register rv as well according to the rule op out (rv, rt ) — rsl , rs0.
Please note, accessing input and (virtual) output registers follow the rules defined in chapter 2.3.
Figure imgf000043_0001
Events are used equal to data registers. All input and internal events can be addressed directly, output events are used whenever an Λo' is added behind the event.
Figure imgf000043_0002
Figure imgf000043_0003
Figure imgf000044_0001
2.4.1 Accumulator Mode
To achieve low power consumption and for better supporting DSP-like algorithms an accumulator register is available which can be addressed by just one set bit for the result register (ao) and- operand register (ai) .
For commutative operations always operand register 1 is replaced by ai. For non commutative operations as SUBtract operand register 1 selects, whether ai is the first or second operand. Operand register 2 defines the accordingly other operand.
Jt is to be noted that it has to be clarified whether a real Accumula tor mode makes sense or j ust a MAC-command should be implemented to handle the multiply accumulate in a single com¬ mand consuming two clock cycles with an implicit hidden accumulator access .
2.4.2 Parameter Stack Mode (PSTACK)
Unused entries in the Opcode Registers Re can operate as stack for constants and parameters. At Rpp == 0000 the Rps PStack registers points to Rjb +1, which, means the PStack area starts immediately behind the last entry in the Opcode register file.
To access the PStack, the FF-PAE must be in the Fast-Parameter Mode. Each read access to Ri3 is redirected to read, from the PStack, whereas after each read access the pointer incremented with one.' There is no check for an overflow of the PStack pointer implemented, an overflow is regarded as a program bug.
Figure imgf000045_0001
Programming Error
2.4.3 n: 1 Transitions n:l transitions are not supported within the busses any more. Alternatively simple writes to multiple output registers Ro and event outputs Eo are supported. The Virtual Output registers (Rv) and Virtual Event (Ev) are translated to real Output registers (Ro) and real Events (Eo) , whereas a virtual register can be mapped to multiple output registers .
To achieve this a configurable translation table is implemented for both data registers and event registers:
Figure imgf000045_0002
Example: -
RvO mapped to RoO, Rol Rvl mapped to Ro2 Rv2 mapped to Ro3 Rv3 unused Rv RoO Rol Ro2 Ro3
Figure imgf000046_0001
2.4.4 Accessing input and output registers (Ri/Rv) and events (Ei/Ev)
Independently from the opcode accessing input or output registers or events is defined as follows:
Readin an in ut register:
Figure imgf000046_0002
Writing- to an output register:
Figure imgf000046_0003
2.4.5 Multi-Config Mode
The Multi-Config Mode allows for selecting 1 out of maximum stored configurations. Incoming events .on Fui0,l and Fvi0,l select one of the 4 configurations. Only one Event shall be active at a clock, cycle.
The selection is done by a simple translation, each event points to a specific memory address.
Figure imgf000047_0001
Long configurations may use more than 3 opcode by using the next code segments as well. In this case, the according events can not be used .
Figure imgf000047_0002
2.5 Opcode format
24 bit wide 3 address opcodes are used in a preferred embodiment : op rt rb Source registers can be Ri and Rd, target registers are Rv and
Rd. A typical operation targets only Rd registers. If the source register for ra is Ri[x] the target register will be
Rd[x].
The translation is shown is the following table:
Figure imgf000048_0001
Each operation can target a Virtual Output Register Rv by adding an out tag as a target identifier to the opcode: op (rt, rot) <- ra, rb
Data is transferred to the virtual output register and to the according internal register as well:
Figure imgf000048_0002
2.5.1 Conditional Execution
The SKIPE command supports conditional execution. Either an event or ALU flag is tested for a specific value. Depending on the check either the next two addresses are executed (Rpp + 1). or skipped (Rpp + 3) . If an incoming event is checked, the program execution stops until the event is arrived at the event port (RDY handshake set) . ,.,
SKIPE supports conditional execution of any OpCode which is not larger than two memory entries.
In SEQ-PAEs, which support CALL and RET OpCodes, also stack based subroutine calls are supported.
2.6 Clock
The PAE can operate at a configurable clock frequency of lx Bus Clock 2x Bus Clock 4x Bus Clock [8x Bus Clock]
2.7 The DF path
The DataFlow path comprises the data registers Bri0..3 and Bro0..3 as well as the event register Bui/Bvi0..3 and Buo/BvoO ..3.
The main purpose of the DF path is to establish bus connections in the vertical direction. In addition the path includes a 4 stage FIFO for each of the data and event paths.
The DF path supports numerous instructions, whereas the instruction is selected by ' configuration and only one of them can be performed during a configuration, function folding is not available.
The following instructions are implemented in the DF path: 1. ADD, SUB 2. NOT, AND, OR, XOR 3. SHL, SHR, DSHL, . DSHR, DSHRU 4. EQ, CMP, CMPU 5. MERGE, DEMUX, SWAP 6. SORT, SORTU 7. ELUT
2.9 Parameter Broadcast and Update
Parameters and constants can be updated fast and synchronous using input register Ri3 and event input Ei7.
Figure imgf000050_0003
Figure imgf000050_0002
Figure imgf000050_0001
Depending on the update mode, data packets at the input register Ri3 are copied subsequently into Rd3, Rd2 and Rdl at each access of the according register by the PAE, if the event Ei7 is set. Afterwards all input data at Ri3 is propagated to the output register Ro3, also the Eo7 event output is set, to indicate following PAEs the occurrence of a fast parameter update, which allows to chain PAEs together (i.e. in a multi-TAP FIR filter) and updating all parameters in the chain.
Figure imgf000050_0004
Also the OpCode UPDATE updates all registers subsequently if Ei7 is set, depending on the Update Parameter Mode (upmcfg = nnlO) .
Also the register update can be configured to occur whenever Rpp == 0 and Ei7 is set by upmcfg = nnOl. In both cases nn indicates the number of registers to be updated (1-3) .
Ei7 must be 0 for at least one clock cycle to indicate the end of a running parameter update and the start of a new update.
3 Input Output Address Generators (IOAG)
The IOAGs are located in the RAM-PAEs and share, the same registers to the busses. An IOAG comprises 3 counters with forwarded carries. The values of the counters and an immediate address input from the array are added to generate the address . One counter offers reverse carry capabilities.
3.1 Adressing modes
Several addressing modes are supported by the IOAG to support typical DSP-like addressing: Mode Description Immediate Address generated by the PAE array xD counting Multidimensional addressing using IOAG internal counters xD means ID, 2D, 3D xD circular Multidimensional addressing using IOAG internal counters, after overflow counters reload with base address xD plus immedixD plus a value from the PAE array ate Stack decrement after "push" operations increment after "read" operations Reverse carry Reverse carry for applications such as FFT
3.1.1 Immediate Addressing
The address is generated in the array and directly fed through the adder to the address output. All counters are. disabled and set to 0.
3.1.2 xD counting
Counters are enabled depending on the required dimension (x- di ensions require x counters) . For each counter a base address- and the step width as well as the maximum address are configured. Each carry is forwarded to the next higher and enabled counter; after carry the counter is reloaded with the start address.
A carry at the highest enabled counter generates an event, counting stops.
3.1.3 xD circular
The operation is exactly the same as for xD counting, with the difference that a carry at the highest enabled counter generates an event, all counters are reloaded to their base address and continue counting.
3.1.4 Stack
One counter (CNTl) is used to decrement after data writes and increment after data reads. The base value of the counter can either be configured (base address) or loaded by the PAE array.
3.1.5 Reverse carry
Typically carry is forwarded from LSB to MSB. Forwarding the carry to the opposite direction (reverse carry) allows generating address patterns which are very well suited for applications like FFT and the like. The carry is discarded at MSB.
For using reverse carry a value larger than LSB mUst be added to the actual value to count, wherefore the STEP register is used.
Example : BASE = Oh STEP = 1000b
Figure imgf000052_0001
The counter is implemented to allow reverse carry at least for STEP values of -2, -1, +1, +2.
4. ALU/RAM Sequencers - SEQ-PAEs
Each ALU-PAE at the left or right edge of the array can be closely coupled to the neighbouring RAM-PAEs as an IP option, thus allowing for configure a sequencer. For compatibility reasons, the data and opcode width of the sequencer is lβbits.
Figure imgf000053_0001
! configurable ALU-PAE j Sequencer
Figure imgf000053_0002
The ALU-PAEs can operate exactly as array internal ALU-PAEs but have several extensions. Operation is Sequencer mode the register file is 8 data registers wide, Fu and Fv flags are used as carry, sign, null, overflow, and parity ALU flag word.
Figure imgf000053_0003
The address width is accordingly lβbit. However since the RAM- PAE size is limited it is segmented into 16 segments. Those segments are used for code, data and stack and must be individually preloaded by the compiler.
4 segment registers point to the specific segments: CodeBank Points to the actual code segment DataBank Points to the actual data segment StackBank Points to the actual stack segment AuxiliaryBank Points to any segment (but code) , allowing copy operations between segments
Figure imgf000054_0003
Figure imgf000054_0002
Figure imgf000054_0004
Figure imgf000054_0005
Figure imgf000054_0001
The compiler has to take care that necessary data segments are preloaded and available. For cost reasons there is no automatic. TLB installed.
Also segments have to be physically direct addressed due to the absence of TLBs. This means that the compiler has to implement range checking functions for according addresses.
Code segments behave accordingly to data segments. The compiler has to preload them before execution jumps into them. Also jumps are physically direct addressed, due to the absence of TLBs again.
A relocation of any segments is not possible, the mapping is fixed by the compiler.
The memory layout is shown below. A simple check mechanism is implemented to validate or invalidate memory segments. segment address
validate invalidate
Figure imgf000055_0002
Figure imgf000055_0001
Figure imgf000055_0003
reset: CB = 0000 SB= 1111
At least the CodeBank (CB) and StackBank (SB) must be set. The first CodeBank must start at location OOOOh. For all other banks OOOOh is an illegal entry. Loading segments to the memory validates them, accordingly flushing invalidates them.
Memory banks are updates in terms of loaded or flushed in the background by a DMA engine controlled by the following opcodes LOADDSEG Loads and validates a data/auxiliary/stack bank ; STOREDSEG Stores and invalidates a data/auxiliary/stack bank LOADCSEG Loads and validates a code bank The address generators in the IOAG interfaces can be reused as DMA engine.
Memory banks can be specifically validated or invalidated as follows: VALIDATESSEG Validates a bank INVALIDATESEG Invalidates a bank
The bank pointers are added to the address of any memory access. Since the address pointer can be larger than the 6 bits addressing a 64 line range, segment -boarders are not "sharp", which means, can be crossed without any limitation. However the programmer or compiler has to take care that no damage occurs while crossing them. If an invalid segment is reached a flag or trap is generated indicating the fault, eventually just wait states are inserted if a segment preload is running already in the background.
Figure imgf000056_0001
Alternatively a more advanced valid checking scheme can be implemented as shown below: segment
validate invalidate
Figure imgf000056_0002
Figure imgf000056_0003
reset: CB = 0000 SB = 1111 In difference to PAEs which require 24-bit instructions sequencers use 16-bit instructions only. To use the same instruction set and to keep the decoders simple, just the last 8 bits are discarded in sequencer mode.
4.1 IOAGs
IOAGs may comprise a 4-8 stage data output buffer to balance external latency and allow reading the same data address directly after the data has been written, regardless of external bus or memory latencies (up to the number of buffer stages) .
In the follwoing, a number of OpCodes and their meanings is suggested:
ADD
ADD
Description: Add rsl and rs2
Action: Input II =
Figure imgf000057_0001
Input 12 =
Figure imgf000057_0002
Output .0
Figure imgf000057_0003
Event output Eo
Figure imgf000057_0004
II, 12 -> 0
Rpp++ rs: source register rt: target register et4: target event Input Regist :ers :
Ri / Rd
Output Regissters:
Rd / Ro Input Flags :
F, Ei
Out ut Flags:
Figure imgf000058_0001
ADDC
ADD with Carry
Description:
Add rsl and rs2 with Carry.
Action: Input II =
Figure imgf000058_0002
Input 12 =
Figure imgf000058_0003
Event Input E=
Figure imgf000058_0004
Output 0
Figure imgf000058_0005
Event output Eo
Figure imgf000059_0001
II, 12 -> 0 Rpp++ rs: source register rt: target register es4: source event etp: target event pair
Input Registers:
Ri / Rd
Output Registers:
Rd / Ro Input Flags: F, Ei
Figure imgf000059_0002
AND
Logical AND Description:
Logical AND operation
Action: Input II
Figure imgf000059_0003
Input- 12 =
Figure imgf000059_0004
Output 0 =
Figure imgf000060_0001
Event output Eo =
Figure imgf000060_0002
II, 12 -> 0
Rpp++ rs: source register rt: target register
Input Registers:
Ri / Rd
Output Registers:
Rd / Ro
Input Flags :
Out ut Flags:
Figure imgf000060_0003
BSHL
Barrel SHif Left
Description: ;
Shift rsl left by rs2 positions and fill with zeros,
Action:
Input II =
Figure imgf000060_0004
Input 12
Figure imgf000061_0001
Output 0 = -
Figure imgf000061_0002
II, 12 -> 0
RPP++ rs : source register rtp: target register pair
Input Registers: Ri / Rd
Output Registers: Rd / Ro
Input Flags ;
Output Flags :
BSHR
Barrel SHi t Right
Description:
Shift rsl right by rs2 positions, sign bit is duplicated.
Action: Input II =
Figure imgf000061_0003
Input 12 =
Figure imgf000061_0004
Output 0 = [rtp
Figure imgf000062_0001
II, 12 -> 0
Rpp++ rs : source register rtp : target register pair
Input Registers : Ri / Rd
Output Registers : Rd / Ro
Input Flags :
Output Flags :
BSHRU
Barrel SHift Right Unsigned
Description:
Shift rsl right by rs2 positions and fill with zeros,
Action: Input II
Figure imgf000062_0002
Input 12 =
Figure imgf000062_0003
Figure imgf000062_0004
II, 12 -> 0 Rpp++ rs: source register rtp: target register pair
Input Registers : Ri / Rd
Output Registers Rd / Ro
Input Flags :
Output Flags :
CLZ
Count Leading Zeros
Description:
Count the amount of leading zeros if the number is positive, accordingly, count the amount of leading ones if the number is negative.
Action:
Figure imgf000063_0001
Event output Eo =
Figure imgf000063_0002
11 -> 0
Rpp++ rs: source register rt: target register etp : target event pair
Input Registers:
Ri / Rd
Output Registers :
Rd / Ro
Input Flags :
Out ut Fla s :
Figure imgf000064_0001
CLZU
Count Leading Zeros Unsigned
Description:
Count the amount of leading zeros of an unsigned number,
Action:
Input II =
Figure imgf000064_0002
Output 0 =
Figure imgf000064_0003
Event output Eo =
Figure imgf000064_0004
II -> 0 Rpp++ rs: source register rt: target register et4: target event Input Registers: Ri / Rd
Output Registers : Rd / Ro
Input Flags :
Figure imgf000065_0001
CMP
CoMPare
Description: Compare two values
Action : Input II =
Figure imgf000065_0002
Input 12 -
Figure imgf000065_0003
Event output Eo =
Figure imgf000065_0004
Rpp++ rs: source register etp: target event pair
Input Registers : Ri / Rd
Output Registers: Input Flags :
Figure imgf000066_0001
CMPU
CoMPare Unsigned
Description:
Compare two unsigned values
Action: Input II
Figure imgf000066_0002
Input 12
Figure imgf000066_0003
Event output Eo
Figure imgf000066_0004
Rpp+H- rs: source register etp: target event pair
Input Registers: Ri / Rd
Output Registers:
Input Flags : Output Flags : Mode SEQ sign, zero FF sign, zero -> F / Eo-
DEMUX FF
DEMUltipleX data stream
Description:
Moves input to- one of two outputs, depending on flag.
Action: Input I =
Figure imgf000067_0001
Output 01 =
Figure imgf000067_0002
Output 02 =
Figure imgf000067_0003
Event E=
Figure imgf000067_0004
Figure imgf000067_0005
Rpp++ rt: target register rs: source register es4: source event
Input Registers Ri / Rd
Output Registe ;rs :
Rd / Ro, Rd / Ro
Input Flags:
Ei / F
Output Flags :
DIV SEQ
Divide
Description:
Divide rsl by rs2. Result in rtp, reminder in rtp+1,
Action: Input II =
Figure imgf000068_0001
Input 12 =
Figure imgf000068_0002
Output 0 =
Figure imgf000068_0003
II, 12 -> 0. Rpp++ rs: source register rtp: target register pair
Input Registers:
Ri / Rd
Output Registers :
Rd / Ro Input Flags: Output Flags :
DIVU SEQ
Divide Unsigned
Description:
Divide unsigned rsl by rs2. Result in rtp, reminder in rtp+1,
Action: Input II
Figure imgf000069_0001
Input 12 =
Figure imgf000069_0002
Output 0
Figure imgf000069_0003
II, 12 -> 0 Rpp++ rs: source register rtp: target register pair
Input Registers: Ri / Rd
Output Registers: Rd / Ro Input Flags:
Output Flags : DSHL
Double SHi t Left
Description':
Shift rsl and rs2 left. LSB is filled with event
Action: In ut II
Figure imgf000070_0003
Input 12 =
Figure imgf000070_0004
Event Input E=
Figure imgf000070_0005
Figure imgf000070_0001
Event output Eo =
Figure imgf000070_0002
II, 12 -> 0 Rpp++ rs: source register rtp: target register pair etp: target event pair Input Registers: Ri / Rd Output Registers: Rd / Ro Input Flags : F, Ei
Output Flags:
Figure imgf000071_0001
DSHR
Double SHi t Right
Description:
Shift rsl and rs2 right, sign bit is duplicated.
Action: Input II =
Figure imgf000071_0004
Input 12
Figure imgf000071_0005
Figure imgf000071_0002
Event output Eo =
Figure imgf000071_0003
II, 12 -> 0
Rpp++ rs: source register rtp: target register pair etp: target event pair Input Registers:
Ri / Rd
Output Registers:
Rd / Ro
Input Flags :
Ei , F
Output Flags:
Figure imgf000072_0001
DSHRU
Double SHi t Right Unsigned
Description:
Shift rsl and rs2 right and fill with event,
Action: Input II
Figure imgf000072_0004
Input 12
Figure imgf000072_0005
Event Input E=
Figure imgf000072_0006
Figure imgf000072_0002
Event output Eo =
Figure imgf000072_0003
Figure imgf000073_0002
II , 12 -> 0
Rpp++ rs: source register rtp: target register pair etp: target event pair
Input Registers:
Ri / Rd
Output Registers :
Rd / Ro
Input Flags :
Ei, F
Output Flags:
Figure imgf000073_0001
EQ
EQual
Description:
Check whether two values are equal,
Action: Input II =
Figure imgf000073_0003
Input 12
Figure imgf000073_0004
Event output Eo et4
Figure imgf000074_0001
RPP++ rs: source register et4: target event
Input Registers:
Ri / Rd
Output Registers:
Input Flags :
Output Flags : Mode SEQ zero FF zero -> F / Eo
JMP SEQ
JuMP immediate
Description:
Jump to address defined by immediate constant. CodeBank is changed according to constant.
Action: const [0..3] -> CodeBank const [4..15] -> Rpp
Input Registers:
Output Registers:
Input Flags:
Output Flags:
JRI SEQ Jump Relative Immediate
Description:
Jump relative to Rpp according to immediate signed constant.
CodeBank is not influenced.
Action:
Rpp + const -> Rpp Input Registers:
Output Registers:
Input Flags :
Output Flags :
JRR SEQ
Jump Relative Register
Description:
Jump relative to Rpp according to signed content of register.
CodeBank is not influenced.
Action:
Rpp + Rd[rbs] -> Rpp Input Registers:
Output Registers:
Input Flags :
Output Flags :
LOAD
LOAD data register with constant
Description: Loads internal data register or output register with an immediate constant
Action:
Figure imgf000076_0001
Rpp++ rt: target register
Input Registers:
Output Registers Rd /Ro
Input Flags :
Output Flags :
MERGE FF
MERGE data streams
Description:
Moves one of two inputs to output, depending on flag.
Action: Input II =
Figure imgf000076_0002
Input 12
Figure imgf000076_0003
Output 0 = rt Onn Rd[nn] Inn Ro [nn] Event E=
Figure imgf000077_0001
Figure imgf000077_0002
Rpp++ rt: target register rs: source register es: source event
Input Registers: Ri / Rd, Ri / Rd
Output Registers: Rd / Ro Input Flags : Ei / F
Output Flags
MOVE
MOVE internal data register
Description:
Moves content of a register bank register to another internal register.
Action: Rd[rbs] -> rd[rbt] Rpp++ rbs: register bank source rbt: register bank target
Input Registers: Rd Output Registers: Rd Input Flags :
Output Flags:
MOVEE
MOVE flag register Description:
Moves content of a flag register to another flag register.
Action: F[fs] -> F[ft] Rpp++ fs: flag source ft: flag target
Input Registers:
Output Registers:
Input Flags : F
Output Flags F
MUL
MULtiply Description: Multiply rsl and rs2
Action: Input II =
Figure imgf000078_0001
Input 12 =
Figure imgf000078_0002
Figure imgf000079_0001
Out ut 0 =
Figure imgf000079_0002
II, 12 -> 0 Rpp++ rs: source register rtp: target register pair
Input Registers: Ri / Rd
Output Registers Rd / Ro
Input Flags:
Output Flags:
MULU
MULtiply Unsigned Description:
Multiply unsigned rsl and rs2.
Action: Input I1
Figure imgf000079_0003
Input 12 =
Figure imgf000079_0004
Figure imgf000079_0005
Figure imgf000080_0001
II, 12 -> 0
Rpp++ rs : source register rtp: target register pair
Input Registers: Ri / Rd
Output Registers: Rd / Ro
Input Flags :
Output Flags :
NOP
No Operation
Description:
No Operation, Rpp is incremented
Action:
Rpp++
Input Registers:
Output Registers:
Input Flags :
Output Flags :
NOT
Logical inverse Description: Inverts register logically
Action :
Figure imgf000081_0001
I -> 0
Rpp++ rs : .source register rt : target register
Input Registers:
Ri / Rd
Output Registers:
Rd / Ro
Input Flags :
Output Flags :
Figure imgf000081_0002
OR
Logical OR
Description:
Logical OR operation
Action: Input II =
Figure imgf000081_0003
Input 12 =
Figure imgf000081_0004
Figure imgf000082_0001
II , 12 -> 0 Rpp++ rs : source register rt: target register
Input Registers:
Ri / Rd
Output Registers:
Rd / Ro
Input Flags:
Figure imgf000082_0002
READ
READ data input register
Description:
Read specified data input register and write to internal register bank or output register. READ waits until data is available at the input register.
Action:
Figure imgf000082_0003
Rpp++ rt: target register ri: input register
Input Registers: Ri
Output Registers: Rd / Ro
Input Flags :
Output Flags :
READE
READ event input register
Description:
Read specified event input register and write to internal flag bank or event output register. READE waits until event is available at the input register.
Action:
Figure imgf000083_0001
Rpp++ et4: target event ei: input event
Input Registers:
Output Registers:
Input Flags Ei
Output Flags F / Eo
SAT SATurate
Description:
Saturates register depending on carry (FuO) flag and satura- tion mode..
Action:
Figure imgf000084_0001
Event E=
Figure imgf000084_0002
Figure imgf000084_0003
Rpp++ rs: source register rt: target register as: add/substract mode es4: event source
Input Registers: Rd
Output Registers Rd / Ro es4lnput ■ Flags: SEQ-Mode : carry FF-Mode: Ei/F Output Flags :
SETF
SET Flag with constant
Description:
Loads flag register or output event with an immediate constant
Action:
Figure imgf000085_0001
Rpp++ et4 event target
Input Registers:
Output Registers :
Input Flags:
Output Flags :
F /Eo
SHL
SHif Le t
Description:
Shift rsl left. LSB is filled. with event,
Action: Input II
Figure imgf000085_0002
Event Input E es4 iO =
Figure imgf000086_0001
II -> 0
Rpp++ rs: source register rt: target register pair et4: target event pair es4: source event register
Input Registers:
Ri / Rd
Output Registers:
Rd / Ro
Input Flags :
F, Ei
Output Flags : Mode SEQ MSB (rsl) -> carry FF MSB (rsl) -> Fu / Euo
SHR
SHift Right
Description:
Shift rsl right. MSB is filled with event,
Action: Input II
Figure imgf000086_0002
O
Figure imgf000087_0001
II -> 0 Rpp++ rs : source register rt: target register pair et4: target event pair es4: source event register
Input Registers:
Ri / Rd
Output Registers:
Rd / Ro
Input Flags:
F, Ei
Output Flags: Mode SEQ LSB (rsl) -> carry FF LSB (rsl) -> Fu / Euo
SKIPE
SKIP next two commands depending on Event
Description:
Next two commands are skipped based on event or flag. If an event is selected as source the execution stops until the event is available.
Action:
Figure imgf000088_0002
Event E=
Figure imgf000088_0003
Skip next two addresses if event or flag is equal to val:
Figure imgf000088_0004
val: value es4: event source
Input Registers:
Output Registers:
Input Flags: Ei / F
Output Flags
SORT FF
SORT data stream
Description:
Sort two inputs, depending on value,
Action: Input II =
Figure imgf000088_0005
Figure imgf000088_0001
Figure imgf000088_0006
Output 01 =
Figure imgf000089_0001
01 = smaller value of II and 12 02. = larger value of II and 12
El = 1 if II < 12 else 0 E2 = 1 if II <= 12 else 0
Rpp++ rt: target register rs : source register et4 : target event
Input Registers: Ri / Rd, Ri / Rd
Output Registers : Rd / Ro, Rd / Ro
Input Flags :
Output Flags : Ei / F SORTU FF
SORT data stream Unsigned
Description:
Sort two unsigned inputs, depending on value,
Action:
In ut II =
Figure imgf000090_0001
Input 12 =
Figure imgf000090_0002
Output 01 =
Figure imgf000090_0003
Output 02 =
Figure imgf000090_0004
Event El=
Figure imgf000090_0005
Event E2=
Figure imgf000090_0006
01 = smaller value of II and- 12
02 = larger value of II and 12
El = 1 if II < 12 else 0 E2 = 1 if II <= 12 else 0
Rpp++ rt: target register rs: source register et4 :. target event Input Registers: Ri / Rd, Ri / Rd
Output Registers: Rd / Ro, Rd / Ro
Input Flags :
Output Flags : Ei / F
SUB
SUBtract
Description:
Subtract rs2 from rsl,
Action: Input II
Figure imgf000091_0001
Input 12
Figure imgf000091_0002
Output Q
Figure imgf000091_0003
Event output Eo =
Figure imgf000091_0004
II, 12 -> 0
Rpp-t-t- rs: source register rt: target register et4: target event Input Registers:
Ri / Rd
Output Registers:
Rd / Ro Input Flags : F, Ei
Output Flags: Mode SEQ carry, sign, null, parity FF carry -> Fu / Euo
ADDC
ADD with Carry
Description:
Subtract rs2 from rsl with Carry.
Action: Input II =
Figure imgf000092_0001
Input 12 =
Figure imgf000092_0002
Event Input E=
Figure imgf000092_0003
Output 0 =
Figure imgf000092_0004
Event output Eo =
Figure imgf000092_0005
Evo [nn]
II, 12 -> 0 Rpp++ rs: source register rt: target register es4: source event etp: target event pair
Input Registers:
Ri / Rd
Output Registers:
Rd / Ro
Input Flags :
F, Ei
Output Flags Mode SEQ carry, sign, null, parity, over flow FF carry -> Fu / Euo, overflow -> Fv / Evo
SWAP FF
SWAP data stream
Description:
Swap two inputs, depending on flag.
Action: Input II =
Figure imgf000093_0001
Input 12 =
Figure imgf000093_0002
Output 01
Figure imgf000093_0003
Output 02 =
Figure imgf000094_0001
Rpp++ rt: target register rs: source register es4: source event
Input Registers: Ri / Rd, Ri / Rd Output Regiε ters : Rd / Ro, Rd / Ro Input Flags : Ei / F Output Flags
UPDATE FF
UPDATE parameters Description: Updates registers Rd3, Rd2, Rdl with value from Ri3;iif Ei7 is set. Moves subsequent data packet on Ri3 to Ro3 and sets Eo7. Action:
Figure imgf000094_0002
Ri3 -> Ro3
Rpp++ mode: update mode
Input Registers:
Ri3
Output Registers:
Rd3, Rd2, Rdl Input Flags:
Ei7
Output Flags:
Eo7
WAITE
WAIT for incoming Event Description: Stop execution and wait for incoming event of defined value,
Acknowledge incoming events.
Action:
Figure imgf000095_0001
Event E=- es3 nnn Ei [nnn ] Wait for incoming event of defined value. Acknowledge all incoming events . valx: value es3: event source Rpp++ Input Registers :
Output Registers: Input Flags : Ei
Output Flags :
WRITE
WRITE output register Description:
Write data from input register or internal register bank to output register. Wait for incoming ACK either before or after writing.
Action:
<syncO>
Figure imgf000096_0001
<syncl> Rpp++ ro: output register rs: .register source Synchronisation is handled according to sy: sy = 0 Wait only if previously sent event has not been <sync0 granted by ACK yet > sy = 1 Wait until actual event is granted by ACK <syncl >
Input Registers: Ri / Rd Output Registers: Ro Input Flags:
Output Flags : WRITEE
WRITE Event- output register
Description:
Write event from input register or flag to event output register. Wait for incoming ACK either before or after writing.
Action:
<syncO>
Figure imgf000097_0001
<syncl> Rpp++ eo : output event es4: event source
Synchronisation is handled according to sy: sy = 0 Wait only if previously sent event has not been <sync0 granted by ACK yet > sy = 1 Wait until actual event is granted by ACK <syncl >
Input Registers:
Output Registers:
Input Flags: Ei / F
Output Flags : Eo
XOR
Logical XOR Description:
Logical XOR operation Action :
Figure imgf000098_0001
II , 12 -> 0 Rpp++ rs: source register rt: target register
Input Registers:
Ri / Rd
Output Registers:
Rd / Ro
Input Flags :
Output Flags :
Figure imgf000098_0002
Appendix B
In the following, an exaple for the use of function folding is given:
Function Folding and Fast Parameter Update Example FIR
RiO = x Ril = y 3-folded FIR using ace
Fast parameter update for registers Rdl, Rd2, Rd3 example 1: UPM3, updates parameters with each access to Rd3,2,l (if Ei7 is set) upmcfg = 1100
# stage 1 mul ace, RiO, Rd3; add RdO, ace, Ril;
# stage 2 mul ace, RiO, Rd2; add RdO, ace, RdO;
# stage 3 mul ace, RiO, Rdl; add Rol, ace, Rd3; write RoO, RiO;
Alternative using MAC opcode, parameter pop and looping read RdO, Ril; lh,lt[3]: mac RdO, RiO, pop; write Rol, RdO; write RoO, RiO;
example 2 : UPM3, uses command UPDATE for parameter update upmcfg = 1110
# stage 1 mul ace, RiO, Rd3; add RdO, ace, Ril;
# stage 2 mul ace, RiO, Rd2; add RdO, ace, RdO;
# stage 3 mul ace, RiO, Rdl; add Rol, ace, Rd3; write RoO, RiO; update 3
example 3 : UPM3 , updates parameters at Rpp = 0 upmcfg = 1101
# stage 1 mul ace, RiO, Rd3; add RdO, ace, Ril;
# stage 2 mul ace, RiO, Rd2; add RdO, ace, RdO;
# stage 3 mul ace, RiO, Rdl; add Rol, ace, Rd3; write RoO, RiO;
In the above> an improved data processor array has been described. Although only in some instances, it has been pointed out that reference to a certain number of registers, bit width etc. is for explanation only, it is to be understood that this also holds where such reference is not found.
If the array is to be very large or in case a real time process is run where two different fragments of an array unknown at compile time have to communicate with each other so as to enable data processing, it is advantageous to improve the performance by ensuring that a communication path can be set up. Several suggestions have been made already, e.g. Lee- Routing and/or the method described in PACT 7. It is to be understood that the following part of an improved array design might result in an improved circuitry for certain applications but that it is not deemed absolutely and inevitably necessary to implement it with e.g. a function fold PAE. Rather, the other suggestions for improvement will result in significant improvements on their own as will be understood by the average skilled person. ;"
ROUTING IMPROVEMENT
The suggested improvement described hereinafter concerns the static routing network for reconfigurable array architectures. Hereby this static network is enhanced by implementing additional logic to adaptive runtime routing. Figure 1 depicts a cut-out of a reconfigurable array with a set of functional units (FU) . Each functional unit encloses one routing unit (RU) and additional functional modules (FMs) . The enclosed functional modules are used to manipulate data and characterize the type of the FU. The RU contains an interconnect matrix which' is able to route each input port to any desirable output ports. All FUs are connected through point-to-point links whereas each is composed of two half-duplex links and able to transport the data in both directions at the same time.
The routing technique described in this document is instruction based which means that each routing process must be started by an instruction. If the user wants to establish a routing between two cells, he has to bring a specific instruction into the source cell. The hardware within the array calculates based on the instruction fields values the desired routing direction and establishes the logic stream. The routing process happens stepwise from one functional unit to another whereby each cell decides which direction should be taken next. On the way to an established route we defined three valuable states of the routing resources. The first state is the physical route or link. This means that the resources of this route are not used and available to routing processes. The second state is named temporal route or link. This state describes the temporarily not available link, which means that this link is in use for routing purposes but the mentioned routing is not confirmed yet . The problem here is that this route can be confirmed in the future or released if the successor cells are able to realise the desired routing. The last state is the logical route or link. This state represents an established route on the array which is able to transport calculation data.
This routing technique uses coordinates on the array to calculation routings. Each FU possesses unique coordinate's und on the basis of this information it is able to determine the routing direction to each desired cell within the array. This concept is the basis for the adaptive runtime routing described in this document. The needed control logic for adaptive routing is implemented within the routing unit, especially within the routing controller which controls the interconnect matrix at runtime. Therefore the routing controller is able to analyze the incoming data of all input ports of the concerned FU and come to a decision what to do next.
Routing Establishment
For the purpose of incoming data analyzing and data buffering each input port owns so called in-registers (InReg) . Additional to those standard registers there are InReg-controllers implemented (InRegCtrl) . Those finite state machines (FSMs) have the job to store the actual state of the input links and in dependency of the actual state to trigger routing requests or release not required routings. To fulfil its job each InRegCtrl is connected to an in-controller (InCtrl) which is implemented exactly once per FU. Important requirement for requesting of new routings is that the mentioned input resource (InReg, InRegCtrl) are not used and so in the state of physical link.
InCtrl gets requests of all InRegCtrls all over the time and forwards one request after another to the routing controller (RoutCtrl) . ;The selection which InRegCtrl should be served first is dependant on the routing priority of the input link and/or which input link was served last. Based on the coordinate information of the target cell and the coordinates of the actual FU the RoutCtrl calculates the forward direction for the requested input link. Thereby the RoutCtrl takes into account additional parameters like optimum bit (will be described later) , the network utilisation towards the desired direction, etc.
If the direction calculation within the RoutCtrl was successful the RoutCtrl forwards the request with additional information about the output port to the interconnect matrix, which connects the input port with calculated output port. If this is done the RoutCtrl signals the successful. routing operation to InCtrl. Because the actual reached routing state is not final it is necessary to store the actual state. This happens within the queue-request-registerfile (QueueRRF) . Therefore the InCtrl is directly connected to the QueueRRF and is able to store the desired information. At this point the related input and output links reach the temporal link state and are temporarily not available for other routing processes. Due the fact that the QueueRRF is able to store more than one routing entry, the InCtrl is able to hold multiple routing processes at the same time. But for the purpose of high hardware area consumption the direction calculation is realized once within the RoutCtrl.
The established temporal routing stays stored within the QueueRRF till the point the successor cell acknowledges the routing. In this case the InCtrl clear the according entry in the QueueRRF and signals the ' successful routing to the InCtrl . The InRegCtrl changes into the state logical route and signal the predecessor cell the successfully finished routing process. The other case can happen if the successor cell is not able to establish the desired route. In this case the InCtrl forwards a new request to the RoutCtrl based on the QueueRRF-entry. This request leads to new routing suggestion which will be stored within the QueueRRF.
If all available and expedient directions are checked and routing trials failed the InCtrl signals to InRegCtrl the failed routing. The InCtrl signals the same routing miss to the predecessor cell and finishes the routing process in the current cell.
Within the routing process there are two exceptions how the routing unit establishes a desired routing. Those exceptions affect the source and the target cell. The exception in both cases is that as well the source cell as the target cell do not need to route the started/ending routing through the interconnect matrix. To connect the FMs to the output links of cells simple multiplexers are used. Those multiplexers are implemented after the interconnect matrix and have to be switched explicitly. This happens after the routing process is finished. The exception lies in the finishing state. Here the InRegCtrl doesn't have to acknowledge the successful routing the predecessor it just has to consume the actual routing instruction in the InReg instead. This happens after the InCtrl signals the successful routing. Additionally the InReg switches the output multiplexer associated to the output port of the FM and finishes the routing establishment. The information needed the switch the right output multiplexer gets the InCtrl from the RoutCtrl.
Otherwise if the routing fails the InCtrl asserts cell specific interrupt line and signals-the failure to the system.
The second exception concerns the target routing cell. Here it is important to connect the new route with the input ports of the local FM. Therefore simple multiplexers are used which are implemented before the interconnect matrix. If an ongoing routing process reaches the target cell the InCtrl identifies the target achievement and switches the associated input multiplexer to forward the incoming data to the input port of the FM. This is the point wheret the successful route establishment signal is generated by the InRegCtrl after InCtrl signals the success. Here the InRegCtrl has the last job to finish the routing process by deleting the routing instruction and going to logical state.
Releasing Established Routing
For releasing of the logically established routings we introduced special instructions, so called end packets. The only purpose of those instructions is the route-dissolving by inject the necessary end packet into the logic established routing. There are two ways how the routings can be released. The first possibility is the global releasing. This means that all routes which are following the route where the end packet is injected will be released. This function is useful to delete whole configurations with one single instruction. For this purpose it is important that the FMs are able to forward the end packet unaltered through the internal datapaths. The second way for route releasing is the local route releasing. Here it is possible to release single established routes between output and input ports of FMs. The end packets are not propagated through the FMs. In this case the end packet will be consumed by the last InRegCtrl .
The internal RU communication is similar to the routing process. If the InRegCtrl determines incoming end packet and the InRegCtrl is in the logic route state, the InRegCtrl forwards the route release request to the InCtrl. The InCtrl clears the entries either within the interconnect matrix or within the input multiplexers registers or within the output multiplexer registers. Meanwhile the InRegCtrl consumes (in case of the local end packet and last cell in the chain) the instruction and goes to the idle state. If the end packet was a global instruction the InRegCtrl forwards alway the end packet to the successor. , '
Additional Features
For the purpose of priority control, we introduced a priority system to influence the order in which the RU serves the incoming routing requests. Therefore the instructions contain priority fields which describe the priority level. Higher values in this field result in higher priority und will be preferred by the RU during the runtime routing. The priority field has direct influence on the selection of the incoming routing requests from the InRegCtrls to InCtrl.
Some inner configuration communication streams require strictly defined latency to reach the desired performance. Therefore it is very important to keep the maximum register chain length. To decrease the latency of the routed streams its is necessary to ensure that the array chose always the best routing between source and target, but this requirement may lead to not routable streams if this feature will be always required. To ease this problem we introduced a special bit within the routing instruction, so called optimum bit (OptBit) . This bit has to be activated if the optimum routing is definitely required. In this case the array tries to reach this requirement und delivers anι interrupt if fails.
The alternative to reach the required latency is the speed path counter. This counter gives the possibility to bypass a specific number of registers before buffering again. Therefore we defined a reference value and the counter value. Both numbers are stored within the instruction field. Each passed cell respective the RU compares the counter value and the reference- value. If both values are equal then the actual cell buffers the stream and resets the counter. If the counter is smaller than the reference value the current buffer will be bypassed and the counter incremented by one. In this way it is possible to bypass a number of buffers which equals exactly to reference value.
Multi-grained Communication Links
In addition to the coarse-grained point-to-point links we introduced more flexible multi-grained point-to-point links. Hereby one single point-to- point link connects two neighbor cells respective the RUs within those cells. One coarse-grained link consists of a set of wires, e.g. 32 wires for one 32 link, and additionally protocol signals. The whole vector is handled by a single set of control signals which makes this communication resource not usable for multi-grained communication.
To reach this requirement we divided the whole 32 bit vector into single strips, e.g. with groups of 8 times 1 bit strips and 3 times 8 bit strips. Each strip obtained separate control signals and is able to operate independently from other strips .
The idea behind this division is to combine those strips to logical multi- grained sub-links. If you have one multi-grained link you can use the whole vector as one interrelated 32 bit vector or split the whole vector into sub-channels. In this configuration each strip can be one single subchannel or a group of strips can be gathered to a single sub-channel of desired bit-width. You just have - in respect of hardware costs - to consider that one sub-channel has to fit into one multi-grained link. Multi-grained Routing In order to route multi-grained channels it's necessary to use the coarse grained links to support the routing process. The idea is to route two links in parallel, one coarse-grained link to support multi-grained routing and one multi-grained link, which will contain the final multi-grained stream. Therefore we defined a two packet routing instruction with needed data fields . The first instruction packet contains - compared to coarsegrained routing instruction - additional bit mask to specify used multi- grained sub-links and multi-grained link ID to identify the associated multi-grained link. The other features like described above - optimum bit, speed path, priority routing - are support in this routing mode as well. The routing process within the RU is performed similar" to the coarsegrained routing. The first packet which arrives in a cell is analyzed by the InRegCtrl and a request is generated and forwarded to the InCtrl. InCtrl forwards the request to the RoutCtrl and wait for the acknowledgement. If RoutCtrl finds one possible routing direction, the InCtrl gets the successful acknowledgement and the temporal routing will be established by the RoutCtrl. Next, the actual job will be stored within the QueueRRP and the InCtrl waits for the acknowledgement from the successor cell. If RoutCtrl is not able to find a possible routing, the InCtrl gets negative acknowledgement and which will be forwarded to the associated InRegCtrl, which generates the route unable signal to the predecessor cell and quits the routing process within this cell. If the successor cell signals successful routing, the InRegCtrl clears the related entry in the QueueRRP and finishes the routing. If the successor cell is not able to establish a rout to the destination cell, it generates negative acknowledgement signal. Hereupon, the InCtlr starts new request to the RoutCtrl and handle the responses as described above. The difference between the coarse-grained routing and multi-grained routing lies in the handling of the multi-grained interconnect matrix. Each strip of a multi-grained link is handled separately. The RoutCtrl forwards the switch request to the strip matcher. Strip matcher has the job to analyze the input strips and to match them to the output link according to already used strips. What strip matcher is doing is to map the problem of strip matching into the time domain and switches the needed switchboxes for each strip separately one after another.
Routing packet for coarse-grained streams:
Figure imgf000104_0001
Value Comments e-grained results in led; 0 disabled source cell destination yes, 0 = no
Figure imgf000104_0002
: x-coordinate a: X...X Destination cell coordinates: y-coordinate
Routing Instruction for multi-grained streams (first packet):
Figure imgf000105_0001
Value Commen s ons-packet ng-instruction multi-grained first packet) level: higher value results in iority h: Reference value h: Counter it (OptBit) : 1 enabled; 0 disabled input stream of the multi-grained ips mask: 1 = selected; 0 = not seips mask: 1 = selected; 0 = not seon cell coordinates: x-coordinate
Figure imgf000105_0002
on cell coordinates : y-coordinate Second packet of the routing instruction for multi-grained streams:
Figure imgf000105_0003
Value Comments 1 Instructions-packet 10 ID: Routing-instruction multi-grained streams (first packet) X Reserved XXX Destination cell 8 bit strips mask: 1 se- lected; 0 = not selected X...X Destination cell 1 bit strips mask: 1 = se- lected; 0 = not selected XXX Multi-grained FM input port address of the destination cell XXX Source cell 8 bit strips mask: 1 = selected; 0 = not selected X..X Source cell 1 bit strips mask: 1 = selected; 0 = not selected XXX Multi-grained FM output port address of the
Figure imgf000105_0004
source cell
End packet instruction:
Figure imgf000105_0005
Figure imgf000105_0006
Value Commen t releasing coarse: 1 = loe cell selected; selected; ss of the
Figure imgf000106_0001
Data packet:
Figure imgf000106_0003
Value Comments 0 Data packet
Figure imgf000106_0002
X. . . X Application data
Figures relating to improved way of routing :
Figure imgf000107_0001
freier Strip mit 1- bzw. 8-Bit Granularitat 4-Bit breite logische Verbindung 10-Bit breite logische Verbindung 1-Bit breite logische Verbindung freier Strip rrit 1- bzw. 8-Bit Granularitat
(free strip of 1 or 8 bit granularity 4- Bit wide logic interconnection 10- Bit wide logic interconnection free strip of 1 or 8 bit granularity) FGR-BUS
Figure imgf000108_0002
1- bzw. 8-Bit Strips des FGR-Busses bit strip of FGR-Busses
Figure imgf000108_0001
new incoming mgr stream, in dir 1, mgr-link 1 already routed strips, in dir 2, mgr-link 1
Figure imgf000109_0001
new incoming mgr stream, in dir 1, mgr-link 1 already routed strips, in dir 2, mgr-link 1 fteeavaila lestrips
Figure imgf000109_0002
new incoming mgr stream, in dir 1, mgr-link 1 already routed strips, in dir 2, mgr-link 1
Figure imgf000110_0001
Figures
Figure imgf000111_0001
Figure imgf000112_0001
freier Strip mit 1- bzw. 8-Bit Granularitat 4-Bit breite logische Verbindung ► 10-Bit breite logische Verbindung 1-Bit breite logische Verbindung freier Strip mit 1- bzw. 8-Bit Granularitat
Figure imgf000113_0001
Figure imgf000113_0002

Claims

Claims
1. A data processing device comprising a multidimensional array of coarse grained logic elements (PAEs) processing data . and operating at a first clock rate and communicating with one another and/or other elements via busses and/or communication lines operated at a second clock rate, wherein the first clock rate is higher than the second and wherein the coarse grained logic elements comprise storage means for storing data needed to be processed.
2. A data processing device according to claim 1 wherein the data processing of the array is controlled in a data-flowlike manner.
3. A data processing device according to claim 2, wherein the data storage means is adapted for storage of operands and/or intermediate results and wherein a valid bit is provided for each entry.
4. A data processing device according to a previous claim wherein data processing of a coarse grained logic element of the array is adapted to be effected in response to all' valid bits of data and/or triggers needed being valid.
5. A processing array in particular according to a previous claim having a main data flow direction, said processing array having coarse grained logic elements and said coarse grained logic elements being adapted to effect data processing while allowing data to flow in said in one direction, in particular ALUs having an upstream input side and a data downstream outrput side wherein at least some of said coarse grained logic elements have data processing means such as second ALUs allowing data flow in a reverse direction.
6. A processing array according to the previous claim wherein a the instruction set for the ALUs in one direction is different from the instruction set of the ALUs in-'the reverse direction.
7 .A processing array according to tone of the two previous claims wherein at least one coarse grained logic element comprises an ALU in one direction and an ALU in the reverse direction.
8. A processing device wherein the coarse grained element is connected to the busses and rows of coarse grained elements are provided interconnected via busses, wherein at least one input is connected to an upper row and at least one input is connected to a row below the cell and/or where this holds for an output connect.
9. A processing device according to the previous claim wherein the coarse grained element is connected to the busses and at least two input/output bus connects are provided in one row and wherein a switch in the bus structure and/or a gate or buffer or multiplexe is provided in the segment between inpunt and/or output.
10. A method of routing a processing array adapted to automatically connect separated fragments of a configuration and /or configurations and to rip up nonconnectable traces in a stepwise manner.
PCT/EP2004/009640 2001-03-05 2004-08-30 Data processing device and method WO2005045692A2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2006524345A JP4700611B2 (en) 2003-08-28 2004-08-30 Data processing apparatus and data processing method
EP04803091A EP1676208A2 (en) 2003-08-28 2004-08-30 Data processing device and method
US10/570,173 US7844796B2 (en) 2001-03-05 2004-08-30 Data processing device and method
US12/258,100 US8145881B2 (en) 2001-03-05 2008-10-24 Data processing device and method
US12/389,274 US8812820B2 (en) 2003-08-28 2009-02-19 Data processing device and method
US14/462,858 US20140359255A1 (en) 2003-08-28 2014-08-19 Coarse-Grained Data Processor Having Both Global and Direct Interconnects

Applications Claiming Priority (28)

Application Number Priority Date Filing Date Title
EP03019428 2003-08-28
EP03019428.6 2003-08-28
EP03025911 2003-11-05
EP03025911.3 2003-11-05
DE10357284A DE10357284A1 (en) 2003-12-05 2003-12-05 Data processor comprises multidimensional array of coarse grained logic elements operating at clock rate greater than that of bus and/or communication line connecting logic elements mutually and with other elements
DE10357284.8 2003-12-05
EP03079015.8 2003-12-17
EP03028953.2 2003-12-17
EP03028953 2003-12-17
EP03079015 2003-12-17
EP04002604 2004-02-05
EP04002604.9 2004-02-05
EP04002719.5 2004-02-06
EP04002719 2004-02-06
EP04003258.3 2004-02-13
EP04003258 2004-02-13
EP04004885.2 2004-03-02
EP04075654.6 2004-03-02
EP04004885 2004-03-02
EP04075654 2004-03-02
EP04005403 2004-03-08
EP04005403.3 2004-03-08
EP04013557 2004-06-09
EP04013557.6 2004-06-09
EP04018267.7 2004-08-02
EP04077206 2004-08-02
EP04018267 2004-08-02
EP04077206.3 2004-08-02

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
PCT/EP2002/002402 Continuation-In-Part WO2002071196A2 (en) 1995-12-29 2002-03-05 Methods and devices for treating and processing data
US10/469,909 Continuation-In-Part US7444531B2 (en) 2001-03-05 2002-03-05 Methods and devices for treating and processing data

Related Child Applications (3)

Application Number Title Priority Date Filing Date
US10/570,173 A-371-Of-International US7844796B2 (en) 2001-03-05 2004-08-30 Data processing device and method
US12/258,100 Continuation US8145881B2 (en) 2001-03-05 2008-10-24 Data processing device and method
US12/389,274 Continuation US8812820B2 (en) 2003-08-28 2009-02-19 Data processing device and method

Publications (3)

Publication Number Publication Date
WO2005045692A2 true WO2005045692A2 (en) 2005-05-19
WO2005045692A3 WO2005045692A3 (en) 2006-03-02
WO2005045692A9 WO2005045692A9 (en) 2006-03-23

Family

ID=34578045

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2004/009640 WO2005045692A2 (en) 2001-03-05 2004-08-30 Data processing device and method

Country Status (4)

Country Link
US (3) US8812820B2 (en)
EP (1) EP1676208A2 (en)
JP (1) JP4700611B2 (en)
WO (1) WO2005045692A2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009542098A (en) * 2006-06-21 2009-11-26 エレメント シーエックスアイ,エルエルシー Element controller for integrated circuit architecture with recovery capability
US9037807B2 (en) 2001-03-05 2015-05-19 Pact Xpp Technologies Ag Processor arrangement on a chip including data processing, memory, and interface elements
US9047440B2 (en) 2000-10-06 2015-06-02 Pact Xpp Technologies Ag Logical cell array and bus system
US9075605B2 (en) 2001-03-05 2015-07-07 Pact Xpp Technologies Ag Methods and devices for treating and processing data

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6542998B1 (en) 1997-02-08 2003-04-01 Pact Gmbh Method of self-synchronization of configurable elements of a programmable module
US7996827B2 (en) 2001-08-16 2011-08-09 Martin Vorbach Method for the translation of programs for reconfigurable architectures
US8914590B2 (en) 2002-08-07 2014-12-16 Pact Xpp Technologies Ag Data processing method and device
US7425841B2 (en) 2004-02-14 2008-09-16 Tabula Inc. Configurable circuits, IC's, and systems
US7167025B1 (en) * 2004-02-14 2007-01-23 Herman Schmit Non-sequentially configurable IC
US7317331B2 (en) 2004-11-08 2008-01-08 Tabula, Inc. Reconfigurable IC that has sections running at different reconfiguration rates
US7330050B2 (en) 2004-11-08 2008-02-12 Tabula, Inc. Storage elements for a configurable IC and method and apparatus for accessing data stored in the storage elements
US7548085B2 (en) 2005-07-15 2009-06-16 Tabula, Inc. Random access of user design states in a configurable IC
US7610566B1 (en) 2007-03-22 2009-10-27 Tabula, Inc. Method and apparatus for function decomposition
US8069425B2 (en) 2007-06-27 2011-11-29 Tabula, Inc. Translating a user design in a configurable IC for debugging the user design
JP5205843B2 (en) * 2007-07-18 2013-06-05 富士ゼロックス株式会社 Arithmetic processing device and arithmetic processing program
WO2009035586A1 (en) 2007-09-06 2009-03-19 Tabula, Inc. Configuration context switcher
US8990651B2 (en) * 2007-09-19 2015-03-24 Tabula, Inc. Integrated circuit (IC) with primary and secondary networks and device containing such an IC
JP5294304B2 (en) * 2008-06-18 2013-09-18 日本電気株式会社 Reconfigurable electronic circuit device
WO2010016857A1 (en) 2008-08-04 2010-02-11 Tabula, Inc. Trigger circuits and event counters for an ic
US8650514B2 (en) 2010-06-23 2014-02-11 Tabula, Inc. Rescaling
EP2586128B1 (en) 2010-06-23 2019-03-06 Altera Corporation Rescaling
US8760193B2 (en) 2011-07-01 2014-06-24 Tabula, Inc. Configurable storage elements
US9148151B2 (en) 2011-07-13 2015-09-29 Altera Corporation Configurable storage elements
US9203397B1 (en) 2011-12-16 2015-12-01 Altera Corporation Delaying start of user design execution
WO2013100783A1 (en) 2011-12-29 2013-07-04 Intel Corporation Method and system for control signalling in a data path module
US9000801B1 (en) 2013-02-27 2015-04-07 Tabula, Inc. Implementation of related clocks
US9154137B2 (en) 2013-07-04 2015-10-06 Altera Corporation Non-intrusive monitoring and control of integrated circuits
US10331583B2 (en) 2013-09-26 2019-06-25 Intel Corporation Executing distributed memory operations using processing elements connected by distributed channels
US8750365B1 (en) * 2013-11-27 2014-06-10 Redline Communications, Inc. System and method for multi-threaded OFDM channel equalizer with coprocessor
US9218443B1 (en) * 2014-11-12 2015-12-22 Xilinx, Inc. Heterogeneous multiprocessor program compilation targeting programmable integrated circuits
US9846660B2 (en) 2014-11-12 2017-12-19 Xilinx, Inc. Heterogeneous multiprocessor platform targeting programmable integrated circuits
US9984037B1 (en) 2015-04-27 2018-05-29 Synaptic Engines, Llc Scheduler for a fine grained graph processor
US10402168B2 (en) 2016-10-01 2019-09-03 Intel Corporation Low energy consumption mantissa multiplication for floating point multiply-add operations
US10558575B2 (en) * 2016-12-30 2020-02-11 Intel Corporation Processors, methods, and systems with a configurable spatial accelerator
US10572376B2 (en) 2016-12-30 2020-02-25 Intel Corporation Memory ordering in acceleration hardware
US10416999B2 (en) 2016-12-30 2019-09-17 Intel Corporation Processors, methods, and systems with a configurable spatial accelerator
US10474375B2 (en) 2016-12-30 2019-11-12 Intel Corporation Runtime address disambiguation in acceleration hardware
US10387319B2 (en) 2017-07-01 2019-08-20 Intel Corporation Processors, methods, and systems for a configurable spatial accelerator with memory system performance, power reduction, and atomics support features
US10445451B2 (en) 2017-07-01 2019-10-15 Intel Corporation Processors, methods, and systems for a configurable spatial accelerator with performance, correctness, and power reduction features
US10469397B2 (en) 2017-07-01 2019-11-05 Intel Corporation Processors and methods with configurable network-based dataflow operator circuits
US10515049B1 (en) 2017-07-01 2019-12-24 Intel Corporation Memory circuits and methods for distributed memory hazard detection and error recovery
US10445234B2 (en) 2017-07-01 2019-10-15 Intel Corporation Processors, methods, and systems for a configurable spatial accelerator with transactional and replay features
US10515046B2 (en) 2017-07-01 2019-12-24 Intel Corporation Processors, methods, and systems with a configurable spatial accelerator
US10467183B2 (en) 2017-07-01 2019-11-05 Intel Corporation Processors and methods for pipelined runtime services in a spatial array
US10496574B2 (en) 2017-09-28 2019-12-03 Intel Corporation Processors, methods, and systems for a memory fence in a configurable spatial accelerator
US11086816B2 (en) 2017-09-28 2021-08-10 Intel Corporation Processors, methods, and systems for debugging a configurable spatial accelerator
US10380063B2 (en) 2017-09-30 2019-08-13 Intel Corporation Processors, methods, and systems with a configurable spatial accelerator having a sequencer dataflow operator
US10445098B2 (en) 2017-09-30 2019-10-15 Intel Corporation Processors and methods for privileged configuration in a spatial array
US10956241B1 (en) 2017-12-20 2021-03-23 Xilinx, Inc. Unified container for hardware and software binaries
US10417175B2 (en) 2017-12-30 2019-09-17 Intel Corporation Apparatus, methods, and systems for memory consistency in a configurable spatial accelerator
US10565134B2 (en) 2017-12-30 2020-02-18 Intel Corporation Apparatus, methods, and systems for multicast in a configurable spatial accelerator
US10445250B2 (en) 2017-12-30 2019-10-15 Intel Corporation Apparatus, methods, and systems with a configurable spatial accelerator
US11307873B2 (en) 2018-04-03 2022-04-19 Intel Corporation Apparatus, methods, and systems for unstructured data flow in a configurable spatial accelerator with predicate propagation and merging
US10564980B2 (en) 2018-04-03 2020-02-18 Intel Corporation Apparatus, methods, and systems for conditional queues in a configurable spatial accelerator
WO2019241979A1 (en) * 2018-06-22 2019-12-26 Huawei Technologies Co., Ltd. Method of deadlock detection and synchronization-aware optimizations on asynchronous processor architectures
US10891240B2 (en) 2018-06-30 2021-01-12 Intel Corporation Apparatus, methods, and systems for low latency communication in a configurable spatial accelerator
US11200186B2 (en) 2018-06-30 2021-12-14 Intel Corporation Apparatuses, methods, and systems for operations in a configurable spatial accelerator
US10459866B1 (en) 2018-06-30 2019-10-29 Intel Corporation Apparatuses, methods, and systems for integrated control and data processing in a configurable spatial accelerator
US10853073B2 (en) 2018-06-30 2020-12-01 Intel Corporation Apparatuses, methods, and systems for conditional operations in a configurable spatial accelerator
US11803507B2 (en) 2018-10-29 2023-10-31 Secturion Systems, Inc. Data stream protocol field decoding by a systolic array
US11263011B2 (en) * 2018-11-28 2022-03-01 International Business Machines Corporation Compound instruction set architecture for a neural inference chip
US10678724B1 (en) 2018-12-29 2020-06-09 Intel Corporation Apparatuses, methods, and systems for in-network storage in a configurable spatial accelerator
US10789401B1 (en) * 2019-03-06 2020-09-29 Xilinx, Inc. Folding multiply-and-accumulate logic
US10817291B2 (en) 2019-03-30 2020-10-27 Intel Corporation Apparatuses, methods, and systems for swizzle operations in a configurable spatial accelerator
US10915471B2 (en) 2019-03-30 2021-02-09 Intel Corporation Apparatuses, methods, and systems for memory interface circuit allocation in a configurable spatial accelerator
US10965536B2 (en) 2019-03-30 2021-03-30 Intel Corporation Methods and apparatus to insert buffers in a dataflow graph
US11029927B2 (en) 2019-03-30 2021-06-08 Intel Corporation Methods and apparatus to detect and annotate backedges in a dataflow graph
US11037050B2 (en) 2019-06-29 2021-06-15 Intel Corporation Apparatuses, methods, and systems for memory interface circuit arbitration in a configurable spatial accelerator
US11907713B2 (en) 2019-12-28 2024-02-20 Intel Corporation Apparatuses, methods, and systems for fused operations using sign modification in a processing element of a configurable spatial accelerator
US11809908B2 (en) 2020-07-07 2023-11-07 SambaNova Systems, Inc. Runtime virtualization of reconfigurable data flow resources
RU2755274C1 (en) * 2020-12-04 2021-09-14 Федеральное государственное бюджетное образовательное учреждение высшего образования "Ростовский государственный экономический университет (РИНХ)" Device for generating minimal binary numbers
US11182221B1 (en) 2020-12-18 2021-11-23 SambaNova Systems, Inc. Inter-node buffer-based streaming for reconfigurable processor-as-a-service (RPaaS)
US11237880B1 (en) 2020-12-18 2022-02-01 SambaNova Systems, Inc. Dataflow all-reduce for reconfigurable processor systems
US11392740B2 (en) * 2020-12-18 2022-07-19 SambaNova Systems, Inc. Dataflow function offload to reconfigurable processors
US11782760B2 (en) 2021-02-25 2023-10-10 SambaNova Systems, Inc. Time-multiplexed use of reconfigurable hardware

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4918440A (en) * 1986-11-07 1990-04-17 Furtek Frederick C Programmable logic cell and array
EP0398552A2 (en) * 1989-05-02 1990-11-22 Tandem Computers Incorporated Linear array wafer scale integration architecture
WO2002071196A2 (en) * 2001-03-05 2002-09-12 Pact Informationstechnologie Gmbh Methods and devices for treating and processing data
US20020138716A1 (en) * 2001-03-22 2002-09-26 Quicksilver Technology, Inc. Adaptive integrated circuitry with heterogeneous and reconfigurable matrices of diverse and adaptive computational units having fixed, application specific computational elements

Family Cites Families (589)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US34363A (en) * 1862-02-11 Improvement in machinery for cleaning cotton
US34444A (en) * 1862-02-18 Improvement in pumps for deep wells
US10450A (en) * 1854-01-24 Thomas peossbr
US2067477A (en) 1931-03-20 1937-01-12 Allis Chalmers Mfg Co Gearing
GB971191A (en) 1962-05-28 1964-09-30 Wolf Electric Tools Ltd Improvements relating to electrically driven equipment
US3564506A (en) 1968-01-17 1971-02-16 Ibm Instruction retry byte counter
GB1253309A (en) 1969-11-21 1971-11-10 Marconi Co Ltd Improvements in or relating to data processing arrangements
US3753008A (en) 1970-06-20 1973-08-14 Honeywell Inf Systems Memory pre-driver circuit
US3855577A (en) 1973-06-11 1974-12-17 Texas Instruments Inc Power saving circuit for calculator system
DE2713648A1 (en) 1976-03-26 1977-10-06 Tokyo Shibaura Electric Co POWER SUPPLY CONTROL DEVICE FOR STORAGE DEVICES
US4044243A (en) * 1976-07-23 1977-08-23 Nestor Associates Information processing system
US4233667A (en) 1978-10-23 1980-11-11 International Business Machines Corporation Demand powered programmable logic array
US4442508A (en) 1981-08-05 1984-04-10 General Instrument Corporation Storage cells for use in two conductor data column storage logic arrays
US4498134A (en) 1982-01-26 1985-02-05 Hughes Aircraft Company Segregator functional plane for use in a modular array processor
US4590583A (en) 1982-07-16 1986-05-20 At&T Bell Laboratories Coin telephone measurement circuitry
US4498172A (en) 1982-07-26 1985-02-05 General Electric Company System for polynomial division self-testing of digital networks
US4667190A (en) 1982-07-30 1987-05-19 Honeywell Inc. Two axis fast access memory
JPS5936857A (en) 1982-08-25 1984-02-29 Nec Corp Processor unit
US4663706A (en) 1982-10-28 1987-05-05 Tandem Computers Incorporated Multiprocessor multisystem communications network
US4594682A (en) 1982-12-22 1986-06-10 Ibm Corporation Vector processing
US4739474A (en) 1983-03-10 1988-04-19 Martin Marietta Corporation Geometric-arithmetic parallel processor
US4566102A (en) 1983-04-18 1986-01-21 International Business Machines Corporation Parallel-shift error reconfiguration
US5123109A (en) 1983-05-31 1992-06-16 Thinking Machines Corporation Parallel processor including a processor array with plural data transfer arrangements including (1) a global router and (2) a proximate-neighbor transfer system
US4571736A (en) 1983-10-31 1986-02-18 University Of Southwestern Louisiana Digital communication system employing differential coding and sample robbing
US4870302A (en) 1984-03-12 1989-09-26 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
USRE34363E (en) 1984-03-12 1993-08-31 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
JPS60198618A (en) 1984-03-21 1985-10-08 Oki Electric Ind Co Ltd Dynamic logical circuit
US4761755A (en) 1984-07-11 1988-08-02 Prime Computer, Inc. Data processing system and method having an improved arithmetic unit
US4682284A (en) 1984-12-06 1987-07-21 American Telephone & Telegraph Co., At&T Bell Lab. Queue administration method and apparatus
US4623997A (en) 1984-12-13 1986-11-18 United Technologies Corporation Coherent interface with wraparound receive and transmit memories
DE3681463D1 (en) 1985-01-29 1991-10-24 Secr Defence Brit PROCESSING CELL FOR ERROR-TOLERANT MATRIX ARRANGEMENTS.
US4720778A (en) 1985-01-31 1988-01-19 Hewlett Packard Company Software debugging analyzer
US5023775A (en) 1985-02-14 1991-06-11 Intel Corporation Software programmable logic array utilizing "and" and "or" gates
US5247689A (en) 1985-02-25 1993-09-21 Ewert Alfred P Parallel digital processor including lateral transfer buses with interrupt switches to form bus interconnection segments
US4706216A (en) 1985-02-27 1987-11-10 Xilinx, Inc. Configurable logic element
US5225719A (en) 1985-03-29 1993-07-06 Advanced Micro Devices, Inc. Family of multiple segmented programmable logic blocks interconnected by a high speed centralized switch matrix
US5015884A (en) 1985-03-29 1991-05-14 Advanced Micro Devices, Inc. Multiple array high performance programmable logic device family
US4972314A (en) 1985-05-20 1990-11-20 Hughes Aircraft Company Data flow signal processor method and apparatus
US4967340A (en) 1985-06-12 1990-10-30 E-Systems, Inc. Adaptive processing system having an array of individually configurable processing components
GB8517376D0 (en) 1985-07-09 1985-08-14 Jesshope C R Processor array
US4720780A (en) * 1985-09-17 1988-01-19 The Johns Hopkins University Memory-linked wavefront array processor
EP0221360B1 (en) 1985-11-04 1992-12-30 International Business Machines Corporation Digital data message transmission networks and the establishing of communication paths therein
US4852048A (en) 1985-12-12 1989-07-25 Itt Corporation Single instruction multiple data (SIMD) cellular array processing apparatus employing a common bus where a first number of bits manifest a first bus portion and a second number of bits manifest a second bus portion
US5021947A (en) 1986-03-31 1991-06-04 Hughes Aircraft Company Data-flow multiprocessor architecture with three dimensional multistage interconnection network for efficient signal and data processing
US4882687A (en) 1986-03-31 1989-11-21 Schlumberger Technology Corporation Pixel processor
US5034914A (en) 1986-05-15 1991-07-23 Aquidneck Systems International, Inc. Optical disk data storage method and apparatus with buffered interface
GB8612396D0 (en) 1986-05-21 1986-06-25 Hewlett Packard Ltd Chain-configured interface bus system
US4791603A (en) 1986-07-18 1988-12-13 Honeywell Inc. Dynamically reconfigurable array logic
US4860201A (en) 1986-09-02 1989-08-22 The Trustees Of Columbia University In The City Of New York Binary tree parallel processor
US4910665A (en) 1986-09-02 1990-03-20 General Electric Company Distributed processing system including reconfigurable elements
US5367208A (en) 1986-09-19 1994-11-22 Actel Corporation Reconfigurable programmable interconnect architecture
US4884231A (en) 1986-09-26 1989-11-28 Performance Semiconductor Corporation Microprocessor system with extended arithmetic logic unit
GB2211638A (en) 1987-10-27 1989-07-05 Ibm Simd array processor
FR2606184B1 (en) 1986-10-31 1991-11-29 Thomson Csf RECONFIGURABLE CALCULATION DEVICE
US4811214A (en) 1986-11-14 1989-03-07 Princeton University Multinode reconfigurable pipeline computer
US5226122A (en) 1987-08-21 1993-07-06 Compaq Computer Corp. Programmable logic system for filtering commands to a microprocessor
CA1299757C (en) 1987-08-28 1992-04-28 Brent Cameron Beardsley Device initiated partial system quiescing
US5119290A (en) 1987-10-02 1992-06-02 Sun Microsystems, Inc. Alias address support
CA1286421C (en) 1987-10-14 1991-07-16 Martin Claude Lefebvre Message fifo buffer controller
US5115510A (en) 1987-10-20 1992-05-19 Sharp Kabushiki Kaisha Multistage data flow processor with instruction packet, fetch, storage transmission and address generation controlled by destination information
US4918690A (en) 1987-11-10 1990-04-17 Echelon Systems Corp. Network and intelligent cell for providing sensing, bidirectional communications and control
US5113498A (en) 1987-11-10 1992-05-12 Echelon Corporation Input/output section for an intelligent cell which provides sensing, bidirectional communications and control
JPH01103715U (en) * 1987-12-28 1989-07-13
NL8800053A (en) 1988-01-11 1989-08-01 Philips Nv VIDEO PROCESSOR SYSTEM, IMAGE SYSTEM AND IMAGE STORAGE SYSTEM, PROVIDED WITH SUCH A VIDEO PROCESSOR SYSTEM.
USRE34444E (en) 1988-01-13 1993-11-16 Xilinx, Inc. Programmable logic device
NL8800071A (en) 1988-01-13 1989-08-01 Philips Nv DATA PROCESSOR SYSTEM AND VIDEO PROCESSOR SYSTEM, PROVIDED WITH SUCH A DATA PROCESSOR SYSTEM.
DE68917326T2 (en) 1988-01-20 1995-03-02 Advanced Micro Devices Inc Organization of an integrated cache memory for flexible use to support multiprocessor operations.
US5303172A (en) 1988-02-16 1994-04-12 Array Microsystems Pipelined combination and vector signal processor
US4959781A (en) 1988-05-16 1990-09-25 Stardent Computer, Inc. System for assigning interrupts to least busy processor that already loaded same class of interrupt routines
US4939641A (en) 1988-06-30 1990-07-03 Wang Laboratories, Inc. Multi-processor system with cache memories
JPH06101043B2 (en) 1988-06-30 1994-12-12 三菱電機株式会社 Microcomputer
US5287511A (en) 1988-07-11 1994-02-15 Star Semiconductor Corporation Architectures and methods for dividing processing tasks into tasks for a programmable real time signal processor and tasks for a decision making microprocessor interfacing therewith
WO1990001192A1 (en) 1988-07-22 1990-02-08 United States Department Of Energy Data flow machine for data driven computing
US5010401A (en) 1988-08-11 1991-04-23 Mitsubishi Denki Kabushiki Kaisha Picture coding and decoding apparatus using vector quantization
US5204935A (en) 1988-08-19 1993-04-20 Fuji Xerox Co., Ltd. Programmable fuzzy logic circuits
US4901268A (en) 1988-08-19 1990-02-13 General Electric Company Multiple function data processor
US5353432A (en) 1988-09-09 1994-10-04 Compaq Computer Corporation Interactive method for configuration of computer system and circuit boards with user specification of system resources and computer resolution of resource conflicts
ATE98833T1 (en) 1988-09-22 1994-01-15 Siemens Ag CIRCUIT ARRANGEMENT FOR TELECOMMUNICATION SWITCHING SYSTEMS, IN PARTICULAR PCM TIME MULTIPLEX TELEPHONE SWITCHING SYSTEMS WITH CENTRAL SWITCHING SYSTEM AND ATTACHED SUB-COUPLING SECTIONS.
WO1990004233A1 (en) 1988-10-05 1990-04-19 Mentor Graphics Corporation Method of using electronically reconfigurable gate array logic and apparatus formed thereby
EP0390907B1 (en) 1988-10-07 1996-07-03 Martin Marietta Corporation Parallel data processor
US5014193A (en) 1988-10-14 1991-05-07 Compaq Computer Corporation Dynamically configurable portable computer system
US5136717A (en) 1988-11-23 1992-08-04 Flavors Technology Inc. Realtime systolic, multiple-instruction, single-data parallel computer system
US5041924A (en) 1988-11-30 1991-08-20 Quantum Corporation Removable and transportable hard disk subsystem
US5081375A (en) 1989-01-19 1992-01-14 National Semiconductor Corp. Method for operating a multiple page programmable logic device
GB8906145D0 (en) 1989-03-17 1989-05-04 Algotronix Ltd Configurable cellular array
US5237686A (en) 1989-05-10 1993-08-17 Mitsubishi Denki Kabushiki Kaisha Multiprocessor type time varying image encoding system and image processor with memory bus control table for arbitration priority
US5109503A (en) 1989-05-22 1992-04-28 Ge Fanuc Automation North America, Inc. Apparatus with reconfigurable counter includes memory for storing plurality of counter configuration files which respectively define plurality of predetermined counters
JP2584673B2 (en) 1989-06-09 1997-02-26 株式会社日立製作所 Logic circuit test apparatus having test data change circuit
US5343406A (en) 1989-07-28 1994-08-30 Xilinx, Inc. Distributed memory architecture for a configurable logic array and method for using distributed memory
CA2021192A1 (en) 1989-07-28 1991-01-29 Malcolm A. Mumme Simplified synchronous mesh processor
US5233539A (en) 1989-08-15 1993-08-03 Advanced Micro Devices, Inc. Programmable gate array with improved interconnect structure, input/output structure and configurable logic block
US5489857A (en) 1992-08-03 1996-02-06 Advanced Micro Devices, Inc. Flexible synchronous/asynchronous cell structure for a high density programmable logic device
US5212652A (en) 1989-08-15 1993-05-18 Advanced Micro Devices, Inc. Programmable gate array with improved interconnect structure
US5128559A (en) 1989-09-29 1992-07-07 Sgs-Thomson Microelectronics, Inc. Logic block for programmable logic devices
JP2968289B2 (en) 1989-11-08 1999-10-25 株式会社リコー Central processing unit
GB8925721D0 (en) 1989-11-14 1990-01-04 Amt Holdings Processor array system
GB8925723D0 (en) 1989-11-14 1990-01-04 Amt Holdings Processor array system
US5522083A (en) 1989-11-17 1996-05-28 Texas Instruments Incorporated Reconfigurable multi-processor operating in SIMD mode with one processor fetching instructions for use by remaining processors
US5212777A (en) 1989-11-17 1993-05-18 Texas Instruments Incorporated Multi-processor reconfigurable in single instruction multiple data (SIMD) and multiple instruction multiple data (MIMD) modes and method of operation
DE58908974D1 (en) 1989-11-21 1995-03-16 Itt Ind Gmbh Deutsche Data controlled array processor.
US5099447A (en) 1990-01-22 1992-03-24 Alliant Computer Systems Corporation Blocked matrix multiplication for computers with hierarchical memory
WO1991011765A1 (en) 1990-01-29 1991-08-08 Teraplex, Inc. Architecture for minimal instruction set computing system
US5125801A (en) 1990-02-02 1992-06-30 Isco, Inc. Pumping system
US5036493A (en) 1990-03-15 1991-07-30 Digital Equipment Corporation System and method for reducing power usage by multiple memory modules
US5142469A (en) 1990-03-29 1992-08-25 Ge Fanuc Automation North America, Inc. Method for converting a programmable logic controller hardware configuration and corresponding control program for use on a first programmable logic controller to use on a second programmable logic controller
US5555201A (en) 1990-04-06 1996-09-10 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, including interactive system for hierarchical display of control and dataflow information
EP0463721A3 (en) 1990-04-30 1993-06-16 Gennum Corporation Digital signal processing device
WO1991017507A1 (en) 1990-05-07 1991-11-14 Mitsubishi Denki Kabushiki Kaisha Parallel data processing system
US5198705A (en) 1990-05-11 1993-03-30 Actel Corporation Logic module with configurable combinational and sequential blocks
US5483620A (en) 1990-05-22 1996-01-09 International Business Machines Corp. Learning machine synapse processor system apparatus
US5193202A (en) 1990-05-29 1993-03-09 Wavetracer, Inc. Processor array with relocated operand physical address generator capable of data transfer to distant physical processor for each virtual processor while simulating dimensionally larger array processor
CA2045773A1 (en) 1990-06-29 1991-12-30 Compaq Computer Corporation Byte-compare operation for high-performance processor
US5111079A (en) 1990-06-29 1992-05-05 Sgs-Thomson Microelectronics, Inc. Power reduction circuit for programmable logic device
SE9002558D0 (en) 1990-08-02 1990-08-02 Carlstedt Elektronik Ab PROCESSOR
DE4129614C2 (en) 1990-09-07 2002-03-21 Hitachi Ltd System and method for data processing
US5274593A (en) 1990-09-28 1993-12-28 Intergraph Corporation High speed redundant rows and columns for semiconductor memories
US5144166A (en) 1990-11-02 1992-09-01 Concurrent Logic, Inc. Programmable logic cell and array
US5794059A (en) 1990-11-13 1998-08-11 International Business Machines Corporation N-dimensional modified hypercube
US5588152A (en) 1990-11-13 1996-12-24 International Business Machines Corporation Advanced parallel processor including advanced support hardware
US5590345A (en) 1990-11-13 1996-12-31 International Business Machines Corporation Advanced parallel array processor(APAP)
US5625836A (en) 1990-11-13 1997-04-29 International Business Machines Corporation SIMD/MIMD processing memory element (PME)
US5734921A (en) 1990-11-13 1998-03-31 International Business Machines Corporation Advanced parallel array processor computer package
US5752067A (en) 1990-11-13 1998-05-12 International Business Machines Corporation Fully scalable parallel processing system having asynchronous SIMD processing
US5765011A (en) 1990-11-13 1998-06-09 International Business Machines Corporation Parallel processing system having a synchronous SIMD processing with processing elements emulating SIMD operation using individual instruction streams
US5617577A (en) 1990-11-13 1997-04-01 International Business Machines Corporation Advanced parallel array processor I/O connection
EP0485690B1 (en) 1990-11-13 1999-05-26 International Business Machines Corporation Parallel associative processor system
CA2051222C (en) 1990-11-30 1998-05-05 Pradeep S. Sindhu Consistent packet switched memory bus for shared memory multiprocessors
US5613128A (en) 1990-12-21 1997-03-18 Intel Corporation Programmable multi-processor interrupt controller system with a processor integrated local interrupt controller
US5276836A (en) 1991-01-10 1994-01-04 Hitachi, Ltd. Data processing device with common memory connecting mechanism
US5301284A (en) 1991-01-16 1994-04-05 Walker-Estes Corporation Mixed-resolution, N-dimensional object space method and apparatus
US5301344A (en) 1991-01-29 1994-04-05 Analogic Corporation Multibus sequential processor to perform in parallel a plurality of reconfigurable logic operations on a plurality of data sets
JP2867717B2 (en) 1991-02-01 1999-03-10 日本電気株式会社 Microcomputer
US5212716A (en) 1991-02-05 1993-05-18 International Business Machines Corporation Data edge phase sorting circuits
US5218302A (en) 1991-02-06 1993-06-08 Sun Electric Corporation Interface for coupling an analyzer to a distributorless ignition system
DE59107764D1 (en) 1991-02-22 1996-06-05 Siemens Ag Programmable logic controller
JPH04290155A (en) 1991-03-19 1992-10-14 Fujitsu Ltd Parallel data processing system
JPH04293151A (en) 1991-03-20 1992-10-16 Fujitsu Ltd Parallel data processing system
US5617547A (en) 1991-03-29 1997-04-01 International Business Machines Corporation Switch network extension of bus architecture
US5255221A (en) * 1991-04-02 1993-10-19 At&T Bell Laboratories Fully configurable versatile field programmable function element
WO1992018935A1 (en) 1991-04-09 1992-10-29 Fujitsu Limited Data processor and data processing method
JPH04328657A (en) 1991-04-30 1992-11-17 Toshiba Corp Cache memory
US5551033A (en) 1991-05-17 1996-08-27 Zenith Data Systems Corporation Apparatus for maintaining one interrupt mask register in conformity with another in a manner invisible to an executing program
WO1992022029A1 (en) 1991-05-24 1992-12-10 British Technology Group Usa, Inc. Optimizing compiler for computers
US5659797A (en) 1991-06-24 1997-08-19 U.S. Philips Corporation Sparc RISC based computer system including a single chip processor with memory management and DMA units coupled to a DRAM interface
JP3259969B2 (en) 1991-07-09 2002-02-25 株式会社東芝 Cache memory controller
US5347639A (en) 1991-07-15 1994-09-13 International Business Machines Corporation Self-parallelizing computer system and method
US5317209A (en) 1991-08-29 1994-05-31 National Semiconductor Corporation Dynamic three-state bussing capability in a configurable logic array
US5581731A (en) 1991-08-30 1996-12-03 King; Edward C. Method and apparatus for managing video data for faster access by selectively caching video data
US5550782A (en) 1991-09-03 1996-08-27 Altera Corporation Programmable logic array integrated circuits
US5260610A (en) 1991-09-03 1993-11-09 Altera Corporation Programmable logic element interconnections for programmable logic array integrated circuits
US5633830A (en) 1995-11-08 1997-05-27 Altera Corporation Random access memory block circuitry for programmable logic array integrated circuit devices
FR2681791B1 (en) 1991-09-27 1994-05-06 Salomon Sa VIBRATION DAMPING DEVICE FOR A GOLF CLUB.
US5450339A (en) * 1991-10-10 1995-09-12 Harris Corp Noncanonic fully systolic LMS adaptive architecture
CA2073516A1 (en) 1991-11-27 1993-05-28 Peter Michael Kogge Dynamic multi-mode parallel processor array architecture computer system
AU2939892A (en) 1991-12-06 1993-06-28 Richard S. Norman Massively-parallel direct output processor array
US5208491A (en) 1992-01-07 1993-05-04 Washington Research Foundation Field programmable gate array
FR2686175B1 (en) 1992-01-14 1996-12-20 Andre Thepaut MULTIPROCESSOR DATA PROCESSING SYSTEM.
US5412795A (en) 1992-02-25 1995-05-02 Micral, Inc. State machine having a variable timing mechanism for varying the duration of logical output states of the state machine based on variation in the clock frequency
JP2791243B2 (en) 1992-03-13 1998-08-27 株式会社東芝 Hierarchical synchronization system and large scale integrated circuit using the same
US5452401A (en) 1992-03-31 1995-09-19 Seiko Epson Corporation Selective power-down for high performance CPU/system
JP2647327B2 (en) 1992-04-06 1997-08-27 インターナショナル・ビジネス・マシーンズ・コーポレイション Massively parallel computing system equipment
US5493663A (en) 1992-04-22 1996-02-20 International Business Machines Corporation Method and apparatus for predetermining pages for swapping from physical memory in accordance with the number of accesses
JP2572522B2 (en) * 1992-05-12 1997-01-16 インターナショナル・ビジネス・マシーンズ・コーポレイション Computing device
US5611049A (en) 1992-06-03 1997-03-11 Pitts; William M. System for accessing distributed data cache channel at each network node to pass requests and data
EP0643855A1 (en) 1992-06-04 1995-03-22 Xilinx, Inc. Timing driven method for laying out a user's circuit onto a programmable integrated circuit device
DE4221278C2 (en) 1992-06-29 1996-02-29 Martin Vorbach Bus-linked multi-computer system
US5475803A (en) 1992-07-10 1995-12-12 Lsi Logic Corporation Method for 2-D affine transformation of images
JP3032382B2 (en) 1992-07-13 2000-04-17 シャープ株式会社 Digital signal sampling frequency converter
US5386154A (en) 1992-07-23 1995-01-31 Xilinx, Inc. Compact logic cell for field programmable gate array chip
US5365125A (en) 1992-07-23 1994-11-15 Xilinx, Inc. Logic cell for field programmable gate array having optional internal feedback and optional cascade
US5590348A (en) 1992-07-28 1996-12-31 International Business Machines Corporation Status predictor for combined shifter-rotate/merge unit
US5802290A (en) 1992-07-29 1998-09-01 Virtual Computer Corporation Computer network of distributed virtual computers which are EAC reconfigurable in response to instruction to be executed
US5581778A (en) 1992-08-05 1996-12-03 David Sarnoff Researach Center Advanced massively parallel computer using a field of the instruction to selectively enable the profiling counter to increase its value in response to the system clock
ATE237861T1 (en) 1992-09-03 2003-05-15 Sony Corp DATA RECORDING DEVICE AND METHOD
US5572710A (en) 1992-09-11 1996-11-05 Kabushiki Kaisha Toshiba High speed logic simulation system using time division emulation suitable for large scale logic circuits
US5425036A (en) 1992-09-18 1995-06-13 Quickturn Design Systems, Inc. Method and apparatus for debugging reconfigurable emulation systems
JPH06180653A (en) 1992-10-02 1994-06-28 Hudson Soft Co Ltd Interruption processing method and device therefor
GB9223226D0 (en) 1992-11-05 1992-12-16 Algotronix Ltd Improved configurable cellular array (cal ii)
US5497498A (en) 1992-11-05 1996-03-05 Giga Operations Corporation Video processing module using a second programmable logic device which reconfigures a first programmable logic device for data transformation
US5857109A (en) 1992-11-05 1999-01-05 Giga Operations Corporation Programmable logic device for real time video processing
US5392437A (en) 1992-11-06 1995-02-21 Intel Corporation Method and apparatus for independently stopping and restarting functional units
US5361373A (en) 1992-12-11 1994-11-01 Gilson Kent L Integrated circuit computing device comprising a dynamically configurable gate array having a microprocessor and reconfigurable instruction execution means and method therefor
US5311079A (en) 1992-12-17 1994-05-10 Ditlow Gary S Low power, high performance PLA
US5428526A (en) 1993-02-03 1995-06-27 Flood; Mark A. Programmable controller with time periodic communication
US5386518A (en) 1993-02-12 1995-01-31 Hughes Aircraft Company Reconfigurable computer interface and method
GB9303084D0 (en) 1993-02-16 1993-03-31 Inmos Ltd Programmable logic circuit
JPH06276086A (en) 1993-03-18 1994-09-30 Fuji Xerox Co Ltd Field programmable gate array
US5548773A (en) 1993-03-30 1996-08-20 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Digital parallel processor array for optimum path planning
US5596742A (en) 1993-04-02 1997-01-21 Massachusetts Institute Of Technology Virtual interconnections for reconfigurable logic systems
US5418953A (en) 1993-04-12 1995-05-23 Loral/Rohm Mil-Spec Corp. Method for automated deployment of a software program onto a multi-processor architecture
US5473266A (en) 1993-04-19 1995-12-05 Altera Corporation Programmable logic device having fast programmable logic array blocks and a central global interconnect array
AU6774894A (en) 1993-04-26 1994-11-21 Comdisco Systems, Inc. Method for scheduling synchronous data flow graphs
DE4416881C2 (en) 1993-05-13 1998-03-19 Pact Inf Tech Gmbh Method for operating a data processing device
US5435000A (en) 1993-05-19 1995-07-18 Bull Hn Information Systems Inc. Central processing unit using dual basic processing units and combined result bus
US5349193A (en) 1993-05-20 1994-09-20 Princeton Gamma Tech, Inc. Highly sensitive nuclear spectrometer apparatus and method
IT1260848B (en) 1993-06-11 1996-04-23 Finmeccanica Spa MULTIPROCESSOR SYSTEM
US5444394A (en) 1993-07-08 1995-08-22 Altera Corporation PLD with selective inputs from local and global conductors
JPH0736858A (en) 1993-07-21 1995-02-07 Hitachi Ltd Signal processor
US5581734A (en) 1993-08-02 1996-12-03 International Business Machines Corporation Multiprocessor system with shared cache and data input/output circuitry for transferring data amount greater than system bus capacity
CA2129882A1 (en) 1993-08-12 1995-02-13 Soheil Shams Dynamically reconfigurable interprocessor communication network for simd multiprocessors and apparatus implementing same
US5457644A (en) 1993-08-20 1995-10-10 Actel Corporation Field programmable digital signal processing array integrated circuit
GB2282244B (en) 1993-09-23 1998-01-14 Advanced Risc Mach Ltd Integrated circuit
US5440538A (en) 1993-09-23 1995-08-08 Massachusetts Institute Of Technology Communication system with redundant links and data bit time multiplexing
US6219688B1 (en) 1993-11-30 2001-04-17 Texas Instruments Incorporated Method, apparatus and system for sum of plural absolute differences
US5455525A (en) 1993-12-06 1995-10-03 Intelligent Logic Systems, Inc. Hierarchically-structured programmable logic array and system for interconnecting logic elements in the logic array
US5535406A (en) 1993-12-29 1996-07-09 Kolchinsky; Alexander Virtual processor module including a reconfigurable programmable matrix
US5680583A (en) 1994-02-16 1997-10-21 Arkos Design, Inc. Method and apparatus for a trace buffer in an emulation system
WO1995026001A1 (en) 1994-03-22 1995-09-28 Norman Richard S Efficient direct cell replacement fault tolerant architecture supporting completely integrated systems with means for direct communication with system operator
US5561738A (en) 1994-03-25 1996-10-01 Motorola, Inc. Data processor for executing a fuzzy logic operation and method therefor
US5574927A (en) 1994-03-25 1996-11-12 International Meta Systems, Inc. RISC architecture computer configured for emulation of the instruction set of a target computer
US5504439A (en) 1994-04-01 1996-04-02 Xilinx, Inc. I/O interface cell for use with optional pad
US5761484A (en) 1994-04-01 1998-06-02 Massachusetts Institute Of Technology Virtual interconnections for reconfigurable logic systems
US5781756A (en) 1994-04-01 1998-07-14 Xilinx, Inc. Programmable logic device with partially configurable memory cells and a method for configuration
US5430687A (en) 1994-04-01 1995-07-04 Xilinx, Inc. Programmable logic device including a parallel input device for loading memory cells
US5896551A (en) 1994-04-15 1999-04-20 Micron Technology, Inc. Initializing and reprogramming circuitry for state independent memory array burst operations control
US5426378A (en) 1994-04-20 1995-06-20 Xilinx, Inc. Programmable logic device which stores more than one configuration and means for switching configurations
JP2671804B2 (en) 1994-05-27 1997-11-05 日本電気株式会社 Hierarchical resource management method
US5532693A (en) 1994-06-13 1996-07-02 Advanced Hardware Architectures Adaptive data compression system with systolic string matching logic
EP0690378A1 (en) 1994-06-30 1996-01-03 Tandem Computers Incorporated Tool and method for diagnosing and correcting errors in a computer programm
JP3308770B2 (en) 1994-07-22 2002-07-29 三菱電機株式会社 Information processing apparatus and calculation method in information processing apparatus
US5600845A (en) 1994-07-27 1997-02-04 Metalithic Systems Incorporated Integrated circuit computing device comprising a dynamically configurable gate array having a microprocessor and reconfigurable instruction execution means and method therefor
JP3365581B2 (en) 1994-07-29 2003-01-14 富士通株式会社 Information processing device with self-healing function
US5574930A (en) 1994-08-12 1996-11-12 University Of Hawaii Computer system and method using functional memory
US5513366A (en) 1994-09-28 1996-04-30 International Business Machines Corporation Method and system for dynamically reconfiguring a register file in a vector processor
US5619720A (en) 1994-10-04 1997-04-08 Analog Devices, Inc. Digital signal processor having link ports for point-to-point communication
US5450022A (en) 1994-10-07 1995-09-12 Xilinx Inc. Structure and method for configuration of a field programmable gate array
EP0707269A1 (en) 1994-10-11 1996-04-17 International Business Machines Corporation Cache coherence network for a multiprocessor data processing system
US5530946A (en) 1994-10-28 1996-06-25 Dell Usa, L.P. Processor failure detection and recovery circuit in a dual processor computer system and method of operation thereof
US5815726A (en) 1994-11-04 1998-09-29 Altera Corporation Coarse-grained look-up table architecture
JPH08137824A (en) 1994-11-15 1996-05-31 Mitsubishi Semiconductor Software Kk Single-chip microcomputer with built-in self-test function
US6154826A (en) 1994-11-16 2000-11-28 University Of Virginia Patent Foundation Method and device for maximizing memory system bandwidth by accessing data in a dynamically determined order
US5584013A (en) 1994-12-09 1996-12-10 International Business Machines Corporation Hierarchical cache arrangement wherein the replacement of an LRU entry in a second level cache is prevented when the cache entry is the only inclusive entry in the first level cache
EP0721157A1 (en) 1994-12-12 1996-07-10 Advanced Micro Devices, Inc. Microprocessor with selectable clock frequency
US5537580A (en) 1994-12-21 1996-07-16 Vlsi Technology, Inc. Integrated circuit fabrication using state machine extraction from behavioral hardware description language
US5682491A (en) 1994-12-29 1997-10-28 International Business Machines Corporation Selective processing and routing of results among processors controlled by decoding instructions using mask value derived from instruction tag and processor identifier
US6128720A (en) 1994-12-29 2000-10-03 International Business Machines Corporation Distributed processing array with component processors performing customized interpretation of instructions
US5696791A (en) 1995-01-17 1997-12-09 Vtech Industries, Inc. Apparatus and method for decoding a sequence of digitally encoded data
US5532957A (en) 1995-01-31 1996-07-02 Texas Instruments Incorporated Field reconfigurable logic/memory array
US5493239A (en) 1995-01-31 1996-02-20 Motorola, Inc. Circuit and method of configuring a field programmable gate array
US5742180A (en) 1995-02-10 1998-04-21 Massachusetts Institute Of Technology Dynamically programmable gate array with multiple contexts
US6052773A (en) 1995-02-10 2000-04-18 Massachusetts Institute Of Technology DPGA-coupled microprocessors
US5659785A (en) 1995-02-10 1997-08-19 International Business Machines Corporation Array processor communication architecture with broadcast processor instructions
US5537057A (en) 1995-02-14 1996-07-16 Altera Corporation Programmable logic array device with grouped logic regions and three types of conductors
US5862403A (en) 1995-02-17 1999-01-19 Kabushiki Kaisha Toshiba Continuous data server apparatus and data transfer scheme enabling multiple simultaneous data accesses
US5892961A (en) 1995-02-17 1999-04-06 Xilinx, Inc. Field programmable gate array having programming instructions in the configuration bitstream
US5675743A (en) 1995-02-22 1997-10-07 Callisto Media Systems Inc. Multi-media server
US5570040A (en) 1995-03-22 1996-10-29 Altera Corporation Programmable logic array integrated circuit incorporating a first-in first-out memory
US5757207A (en) 1995-03-22 1998-05-26 Altera Corporation Programmable logic array integrated circuit incorporating a first-in first-out memory
US5752035A (en) 1995-04-05 1998-05-12 Xilinx, Inc. Method for compiling and executing programs for reprogrammable instruction set accelerator
US5748979A (en) 1995-04-05 1998-05-05 Xilinx Inc Reprogrammable instruction set accelerator using a plurality of programmable execution units and an instruction page table
JP3313007B2 (en) 1995-04-14 2002-08-12 三菱電機株式会社 Microcomputer
US5933642A (en) 1995-04-17 1999-08-03 Ricoh Corporation Compiling system and method for reconfigurable computing
US6077315A (en) 1995-04-17 2000-06-20 Ricoh Company Ltd. Compiling system and method for partially reconfigurable computing
US5794062A (en) 1995-04-17 1998-08-11 Ricoh Company Ltd. System and method for dynamically reconfigurable computing using a processing unit having changeable internal hardware organization
JP3948494B2 (en) 1995-04-28 2007-07-25 ザイリンクス,インコーポレイテッド Microprocessor with distributed registers accessible by programmable logic device
US5600597A (en) 1995-05-02 1997-02-04 Xilinx, Inc. Register protection structure for FPGA
US5701091A (en) 1995-05-02 1997-12-23 Xilinx, Inc. Routing resources for hierarchical FPGA
GB9508931D0 (en) 1995-05-02 1995-06-21 Xilinx Inc Programmable switch for FPGA input/output signals
US5541530A (en) 1995-05-17 1996-07-30 Altera Corporation Programmable logic array integrated circuits with blocks of logic regions grouped into super-blocks
US5649179A (en) 1995-05-19 1997-07-15 Motorola, Inc. Dynamic instruction allocation for a SIMD processor
US5821774A (en) 1995-05-26 1998-10-13 Xilinx, Inc. Structure and method for arithmetic function implementation in an EPLD having high speed product term allocation structure
JPH08328941A (en) 1995-05-31 1996-12-13 Nec Corp Memory access control circuit
JP3677315B2 (en) 1995-06-01 2005-07-27 シャープ株式会社 Data-driven information processing device
US5652529A (en) 1995-06-02 1997-07-29 International Business Machines Corporation Programmable array clock/reset resource
US5671432A (en) 1995-06-02 1997-09-23 International Business Machines Corporation Programmable array I/O-routing resource
US5646544A (en) 1995-06-05 1997-07-08 International Business Machines Corporation System and method for dynamically reconfiguring a programmable gate array
US5815715A (en) 1995-06-05 1998-09-29 Motorola, Inc. Method for designing a product having hardware and software components and product therefor
US5889982A (en) 1995-07-01 1999-03-30 Intel Corporation Method and apparatus for generating event handler vectors based on both operating mode and event type
US5559450A (en) 1995-07-27 1996-09-24 Lucent Technologies Inc. Field programmable gate array with multi-port RAM
US5978583A (en) 1995-08-07 1999-11-02 International Business Machines Corp. Method for resource control in parallel environments using program organization and run-time support
US5649176A (en) 1995-08-10 1997-07-15 Virtual Machine Works, Inc. Transition analysis and circuit resynthesis method and device for digital circuit modeling
US5996083A (en) 1995-08-11 1999-11-30 Hewlett-Packard Company Microprocessor having software controllable power consumption
GB2304438A (en) 1995-08-17 1997-03-19 Kenneth Austin Re-configurable application specific device
US5646545A (en) 1995-08-18 1997-07-08 Xilinx, Inc. Time multiplexed programmable logic device
US5778439A (en) 1995-08-18 1998-07-07 Xilinx, Inc. Programmable logic device with hierarchical confiquration and state storage
US5784313A (en) 1995-08-18 1998-07-21 Xilinx, Inc. Programmable logic device including configuration data or user data memory slices
US5583450A (en) 1995-08-18 1996-12-10 Xilinx, Inc. Sequencer for a time multiplexed programmable logic device
US5737565A (en) 1995-08-24 1998-04-07 International Business Machines Corporation System and method for diallocating stream from a stream buffer
US5737516A (en) 1995-08-30 1998-04-07 Motorola, Inc. Data processing system for performing a debug function and method therefor
US5734869A (en) 1995-09-06 1998-03-31 Chen; Duan-Ping High speed logic circuit simulator
US6430309B1 (en) 1995-09-15 2002-08-06 Monogen, Inc. Specimen preview and inspection system
US5652894A (en) 1995-09-29 1997-07-29 Intel Corporation Method and apparatus for providing power saving modes to a pipelined processor
US5745734A (en) 1995-09-29 1998-04-28 International Business Machines Corporation Method and system for programming a gate array using a compressed configuration bit stream
US5754827A (en) 1995-10-13 1998-05-19 Mentor Graphics Corporation Method and apparatus for performing fully visible tracing of an emulation
US5642058A (en) 1995-10-16 1997-06-24 Xilinx , Inc. Periphery input/output interconnect structure
US5815004A (en) 1995-10-16 1998-09-29 Xilinx, Inc. Multi-buffered configurable logic block output lines in a field programmable gate array
US5608342A (en) 1995-10-23 1997-03-04 Xilinx, Inc. Hierarchical programming of electrically configurable integrated circuits
US5656950A (en) 1995-10-26 1997-08-12 Xilinx, Inc. Interconnect lines including tri-directional buffer circuits
US5675262A (en) 1995-10-26 1997-10-07 Xilinx, Inc. Fast carry-out scheme in a field programmable gate array
US5943242A (en) 1995-11-17 1999-08-24 Pact Gmbh Dynamically reconfigurable data processing system
US5732209A (en) 1995-11-29 1998-03-24 Exponential Technology, Inc. Self-testing multi-processor die with internal compare points
US5773994A (en) 1995-12-15 1998-06-30 Cypress Semiconductor Corp. Method and apparatus for implementing an internal tri-state bus within a programmable logic circuit
JPH09231788A (en) 1995-12-19 1997-09-05 Fujitsu Ltd Shift register and programmable logic circuit and programmable logic circuit system
US7266725B2 (en) 2001-09-03 2007-09-04 Pact Xpp Technologies Ag Method for debugging reconfigurable architectures
US5804986A (en) 1995-12-29 1998-09-08 Cypress Semiconductor Corp. Memory in a programmable logic device
JP3247043B2 (en) 1996-01-12 2002-01-15 株式会社日立製作所 Information processing system and logic LSI for detecting failures using internal signals
KR100415417B1 (en) * 1996-01-15 2004-04-17 지멘스 악티엔게젤샤프트 Image-processing processor
US5760602A (en) 1996-01-17 1998-06-02 Hewlett-Packard Company Time multiplexing a plurality of configuration settings of a programmable switch element in a FPGA
JP2795244B2 (en) 1996-01-17 1998-09-10 日本電気株式会社 Program debugging system
US5854918A (en) 1996-01-24 1998-12-29 Ricoh Company Ltd. Apparatus and method for self-timed algorithmic execution
US5898602A (en) 1996-01-25 1999-04-27 Xilinx, Inc. Carry chain circuit with flexible carry function for implementing arithmetic and logical functions
US5635851A (en) 1996-02-02 1997-06-03 Xilinx, Inc. Read and writable data bus particularly for programmable logic devices
US5936424A (en) 1996-02-02 1999-08-10 Xilinx, Inc. High speed bus with tree structure for selecting bus driver
US5754459A (en) 1996-02-08 1998-05-19 Xilinx, Inc. Multiplier circuit design for a programmable logic device
KR0165515B1 (en) 1996-02-17 1999-01-15 김광호 Fifo method and apparatus of graphic data
GB9604496D0 (en) 1996-03-01 1996-05-01 Xilinx Inc Embedded memory for field programmable gate array
US6020758A (en) 1996-03-11 2000-02-01 Altera Corporation Partially reconfigurable programmable logic device
US5841973A (en) 1996-03-13 1998-11-24 Cray Research, Inc. Messaging in distributed memory multiprocessing system having shell circuitry for atomic control of message storage queue's tail pointer structure in local memory
US6279077B1 (en) 1996-03-22 2001-08-21 Texas Instruments Incorporated Bus interface buffer control in a microprocessor
US6311265B1 (en) 1996-03-25 2001-10-30 Torrent Systems, Inc. Apparatuses and methods for programming parallel computers
US6154049A (en) 1998-03-27 2000-11-28 Xilinx, Inc. Multiplier fabric for use in field programmable gate arrays
US5956518A (en) 1996-04-11 1999-09-21 Massachusetts Institute Of Technology Intermediate-grain reconfigurable processing device
US5687325A (en) 1996-04-19 1997-11-11 Chang; Web Application specific field programmable gate array
US6173434B1 (en) 1996-04-22 2001-01-09 Brigham Young University Dynamically-configurable digital processor using method for relocating logic array modules
US5960200A (en) 1996-05-03 1999-09-28 I-Cube System to transition an enterprise to a distributed infrastructure
US5894565A (en) 1996-05-20 1999-04-13 Atmel Corporation Field programmable gate array with distributed RAM and increased cell utilization
US5784636A (en) 1996-05-28 1998-07-21 National Semiconductor Corporation Reconfigurable computer architecture for use in signal processing applications
WO1997049042A1 (en) 1996-06-21 1997-12-24 Organic Systems, Inc. Dynamically reconfigurable hardware system for real-time control of processes
US5892370A (en) 1996-06-21 1999-04-06 Quicklogic Corporation Clock network for field programmable gate array
US6785826B1 (en) 1996-07-17 2004-08-31 International Business Machines Corporation Self power audit and control circuitry for microprocessor functional units
US6023742A (en) 1996-07-18 2000-02-08 University Of Washington Reconfigurable computing architecture for providing pipelined data paths
US6023564A (en) 1996-07-19 2000-02-08 Xilinx, Inc. Data processing system using a flash reconfigurable logic device as a dynamic execution unit for a sequence of instructions
KR100280285B1 (en) 1996-08-19 2001-02-01 윤종용 Multimedia processor suitable for multimedia signals
US5838165A (en) 1996-08-21 1998-11-17 Chatter; Mukesh High performance self modifying on-the-fly alterable logic FPGA, architecture and method
US5933023A (en) 1996-09-03 1999-08-03 Xilinx, Inc. FPGA architecture having RAM blocks with programmable word length and width and dedicated address and data lines
US6624658B2 (en) 1999-02-04 2003-09-23 Advantage Logic, Inc. Method and apparatus for universal program controlled bus architecture
US5859544A (en) 1996-09-05 1999-01-12 Altera Corporation Dynamic configurable elements for programmable logic devices
US6049866A (en) 1996-09-06 2000-04-11 Silicon Graphics, Inc. Method and system for an efficient user mode cache manipulation using a simulated instruction
JP3934710B2 (en) 1996-09-13 2007-06-20 株式会社ルネサステクノロジ Microprocessor
US5828858A (en) * 1996-09-16 1998-10-27 Virginia Tech Intellectual Properties, Inc. Worm-hole run-time reconfigurable processor field programmable gate array (FPGA)
US6178494B1 (en) 1996-09-23 2001-01-23 Virtual Computer Corporation Modular, hybrid processor and method for producing a modular, hybrid processor
US5694602A (en) 1996-10-01 1997-12-02 The United States Of America As Represented By The Secretary Of The Air Force Weighted system and method for spatial allocation of a parallel load
US5832288A (en) 1996-10-18 1998-11-03 Samsung Electronics Co., Ltd. Element-select mechanism for a vector processor
US5901279A (en) 1996-10-18 1999-05-04 Hughes Electronics Corporation Connection of spares between multiple programmable devices
US5892962A (en) 1996-11-12 1999-04-06 Lucent Technologies Inc. FPGA-based processor
US5844422A (en) 1996-11-13 1998-12-01 Xilinx, Inc. State saving and restoration in reprogrammable FPGAs
US5860119A (en) 1996-11-25 1999-01-12 Vlsi Technology, Inc. Data-packet fifo buffer system with end-of-packet flags
US6005410A (en) 1996-12-05 1999-12-21 International Business Machines Corporation Interconnect structure between heterogeneous core regions in a programmable array
DE19651075A1 (en) 1996-12-09 1998-06-10 Pact Inf Tech Gmbh Unit for processing numerical and logical operations, for use in processors (CPU's), multi-computer systems, data flow processors (DFP's), digital signal processors (DSP's) or the like
US6338106B1 (en) 1996-12-20 2002-01-08 Pact Gmbh I/O and memory bus system for DFPS and units with two or multi-dimensional programmable cell architectures
DE19654593A1 (en) 1996-12-20 1998-07-02 Pact Inf Tech Gmbh Reconfiguration procedure for programmable blocks at runtime
DE19654595A1 (en) 1996-12-20 1998-07-02 Pact Inf Tech Gmbh I0 and memory bus system for DFPs as well as building blocks with two- or multi-dimensional programmable cell structures
DE19654846A1 (en) 1996-12-27 1998-07-09 Pact Inf Tech Gmbh Process for the independent dynamic reloading of data flow processors (DFPs) as well as modules with two- or multi-dimensional programmable cell structures (FPGAs, DPGAs, etc.)
ATE243390T1 (en) * 1996-12-27 2003-07-15 Pact Inf Tech Gmbh METHOD FOR INDEPENDENT DYNAMIC LOADING OF DATA FLOW PROCESSORS (DFPS) AND COMPONENTS WITH TWO- OR MULTI-DIMENSIONAL PROGRAMMABLE CELL STRUCTURES (FPGAS, DPGAS, O.L.)
US6427156B1 (en) 1997-01-21 2002-07-30 Xilinx, Inc. Configurable logic block with AND gate for efficient multiplication in FPGAS
EP0858167A1 (en) 1997-01-29 1998-08-12 Hewlett-Packard Company Field programmable processor device
EP0858168A1 (en) 1997-01-29 1998-08-12 Hewlett-Packard Company Field programmable processor array
DE19704044A1 (en) 1997-02-04 1998-08-13 Pact Inf Tech Gmbh Address generation with systems having programmable modules
US5865239A (en) 1997-02-05 1999-02-02 Micropump, Inc. Method for making herringbone gears
US6055619A (en) 1997-02-07 2000-04-25 Cirrus Logic, Inc. Circuits, system, and methods for processing multiple data streams
DE19704728A1 (en) 1997-02-08 1998-08-13 Pact Inf Tech Gmbh Method for self-synchronization of configurable elements of a programmable module
US6542998B1 (en) 1997-02-08 2003-04-01 Pact Gmbh Method of self-synchronization of configurable elements of a programmable module
DE19704742A1 (en) 1997-02-11 1998-09-24 Pact Inf Tech Gmbh Internal bus system for DFPs, as well as modules with two- or multi-dimensional programmable cell structures, for coping with large amounts of data with high networking effort
US6150837A (en) 1997-02-28 2000-11-21 Actel Corporation Enhanced field programmable gate array
US5927423A (en) 1997-03-05 1999-07-27 Massachusetts Institute Of Technology Reconfigurable footprint mechanism for omnidirectional vehicles
US5884075A (en) 1997-03-10 1999-03-16 Compaq Computer Corporation Conflict resolution using self-contained virtual devices
US6125408A (en) 1997-03-10 2000-09-26 Compaq Computer Corporation Resource type prioritization in generating a device configuration
US5857097A (en) 1997-03-10 1999-01-05 Digital Equipment Corporation Method for identifying reasons for dynamic stall cycles during the execution of a program
US6085317A (en) 1997-08-15 2000-07-04 Altera Corporation Reconfigurable computer architecture using programmable logic devices
AUPO647997A0 (en) 1997-04-30 1997-05-22 Canon Information Systems Research Australia Pty Ltd Memory controller architecture
US6246396B1 (en) 1997-04-30 2001-06-12 Canon Kabushiki Kaisha Cached color conversion method and apparatus
US6321366B1 (en) 1997-05-02 2001-11-20 Axis Systems, Inc. Timing-insensitive glitch-free logic system and method
US6389379B1 (en) 1997-05-02 2002-05-14 Axis Systems, Inc. Converification system and method
US6035371A (en) 1997-05-28 2000-03-07 3Com Corporation Method and apparatus for addressing a static random access memory device based on signals for addressing a dynamic memory access device
US6047115A (en) 1997-05-29 2000-04-04 Xilinx, Inc. Method for configuring FPGA memory planes for virtual hardware computation
US6421817B1 (en) 1997-05-29 2002-07-16 Xilinx, Inc. System and method of computation in a programmable logic device using virtual instructions
US6339840B1 (en) 1997-06-02 2002-01-15 Iowa State University Research Foundation, Inc. Apparatus and method for parallelizing legacy computer code
US6011407A (en) 1997-06-13 2000-01-04 Xilinx, Inc. Field programmable gate array with dedicated computer bus interface and method for configuring both
US5996048A (en) 1997-06-20 1999-11-30 Sun Microsystems, Inc. Inclusion vector architecture for a level two cache
US5838988A (en) 1997-06-25 1998-11-17 Sun Microsystems, Inc. Computer product for precise architectural update in an out-of-order processor
US6240502B1 (en) 1997-06-25 2001-05-29 Sun Microsystems, Inc. Apparatus for dynamically reconfiguring a processor
US5970254A (en) 1997-06-27 1999-10-19 Cooke; Laurence H. Integrated processor and programmable data path chip for reconfigurable computing
US5966534A (en) 1997-06-27 1999-10-12 Cooke; Laurence H. Method for compiling high level programming languages into an integrated processor with reconfigurable logic
US6437441B1 (en) 1997-07-10 2002-08-20 Kawasaki Microelectronics, Inc. Wiring structure of a semiconductor integrated circuit and a method of forming the wiring structure
US6020760A (en) 1997-07-16 2000-02-01 Altera Corporation I/O buffer circuit with pin multiplexing
US6282701B1 (en) 1997-07-31 2001-08-28 Mutek Solutions, Ltd. System and method for monitoring and analyzing the execution of computer programs
US6170051B1 (en) 1997-08-01 2001-01-02 Micron Technology, Inc. Apparatus and method for program level parallelism in a VLIW processor
US6078736A (en) 1997-08-28 2000-06-20 Xilinx, Inc. Method of designing FPGAs for dynamically reconfigurable computing
US6038656A (en) 1997-09-12 2000-03-14 California Institute Of Technology Pipelined completion for asynchronous communication
JP3612186B2 (en) 1997-09-19 2005-01-19 株式会社ルネサステクノロジ Data processing device
US6539415B1 (en) 1997-09-24 2003-03-25 Sony Corporation Method and apparatus for the allocation of audio/video tasks in a network system
US5966143A (en) 1997-10-14 1999-10-12 Motorola, Inc. Data allocation into multiple memories for concurrent access
SG82587A1 (en) 1997-10-21 2001-08-21 Sony Corp Recording apparatus, recording method, playback apparatus, playback method, recording/playback apparatus, recording/playback method, presentation medium and recording medium
JP4128251B2 (en) 1997-10-23 2008-07-30 富士通株式会社 Wiring density prediction method and cell placement apparatus
US6212544B1 (en) 1997-10-23 2001-04-03 International Business Machines Corporation Altering thread priorities in a multithreaded processor
US6076157A (en) 1997-10-23 2000-06-13 International Business Machines Corporation Method and apparatus to force a thread switch in a multithreaded processor
US6247147B1 (en) 1997-10-27 2001-06-12 Altera Corporation Enhanced embedded logic analyzer
US5915123A (en) 1997-10-31 1999-06-22 Silicon Spice Method and apparatus for controlling configuration memory contexts of processing elements in a network of multiple context processing elements
US6108760A (en) 1997-10-31 2000-08-22 Silicon Spice Method and apparatus for position independent reconfiguration in a network of multiple context processing elements
US6122719A (en) 1997-10-31 2000-09-19 Silicon Spice Method and apparatus for retiming in a network of multiple context processing elements
US6127908A (en) 1997-11-17 2000-10-03 Massachusetts Institute Of Technology Microelectro-mechanical system actuator device and reconfigurable circuits utilizing same
JP4197755B2 (en) 1997-11-19 2008-12-17 富士通株式会社 Signal transmission system, receiver circuit of the signal transmission system, and semiconductor memory device to which the signal transmission system is applied
US6212650B1 (en) 1997-11-24 2001-04-03 Xilinx, Inc. Interactive dubug tool for programmable circuits
US6128724A (en) * 1997-12-11 2000-10-03 Leland Stanford Junior University Computation using codes for controlling configurable computational circuit
US6091263A (en) 1997-12-12 2000-07-18 Xilinx, Inc. Rapidly reconfigurable FPGA having a multiple region architecture with reconfiguration caches useable as data RAM
US6567834B1 (en) 1997-12-17 2003-05-20 Elixent Limited Implementation of multipliers in programmable arrays
EP0926594B1 (en) 1997-12-17 2007-05-23 Hewlett-Packard Company, A Delaware Corporation Method of using primary and secondary processors
DE69841256D1 (en) 1997-12-17 2009-12-10 Panasonic Corp Command masking for routing command streams to a processor
DE69827589T2 (en) 1997-12-17 2005-11-03 Elixent Ltd. Configurable processing assembly and method of using this assembly to build a central processing unit
DE19861088A1 (en) 1997-12-22 2000-02-10 Pact Inf Tech Gmbh Repairing integrated circuits by replacing subassemblies with substitutes
US6049222A (en) 1997-12-30 2000-04-11 Xilinx, Inc Configuring an FPGA using embedded memory
US6172520B1 (en) 1997-12-30 2001-01-09 Xilinx, Inc. FPGA system with user-programmable configuration ports and method for reconfiguring the FPGA
US6260114B1 (en) 1997-12-30 2001-07-10 Mcmz Technology Innovations, Llc Computer cache memory windowing
US6301706B1 (en) 1997-12-31 2001-10-09 Elbrus International Limited Compiler method and apparatus for elimination of redundant speculative computations from innermost loops
US6105106A (en) 1997-12-31 2000-08-15 Micron Technology, Inc. Computer system, memory device and shift register including a balanced switching circuit with series connected transfer gates which are selectively clocked for fast switching times
US6216223B1 (en) 1998-01-12 2001-04-10 Billions Of Operations Per Second, Inc. Methods and apparatus to dynamically reconfigure the instruction pipeline of an indirect very long instruction word scalable processor
US6034538A (en) 1998-01-21 2000-03-07 Lucent Technologies Inc. Virtual logic system for reconfigurable hardware
US6230307B1 (en) 1998-01-26 2001-05-08 Xilinx, Inc. System and method for programming the hardware of field programmable gate arrays (FPGAs) and related reconfiguration resources as if they were software by creating hardware objects
US6389579B1 (en) 1998-01-26 2002-05-14 Chameleon Systems Reconfigurable logic for table lookup
DE69919059T2 (en) 1998-02-04 2005-01-27 Texas Instruments Inc., Dallas Data processing system with a digital signal processor and a coprocessor and data processing method
US7152027B2 (en) 1998-02-17 2006-12-19 National Instruments Corporation Reconfigurable test system
US6086628A (en) 1998-02-17 2000-07-11 Lucent Technologies Inc. Power-related hardware-software co-synthesis of heterogeneous distributed embedded systems
US6198304B1 (en) 1998-02-23 2001-03-06 Xilinx, Inc. Programmable logic device
US6096091A (en) 1998-02-24 2000-08-01 Advanced Micro Devices, Inc. Dynamically reconfigurable logic networks interconnected by fall-through FIFOs for flexible pipeline processing in a system-on-a-chip
DE19807872A1 (en) 1998-02-25 1999-08-26 Pact Inf Tech Gmbh Method of managing configuration data in data flow processors
US6088800A (en) 1998-02-27 2000-07-11 Mosaid Technologies, Incorporated Encryption processor with shared memory interconnect
FR2776093A1 (en) * 1998-03-10 1999-09-17 Philips Electronics Nv PROGRAMMABLE PROCESSOR CIRCUIT PROVIDED WITH A RECONFIGURABLE MEMORY FOR PRODUCING A DIGITAL FILTER
US6298043B1 (en) 1998-03-28 2001-10-02 Nortel Networks Limited Communication system architecture and a connection verification mechanism therefor
US6374286B1 (en) 1998-04-06 2002-04-16 Rockwell Collins, Inc. Real time processor capable of concurrently running multiple independent JAVA machines
US6421808B1 (en) 1998-04-24 2002-07-16 Cadance Design Systems, Inc. Hardware design language for the design of integrated circuits
US6084429A (en) 1998-04-24 2000-07-04 Xilinx, Inc. PLD having a window pane architecture with segmented and staggered interconnect wiring between logic block arrays
US6173419B1 (en) 1998-05-14 2001-01-09 Advanced Technology Materials, Inc. Field programmable gate array (FPGA) emulator for debugging software
US6449283B1 (en) 1998-05-15 2002-09-10 Polytechnic University Methods and apparatus for providing a fast ring reservation arbitration
US5999990A (en) 1998-05-18 1999-12-07 Motorola, Inc. Communicator having reconfigurable resources
US6298396B1 (en) 1998-06-01 2001-10-02 Advanced Micro Devices, Inc. System for loading a current buffer desciptor register with a value different from current value to cause a previously read buffer descriptor to be read again
US6092174A (en) 1998-06-01 2000-07-18 Context, Inc. Dynamically reconfigurable distributed integrated circuit processor and method
JP3123977B2 (en) 1998-06-04 2001-01-15 日本電気株式会社 Programmable function block
US6282627B1 (en) 1998-06-29 2001-08-28 Chameleon Systems, Inc. Integrated processor and programmable data path chip for reconfigurable computing
US6202182B1 (en) 1998-06-30 2001-03-13 Lucent Technologies Inc. Method and apparatus for testing field programmable gate arrays
DE69803373T2 (en) 1998-07-06 2002-08-14 Hewlett Packard Co Wiring cells in logical fields
EP0974898A3 (en) 1998-07-24 2008-12-24 Interuniversitair Microelektronica Centrum Vzw A method for determining a storage-bandwidth optimized memory organization of an essentially digital device
US6137307A (en) 1998-08-04 2000-10-24 Xilinx, Inc. Structure and method for loading wide frames of data from a narrow input bus
DE19835189C2 (en) 1998-08-04 2001-02-08 Unicor Rohrsysteme Gmbh Device for the continuous production of seamless plastic pipes
US20020152060A1 (en) 1998-08-31 2002-10-17 Tseng Ping-Sheng Inter-chip communication system
JP2000076066A (en) 1998-09-02 2000-03-14 Fujitsu Ltd Signal processing circuit
US7100026B2 (en) 2001-05-30 2006-08-29 The Massachusetts Institute Of Technology System and method for performing efficient conditional vector operations for data parallel architectures involving both input and conditional vector values
US6205458B1 (en) 1998-09-21 2001-03-20 Rn2R, L.L.C. Adder and multiplier circuits employing logic gates having discrete, weighted inputs and methods of performing combinatorial operations therewith
US6421757B1 (en) * 1998-09-30 2002-07-16 Conexant Systems, Inc Method and apparatus for controlling the programming and erasing of flash memory
JP3551353B2 (en) 1998-10-02 2004-08-04 株式会社日立製作所 Data relocation method
US6215326B1 (en) 1998-11-18 2001-04-10 Altera Corporation Programmable logic device architecture with super-regions having logic regions and a memory region
DE69910826T2 (en) 1998-11-20 2004-06-17 Altera Corp., San Jose COMPUTER SYSTEM WITH RECONFIGURABLE PROGRAMMABLE LOGIC DEVICE
US6977649B1 (en) 1998-11-23 2005-12-20 3Dlabs, Inc. Ltd 3D graphics rendering with selective read suspend
JP2000181566A (en) 1998-12-14 2000-06-30 Mitsubishi Electric Corp Multiclock parallel processor
US6044030A (en) 1998-12-21 2000-03-28 Philips Electronics North America Corporation FIFO unit with single pointer
US6434695B1 (en) 1998-12-23 2002-08-13 Apple Computer, Inc. Computer operating system using compressed ROM image in RAM
US6757847B1 (en) 1998-12-29 2004-06-29 International Business Machines Corporation Synchronization for system analysis
US6496902B1 (en) 1998-12-31 2002-12-17 Cray Inc. Vector and scalar data cache for a vector multiprocessor
JP3585800B2 (en) 1999-01-13 2004-11-04 株式会社東芝 Information processing equipment
US6539438B1 (en) 1999-01-15 2003-03-25 Quickflex Inc. Reconfigurable computing system and method and apparatus employing same
US6490695B1 (en) 1999-01-22 2002-12-03 Sun Microsystems, Inc. Platform independent memory image analysis architecture for debugging a computer program
US6321298B1 (en) 1999-01-25 2001-11-20 International Business Machines Corporation Full cache coherency across multiple raid controllers
DE10028397A1 (en) 2000-06-13 2001-12-20 Pact Inf Tech Gmbh Registration method in operating a reconfigurable unit, involves evaluating acknowledgement signals of configurable cells with time offset to configuration
US7003660B2 (en) 2000-06-13 2006-02-21 Pact Xpp Technologies Ag Pipeline configuration unit protocols and communication
US6243808B1 (en) 1999-03-08 2001-06-05 Chameleon Systems, Inc. Digital data bit order conversion using universal switch matrix comprising rows of bit swapping selector groups
US6512804B1 (en) 1999-04-07 2003-01-28 Applied Micro Circuits Corporation Apparatus and method for multiple serial data synchronization using channel-lock FIFO buffers optimized for jitter
US6286134B1 (en) 1999-04-23 2001-09-04 Sun Microsystems, Inc. Instruction selection in a multi-platform environment
JP2000311156A (en) 1999-04-27 2000-11-07 Mitsubishi Electric Corp Reconfigurable parallel computer
US6381624B1 (en) 1999-04-29 2002-04-30 Hewlett-Packard Company Faster multiply/accumulator
US6298472B1 (en) 1999-05-07 2001-10-02 Chameleon Systems, Inc. Behavioral silicon construct architecture and mapping
US6748440B1 (en) 1999-05-12 2004-06-08 Microsoft Corporation Flow of streaming data through multiple processing modules
US7007096B1 (en) 1999-05-12 2006-02-28 Microsoft Corporation Efficient splitting and mixing of streaming-data frames for processing through multiple processing modules
US6211697B1 (en) 1999-05-25 2001-04-03 Actel Integrated circuit that includes a field-programmable gate array and a hard gate array having the same underlying structure
DE19926538A1 (en) 1999-06-10 2000-12-14 Pact Inf Tech Gmbh Hardware with decoupled configuration register partitions data flow or control flow graphs into time-separated sub-graphs and forms and implements them sequentially on a component
EP1061439A1 (en) 1999-06-15 2000-12-20 Hewlett-Packard Company Memory and instructions in computer architecture containing processor and coprocessor
US6757892B1 (en) 1999-06-24 2004-06-29 Sarnoff Corporation Method for determining an optimal partitioning of data among several memories
US6347346B1 (en) 1999-06-30 2002-02-12 Chameleon Systems, Inc. Local memory unit system with global access for use on reconfigurable chips
JP3420121B2 (en) 1999-06-30 2003-06-23 Necエレクトロニクス株式会社 Nonvolatile semiconductor memory device
GB2352548B (en) 1999-07-26 2001-06-06 Sun Microsystems Inc Method and apparatus for executing standard functions in a computer system
US6745317B1 (en) 1999-07-30 2004-06-01 Broadcom Corporation Three level direct communication connections between neighboring multiple context processing elements
US6370596B1 (en) 1999-08-03 2002-04-09 Chameleon Systems, Inc. Logic flag registers for monitoring processing system events
US6341318B1 (en) 1999-08-10 2002-01-22 Chameleon Systems, Inc. DMA data streaming
US6204687B1 (en) 1999-08-13 2001-03-20 Xilinx, Inc. Method and structure for configuring FPGAS
US6438747B1 (en) 1999-08-20 2002-08-20 Hewlett-Packard Company Programmatic iteration scheduling for parallel processors
US6507947B1 (en) 1999-08-20 2003-01-14 Hewlett-Packard Company Programmatic synthesis of processor element arrays
US6606704B1 (en) 1999-08-31 2003-08-12 Intel Corporation Parallel multithreaded processor with plural microengines executing multiple threads each microengine having loadable microcode
US6311200B1 (en) 1999-09-23 2001-10-30 Chameleon Systems, Inc. Reconfigurable program sum of products generator
US6349346B1 (en) 1999-09-23 2002-02-19 Chameleon Systems, Inc. Control fabric unit including associated configuration memory and PSOP state machine adapted to provide configuration address to reconfigurable functional unit
US6288566B1 (en) 1999-09-23 2001-09-11 Chameleon Systems, Inc. Configuration state memory for functional blocks on a reconfigurable chip
US6631487B1 (en) 1999-09-27 2003-10-07 Lattice Semiconductor Corp. On-line testing of field programmable gate array resources
DE19946752A1 (en) 1999-09-29 2001-04-12 Infineon Technologies Ag Reconfigurable gate array
US6412043B1 (en) 1999-10-01 2002-06-25 Hitachi, Ltd. Microprocessor having improved memory management unit and cache memory
US6598128B1 (en) 1999-10-01 2003-07-22 Hitachi, Ltd. Microprocessor having improved memory management unit and cache memory
US6665758B1 (en) 1999-10-04 2003-12-16 Ncr Corporation Software sanity monitor
US6434642B1 (en) 1999-10-07 2002-08-13 Xilinx, Inc. FIFO memory system and method with improved determination of full and empty conditions and amount of data stored
WO2001037083A2 (en) * 1999-11-18 2001-05-25 Sun Microsystems, Inc. Decompression bit processing with a general purpose alignment tool
JP2001167066A (en) 1999-12-08 2001-06-22 Nec Corp Inter-processor communication method and multiprocessor system
US6625654B1 (en) 1999-12-28 2003-09-23 Intel Corporation Thread signaling in multi-threaded network processor
US6633181B1 (en) 1999-12-30 2003-10-14 Stretch, Inc. Multi-scale programmable array
EP1630685B1 (en) 2000-01-07 2008-04-09 Nippon Telegraph and Telephone Corporation Function reconfigurable semiconductor device and integrated circuit configuring the semiconductor device
JP2001202236A (en) 2000-01-20 2001-07-27 Fuji Xerox Co Ltd Data processing method for programmable logic circuit device and the same device and information processing system and circuit reconstituting method for the same device
WO2001055866A1 (en) 2000-01-28 2001-08-02 Morphics Technolgoy Inc. A wireless spread spectrum communication platform using dynamically reconfigurable logic
US6496971B1 (en) 2000-02-07 2002-12-17 Xilinx, Inc. Supporting multiple FPGA configuration modes using dedicated on-chip processor
US6487709B1 (en) 2000-02-09 2002-11-26 Xilinx, Inc. Run-time routing for programmable logic devices
US6519674B1 (en) 2000-02-18 2003-02-11 Chameleon Systems, Inc. Configuration bits layout
JP2001236221A (en) 2000-02-21 2001-08-31 Keisuke Shindo Pipe line parallel processor using multi-thread
US6865663B2 (en) 2000-02-24 2005-03-08 Pts Corporation Control processor dynamically loading shadow instruction register associated with memory entry of coprocessor in flexible coupling mode
JP3674515B2 (en) 2000-02-25 2005-07-20 日本電気株式会社 Array type processor
US6434672B1 (en) 2000-02-29 2002-08-13 Hewlett-Packard Company Methods and apparatus for improving system performance with a shared cache memory
US6539477B1 (en) 2000-03-03 2003-03-25 Chameleon Systems, Inc. System and method for control synthesis using a reachable states look-up table
KR100841411B1 (en) 2000-03-14 2008-06-25 소니 가부시끼 가이샤 Transmission apparatus, reception apparatus, transmission method, reception method and recording medium
US6657457B1 (en) 2000-03-15 2003-12-02 Intel Corporation Data transfer on reconfigurable chip
US6871341B1 (en) 2000-03-24 2005-03-22 Intel Corporation Adaptive scheduling of function cells in dynamic reconfigurable logic
US6624819B1 (en) 2000-05-01 2003-09-23 Broadcom Corporation Method and system for providing a flexible and efficient processor for use in a graphics processing system
US6362650B1 (en) 2000-05-18 2002-03-26 Xilinx, Inc. Method and apparatus for incorporating a multiplier into an FPGA
US6373779B1 (en) 2000-05-19 2002-04-16 Xilinx, Inc. Block RAM having multiple configurable write modes for use in a field programmable gate array
US6725334B2 (en) 2000-06-09 2004-04-20 Hewlett-Packard Development Company, L.P. Method and system for exclusive two-level caching in a chip-multiprocessor
US7340596B1 (en) 2000-06-12 2008-03-04 Altera Corporation Embedded processor with watchdog timer for programmable logic
US6285624B1 (en) 2000-07-08 2001-09-04 Han-Ping Chen Multilevel memory access method
JP2002033457A (en) * 2000-07-18 2002-01-31 Hitachi Ltd Semiconductor integrated circuit device
DE10036627A1 (en) 2000-07-24 2002-02-14 Pact Inf Tech Gmbh Integrated cell matrix circuit has at least 2 different types of cells with interconnection terminals positioned to allow mixing of different cell types within matrix circuit
DE10129237A1 (en) 2000-10-09 2002-04-18 Pact Inf Tech Gmbh Integrated cell matrix circuit has at least 2 different types of cells with interconnection terminals positioned to allow mixing of different cell types within matrix circuit
JP2002041489A (en) 2000-07-25 2002-02-08 Mitsubishi Electric Corp Synchronizing signal generation circuit, processor system using the same and synchronizing signal generating method
US6538468B1 (en) 2000-07-31 2003-03-25 Cypress Semiconductor Corporation Method and apparatus for multiple boot-up functionalities for a programmable logic device (PLD)
US6542844B1 (en) 2000-08-02 2003-04-01 International Business Machines Corporation Method and apparatus for tracing hardware states using dynamically reconfigurable test circuits
US6754805B1 (en) 2000-08-07 2004-06-22 Transwitch Corporation Method and apparatus for configurable multi-cell digital signal processing employing global parallel configuration
WO2002013072A2 (en) 2000-08-07 2002-02-14 Altera Corporation Inter-device communication interface
DE60041444D1 (en) 2000-08-21 2009-03-12 Texas Instruments Inc microprocessor
US7249351B1 (en) 2000-08-30 2007-07-24 Broadcom Corporation System and method for preparing software for execution in a dynamically configurable hardware environment
US6829697B1 (en) 2000-09-06 2004-12-07 International Business Machines Corporation Multiple logical interfaces to a shared coprocessor resource
US6538470B1 (en) 2000-09-18 2003-03-25 Altera Corporation Devices and methods with programmable logic and digital signal processing regions
US7346644B1 (en) 2000-09-18 2008-03-18 Altera Corporation Devices and methods with programmable logic and digital signal processing regions
US6518787B1 (en) 2000-09-21 2003-02-11 Triscend Corporation Input/output architecture for efficient configuration of programmable input/output cells
US6525678B1 (en) 2000-10-06 2003-02-25 Altera Corporation Configuring a programmable logic device
US20040015899A1 (en) 2000-10-06 2004-01-22 Frank May Method for processing data
US7595659B2 (en) 2000-10-09 2009-09-29 Pact Xpp Technologies Ag Logic cell array and bus system
US20020045952A1 (en) 2000-10-12 2002-04-18 Blemel Kenneth G. High performance hybrid micro-computer
US6398383B1 (en) 2000-10-30 2002-06-04 Yu-Hwei Huang Flashlight carriable on one's person
JP3636986B2 (en) 2000-12-06 2005-04-06 松下電器産業株式会社 Semiconductor integrated circuit
GB2370380B (en) 2000-12-19 2003-12-31 Picochip Designs Ltd Processor architecture
KR20030007434A (en) 2000-12-20 2003-01-23 코닌클리즈케 필립스 일렉트로닉스 엔.브이. Data processing device with a configurable functional unit
US6483343B1 (en) 2000-12-29 2002-11-19 Quicklogic Corporation Configurable computational unit embedded in a programmable device
US6426649B1 (en) 2000-12-29 2002-07-30 Quicklogic Corporation Architecture for field programmable gate array
US6392912B1 (en) 2001-01-10 2002-05-21 Chameleon Systems, Inc. Loading data plane on reconfigurable chip
US7020673B2 (en) 2001-01-19 2006-03-28 Sony Corporation Reconfigurable arithmetic device and arithmetic system including that arithmetic device and address generation device and interleave device applicable to arithmetic system
US20020099759A1 (en) 2001-01-24 2002-07-25 Gootherts Paul David Load balancer with starvation avoidance
US6633242B2 (en) * 2001-02-08 2003-10-14 Sun Microsystems, Inc. Entropy coding using adaptable prefix codes
US6847370B2 (en) 2001-02-20 2005-01-25 3D Labs, Inc., Ltd. Planar byte memory organization with linear access
US7210129B2 (en) 2001-08-16 2007-04-24 Pact Xpp Technologies Ag Method for translating programs for reconfigurable architectures
US7444531B2 (en) 2001-03-05 2008-10-28 Pact Xpp Technologies Ag Methods and devices for treating and processing data
GB2373595B (en) * 2001-03-15 2005-09-07 Italtel Spa A system of distributed microprocessor interfaces toward macro-cell based designs implemented as ASIC or FPGA bread boarding and relative common bus protocol
US7325123B2 (en) * 2001-03-22 2008-01-29 Qst Holdings, Llc Hierarchical interconnect for configuring separate interconnects for each group of fixed and diverse computational elements
US20020143505A1 (en) 2001-04-02 2002-10-03 Doron Drusinsky Implementing a finite state machine using concurrent finite state machines with delayed communications and no shared control signals
US6792588B2 (en) 2001-04-02 2004-09-14 Intel Corporation Faster scalable floorplan which enables easier data control flow
US20030086300A1 (en) 2001-04-06 2003-05-08 Gareth Noyes FPGA coprocessing system
US6836842B1 (en) 2001-04-24 2004-12-28 Xilinx, Inc. Method of partial reconfiguration of a PLD in which only updated portions of configuration data are selected for reconfiguring the PLD
US6999984B2 (en) 2001-05-02 2006-02-14 Intel Corporation Modification to reconfigurable functional unit in a reconfigurable chip to perform linear feedback shift register function
US6802026B1 (en) 2001-05-15 2004-10-05 Xilinx, Inc. Parameterizable and reconfigurable debugger core generators
US6976239B1 (en) 2001-06-12 2005-12-13 Altera Corporation Methods and apparatus for implementing parameterizable processors and peripherals
WO2002103532A2 (en) 2001-06-20 2002-12-27 Pact Xpp Technologies Ag Data processing method
JP3580785B2 (en) 2001-06-29 2004-10-27 株式会社半導体理工学研究センター Look-up table, programmable logic circuit device having look-up table, and method of configuring look-up table
US7043416B1 (en) 2001-07-27 2006-05-09 Lsi Logic Corporation System and method for state restoration in a diagnostic module for a high-speed microprocessor
US7383421B2 (en) 2002-12-05 2008-06-03 Brightscale, Inc. Cellular engine for a data processing system
US7036114B2 (en) 2001-08-17 2006-04-25 Sun Microsystems, Inc. Method and apparatus for cycle-based computation
US6868476B2 (en) 2001-08-27 2005-03-15 Intel Corporation Software controlled content addressable memory in a general purpose execution datapath
US6874108B1 (en) 2001-08-27 2005-03-29 Agere Systems Inc. Fault tolerant operation of reconfigurable devices utilizing an adjustable system clock
US7216204B2 (en) 2001-08-27 2007-05-08 Intel Corporation Mechanism for providing early coherency detection to enable high performance memory updates in a latency sensitive multithreaded environment
US7472230B2 (en) 2001-09-14 2008-12-30 Hewlett-Packard Development Company, L.P. Preemptive write back controller
US20030056091A1 (en) 2001-09-14 2003-03-20 Greenberg Craig B. Method of scheduling in a reconfigurable hardware architecture with multiple hardware configurations
US20030055861A1 (en) 2001-09-18 2003-03-20 Lai Gary N. Multipler unit in reconfigurable chip
US20030052711A1 (en) 2001-09-19 2003-03-20 Taylor Bradley L. Despreader/correlator unit for use in reconfigurable chip
US6854073B2 (en) 2001-09-25 2005-02-08 International Business Machines Corporation Debugger program time monitor
US6798239B2 (en) 2001-09-28 2004-09-28 Xilinx, Inc. Programmable gate array having interconnecting logic to support embedded fixed logic circuitry
US6625631B2 (en) * 2001-09-28 2003-09-23 Intel Corporation Component reduction in montgomery multiplier processing element
US7000161B1 (en) 2001-10-15 2006-02-14 Altera Corporation Reconfigurable programmable logic system with configuration recovery mode
AU2002357739A1 (en) 2001-11-16 2003-06-10 Morpho Technologies Viterbi convolutional coding method and apparatus
US6886092B1 (en) 2001-11-19 2005-04-26 Xilinx, Inc. Custom code processing in PGA by providing instructions from fixed logic processor portion to programmable dedicated processor portion
US6668237B1 (en) 2002-01-17 2003-12-23 Xilinx, Inc. Run-time reconfigurable testing of programmable logic devices
US20030154349A1 (en) 2002-01-24 2003-08-14 Berg Stefan G. Program-directed cache prefetching for media processors
DE20221985U1 (en) 2002-02-01 2010-03-04 Tridonicatco Gmbh & Co. Kg Electronic ballast for gas discharge lamp
US6476634B1 (en) 2002-02-01 2002-11-05 Xilinx, Inc. ALU implementation in single PLD logic cell
US6961924B2 (en) 2002-05-21 2005-11-01 International Business Machines Corporation Displaying variable usage while debugging
US20070083730A1 (en) 2003-06-17 2007-04-12 Martin Vorbach Data processing device and method
US6865662B2 (en) * 2002-08-08 2005-03-08 Faraday Technology Corp. Controlling VLIW instruction operations supply to functional units using switches based on condition head field
US6976131B2 (en) 2002-08-23 2005-12-13 Intel Corporation Method and apparatus for shared cache coherency for a chip multiprocessor or multiprocessor system
US6803787B1 (en) 2002-09-25 2004-10-12 Lattice Semiconductor Corp. State machine in a programmable logic device
US7873811B1 (en) 2003-03-10 2011-01-18 The United States Of America As Represented By The United States Department Of Energy Polymorphous computing fabric
US7412581B2 (en) 2003-10-28 2008-08-12 Renesas Technology America, Inc. Processor for virtual machines and method therefor
US7567997B2 (en) 2003-12-29 2009-07-28 Xilinx, Inc. Applications of cascading DSP slices
US7472155B2 (en) 2003-12-29 2008-12-30 Xilinx, Inc. Programmable logic device with cascading DSP slices
US7870182B2 (en) 2003-12-29 2011-01-11 Xilinx Inc. Digital signal processing circuit having an adder circuit with carry-outs
US8495122B2 (en) 2003-12-29 2013-07-23 Xilinx, Inc. Programmable device with dynamic DSP architecture
US7840627B2 (en) 2003-12-29 2010-11-23 Xilinx, Inc. Digital signal processing circuit having input register blocks
US7038952B1 (en) 2004-05-04 2006-05-02 Xilinx, Inc. Block RAM with embedded FIFO buffer
US7759968B1 (en) 2006-09-27 2010-07-20 Xilinx, Inc. Method of and system for verifying configuration data
DE102006054052B4 (en) 2006-11-16 2018-11-29 Siemens Aktiengesellschaft Rotary joint
US7971051B2 (en) 2007-09-27 2011-06-28 Fujitsu Limited FPGA configuration protection and control using hardware watchdog timer
US20090193384A1 (en) 2008-01-25 2009-07-30 Mihai Sima Shift-enabled reconfigurable device
RU2470184C2 (en) 2008-01-29 2012-12-20 Дафын Фынтай Флуид Машинери Технолоджи Ко., Лтд. Rotary compressor
JP2010277303A (en) 2009-05-28 2010-12-09 Renesas Electronics Corp Semiconductor device and failure detection method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4918440A (en) * 1986-11-07 1990-04-17 Furtek Frederick C Programmable logic cell and array
EP0398552A2 (en) * 1989-05-02 1990-11-22 Tandem Computers Incorporated Linear array wafer scale integration architecture
WO2002071196A2 (en) * 2001-03-05 2002-09-12 Pact Informationstechnologie Gmbh Methods and devices for treating and processing data
US20020138716A1 (en) * 2001-03-22 2002-09-26 Quicksilver Technology, Inc. Adaptive integrated circuitry with heterogeneous and reconfigurable matrices of diverse and adaptive computational units having fixed, application specific computational elements

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
BAUMGARTE V ET AL: "PACT XPP - A Self-Reconfigurable Data Processing Architecture" NN, 25 June 2001 (2001-06-25), XP002256066 *
HARTENSTEIN R: "Coarse grain reconfigurable architectures" DESIGN AUTOMATION CONFERENCE, 2001. PROCEEDINGS OF THE ASP-DAC 2001. ASIA AND SOUTH PACIFIC JAN. 30 - FEB. 2, 2001, PISCATAWAY, NJ, USA,IEEE, 30 January 2001 (2001-01-30), pages 564-569, XP010537867 ISBN: 0-7803-6633-6 *
KOREN I ET AL: "A DATA-DRIVEN VLSI ARRAY FOR ARBITRARY ALGORITHMS" COMPUTER, IEEE COMPUTER SOCIETY, LONG BEACH., CA, US, US, vol. 21, no. 10, 1 October 1988 (1988-10-01), pages 30-34, XP000118929 ISSN: 0018-9162 *
YEUNG A K W ET AL: "A reconfigurable data-driven multiprocessor architecture for rapid prototyping of high throughput DSP algorithms" SYSTEM SCIENCES, 1993, PROCEEDING OF THE TWENTY-SIXTH HAWAII INTERNATIONAL CONFERENCE ON WAILEA, HI, USA 5-8 JAN. 1993, LOS ALAMITOS, CA, USA,IEEE, US, vol. i, 5 January 1993 (1993-01-05), pages 169-178, XP010640447 ISBN: 0-8186-3230-5 *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9047440B2 (en) 2000-10-06 2015-06-02 Pact Xpp Technologies Ag Logical cell array and bus system
US9037807B2 (en) 2001-03-05 2015-05-19 Pact Xpp Technologies Ag Processor arrangement on a chip including data processing, memory, and interface elements
US9075605B2 (en) 2001-03-05 2015-07-07 Pact Xpp Technologies Ag Methods and devices for treating and processing data
JP2009542098A (en) * 2006-06-21 2009-11-26 エレメント シーエックスアイ,エルエルシー Element controller for integrated circuit architecture with recovery capability

Also Published As

Publication number Publication date
US8812820B2 (en) 2014-08-19
JP2007504688A (en) 2007-03-01
JP4700611B2 (en) 2011-06-15
US20100241823A1 (en) 2010-09-23
WO2005045692A3 (en) 2006-03-02
EP1676208A2 (en) 2006-07-05
US20090172351A1 (en) 2009-07-02
US20140359255A1 (en) 2014-12-04

Similar Documents

Publication Publication Date Title
WO2005045692A2 (en) Data processing device and method
US8145881B2 (en) Data processing device and method
WO2005045692A9 (en) Data processing device and method
US20190004878A1 (en) Processors, methods, and systems for a configurable spatial accelerator with security, power reduction, and performace features
US5933855A (en) Shared, reconfigurable memory architectures for digital signal processing
US5301340A (en) IC chips including ALUs and identical register files whereby a number of ALUs directly and concurrently write results to every register file per cycle
US6353841B1 (en) Reconfigurable processor devices
US10013391B1 (en) Architecture emulation in a parallel processing environment
US20190102179A1 (en) Processors and methods for privileged configuration in a spatial array
Mai et al. Smart memories: A modular reconfigurable architecture
US20190004955A1 (en) Processors, methods, and systems for a configurable spatial accelerator with memory system performance, power reduction, and atomics support features
US9037836B2 (en) Shared load-store unit to monitor network activity and external memory transaction status for thread switching
US7809925B2 (en) Processing unit incorporating vectorizable execution unit
US7937558B2 (en) Processing system with interspersed processors and communication elements
US8194690B1 (en) Packet processing in a parallel processing environment
Khawam et al. The reconfigurable instruction cell array
US7636835B1 (en) Coupling data in a parallel processing environment
US6839831B2 (en) Data processing apparatus with register file bypass
WO2018237361A1 (en) High throughput processors
US20020124038A1 (en) Processor for processing variable length data
Gottlieb et al. Clustered programmable-reconfigurable processors
Evripidou et al. Data Driven Network of Workstations D2NOW
Denholm et al. A unified approach for managing heterogeneous processing elements on FPGAs
Hauser et al. SynZEN: A hybrid TTA/VLIW architecture with a distributed register file
US7112991B1 (en) Extended custom instructions

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
REEP Request for entry into the european phase

Ref document number: 2004803091

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2004803091

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2006524345

Country of ref document: JP

COP Corrected version of pamphlet

Free format text: PAGES 1-111, DESCRIPTION, REPLACED BY CORRECT PAGES 1-102; PAGES 1/37-37/37, DRAWINGS, ADDED

WWP Wipo information: published in national office

Ref document number: 2004803091

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2007113046

Country of ref document: US

Ref document number: 10570173

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 10570173

Country of ref document: US