WO2005045904A3 - Notch-free etching of high aspect soi structures using a time division multiplex process and rf bias modulation - Google Patents

Notch-free etching of high aspect soi structures using a time division multiplex process and rf bias modulation Download PDF

Info

Publication number
WO2005045904A3
WO2005045904A3 PCT/US2004/034803 US2004034803W WO2005045904A3 WO 2005045904 A3 WO2005045904 A3 WO 2005045904A3 US 2004034803 W US2004034803 W US 2004034803W WO 2005045904 A3 WO2005045904 A3 WO 2005045904A3
Authority
WO
WIPO (PCT)
Prior art keywords
notch
time division
high aspect
division multiplex
soi structures
Prior art date
Application number
PCT/US2004/034803
Other languages
French (fr)
Other versions
WO2005045904A2 (en
Inventor
Sunil Srinivasan
David Johnson
Russell Westerman
Original Assignee
Unaxis Usa Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Unaxis Usa Inc filed Critical Unaxis Usa Inc
Priority to DE602004018531T priority Critical patent/DE602004018531D1/en
Priority to EP04817803A priority patent/EP1676302B1/en
Priority to JP2006536773A priority patent/JP2007509506A/en
Publication of WO2005045904A2 publication Critical patent/WO2005045904A2/en
Publication of WO2005045904A3 publication Critical patent/WO2005045904A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material

Abstract

The present invention provides a method and an apparatus for reducing, or eliminating, the notching observed in the creation of SOI structures on a substrate when plasma etching through an alternating deposition/etch process by modulating the RF bias that is applied to the cathode. Modulation of the bias voltage to the cathode is accomplished either discretely, between at least two frequencies, or continuously during the alternating deposition/etch process.
PCT/US2004/034803 2003-10-21 2004-10-19 Notch-free etching of high aspect soi structures using a time division multiplex process and rf bias modulation WO2005045904A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE602004018531T DE602004018531D1 (en) 2003-10-21 2004-10-19 TOOL-FREE SEEDING OF SOI STRUCTURES WITH HIGH SEULTIPLEXED PROCESSES AND HF PREMODULATION
EP04817803A EP1676302B1 (en) 2003-10-21 2004-10-19 Notch-free etching of high aspect soi structures using a time division multiplex process and rf bias modulation
JP2006536773A JP2007509506A (en) 2003-10-21 2004-10-19 High-aspect SOI structure notch-etching using time division multiplexing and RF bias modulation

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US51293303P 2003-10-21 2003-10-21
US60/512,933 2003-10-21
US10/968,823 US20050112891A1 (en) 2003-10-21 2004-10-18 Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
US10/968,823 2004-10-18

Publications (2)

Publication Number Publication Date
WO2005045904A2 WO2005045904A2 (en) 2005-05-19
WO2005045904A3 true WO2005045904A3 (en) 2005-09-09

Family

ID=34576731

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/034803 WO2005045904A2 (en) 2003-10-21 2004-10-19 Notch-free etching of high aspect soi structures using a time division multiplex process and rf bias modulation

Country Status (6)

Country Link
US (2) US20050112891A1 (en)
EP (1) EP1676302B1 (en)
JP (1) JP2007509506A (en)
AT (1) ATE418157T1 (en)
DE (1) DE602004018531D1 (en)
WO (1) WO2005045904A2 (en)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7959819B2 (en) * 2004-06-29 2011-06-14 Shouliang Lai Method and apparatus for reducing aspect ratio dependent etching in time division multiplexed etch processes
US7749400B2 (en) * 2005-12-16 2010-07-06 Jason Plumhoff Method for etching photolithographic substrates
US7351664B2 (en) * 2006-05-30 2008-04-01 Lam Research Corporation Methods for minimizing mask undercuts and notches for plasma processing system
JP5082338B2 (en) * 2006-08-25 2012-11-28 東京エレクトロン株式会社 Etching method and etching apparatus
US7547636B2 (en) * 2007-02-05 2009-06-16 Lam Research Corporation Pulsed ultra-high aspect ratio dielectric etch
US7682986B2 (en) * 2007-02-05 2010-03-23 Lam Research Corporation Ultra-high aspect ratio dielectric etch
US7771606B2 (en) * 2007-02-22 2010-08-10 Applied Materials, Inc. Pulsed-plasma system with pulsed reaction gas replenish for etching semiconductors structures
US7737042B2 (en) * 2007-02-22 2010-06-15 Applied Materials, Inc. Pulsed-plasma system for etching semiconductor structures
US7718538B2 (en) * 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
KR20100128333A (en) * 2008-03-21 2010-12-07 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus of a substrate etching system and process
JP2010118549A (en) * 2008-11-13 2010-05-27 Tokyo Electron Ltd Plasma etching method and plasma etching device
JP2011100760A (en) * 2009-11-04 2011-05-19 Ulvac Japan Ltd Etching method
US8658541B2 (en) * 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
US9105705B2 (en) * 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
JP5718124B2 (en) * 2011-03-30 2015-05-13 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
US8758638B2 (en) * 2011-05-10 2014-06-24 Applied Materials, Inc. Copper oxide removal techniques
US8609548B2 (en) * 2011-06-06 2013-12-17 Lam Research Corporation Method for providing high etch rate
US20130119018A1 (en) * 2011-11-15 2013-05-16 Keren Jacobs Kanarik Hybrid pulsing plasma processing systems
US8883028B2 (en) * 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
GB2499816A (en) * 2012-02-29 2013-09-04 Oxford Instr Nanotechnology Tools Ltd Controlling deposition and etching in a chamber with fine time control of parameters and gas flow
JP5841917B2 (en) 2012-08-24 2016-01-13 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
JP5967710B2 (en) * 2012-09-28 2016-08-10 サムコ株式会社 End point detection method of plasma etching
CN103928283B (en) * 2013-01-10 2016-06-15 中微半导体设备(上海)有限公司 The method of the radio-frequency pulse power match of a kind of application of vacuum chamber and device thereof
US9653316B2 (en) * 2013-02-18 2017-05-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
JP6173086B2 (en) * 2013-07-19 2017-08-02 キヤノン株式会社 Etching method of silicon substrate
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US9691625B2 (en) * 2015-11-04 2017-06-27 Lam Research Corporation Methods and systems for plasma etching using bi-modal process gas composition responsive to plasma power level
KR102124407B1 (en) * 2016-01-18 2020-06-18 주식회사 히타치하이테크 Plasma processing method and plasma processing device
US11170981B2 (en) * 2019-09-17 2021-11-09 Tokyo Electron Limited Broadband plasma processing systems and methods
US11295937B2 (en) * 2019-09-17 2022-04-05 Tokyo Electron Limited Broadband plasma processing systems and methods
RU2715412C1 (en) * 2019-11-26 2020-02-28 Акционерное общество «Российская корпорация ракетно-космического приборостроения и информационных систем» (АО «Российские космические системы») Multilayer switching board of microwave-hybrid integrated microcircuit of space designation and method for its production (versions)
CN114467169A (en) * 2020-09-02 2022-05-10 株式会社日立高新技术 Plasma processing apparatus and plasma processing method
US11917806B2 (en) 2021-08-12 2024-02-27 Changxin Memory Technologies, Inc. Method of manufacturing semiconductor structure and semiconductor structure

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4795529A (en) * 1986-10-17 1989-01-03 Hitachi, Ltd. Plasma treating method and apparatus therefor
US6110287A (en) * 1993-03-31 2000-08-29 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
WO2000067306A1 (en) * 1999-04-30 2000-11-09 Robert Bosch Gmbh Method for anisotropic plasma etching of semiconductors
US6187685B1 (en) * 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6214162B1 (en) * 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US20020066537A1 (en) * 1996-11-20 2002-06-06 Satoshi Ogino Plasma reactor

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6050923A (en) * 1983-08-31 1985-03-22 Hitachi Ltd Method of plasma surface treatment and device therefor
KR890004881B1 (en) * 1983-10-19 1989-11-30 가부시기가이샤 히다찌세이사꾸쇼 Plasma treating method and device thereof
DE3733135C1 (en) * 1987-10-01 1988-09-22 Leybold Ag Device for coating or etching using a plasma
JP2918892B2 (en) * 1988-10-14 1999-07-12 株式会社日立製作所 Plasma etching method
DE4241045C1 (en) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Process for anisotropic etching of silicon
JP3119172B2 (en) * 1995-09-13 2000-12-18 日新電機株式会社 Plasma CVD method and apparatus
US5983828A (en) * 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6253704B1 (en) * 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JP3220383B2 (en) * 1996-07-23 2001-10-22 東京エレクトロン株式会社 Plasma processing apparatus and method
EP0822582B1 (en) * 1996-08-01 2003-10-01 Surface Technology Systems Plc Method of etching substrates
JP3220394B2 (en) * 1996-09-27 2001-10-22 東京エレクトロン株式会社 Plasma processing equipment
US6071822A (en) * 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
US6126778A (en) * 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
JP2000077388A (en) * 1998-08-28 2000-03-14 Hitachi Ltd Method and system for dry etching
KR100514150B1 (en) * 1998-11-04 2005-09-13 서페이스 테크놀로지 시스템스 피엘씨 A method and apparatus for etching a substrate
US6562190B1 (en) * 2000-10-06 2003-05-13 Lam Research Corporation System, apparatus, and method for processing wafer using single frequency RF power in plasma processing chamber

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4795529A (en) * 1986-10-17 1989-01-03 Hitachi, Ltd. Plasma treating method and apparatus therefor
US6110287A (en) * 1993-03-31 2000-08-29 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US6214162B1 (en) * 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US20020066537A1 (en) * 1996-11-20 2002-06-06 Satoshi Ogino Plasma reactor
US6187685B1 (en) * 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
WO2000067306A1 (en) * 1999-04-30 2000-11-09 Robert Bosch Gmbh Method for anisotropic plasma etching of semiconductors

Also Published As

Publication number Publication date
EP1676302B1 (en) 2008-12-17
DE602004018531D1 (en) 2009-01-29
EP1676302A2 (en) 2006-07-05
US20050112891A1 (en) 2005-05-26
US20070175856A1 (en) 2007-08-02
JP2007509506A (en) 2007-04-12
WO2005045904A2 (en) 2005-05-19
ATE418157T1 (en) 2009-01-15

Similar Documents

Publication Publication Date Title
WO2005045904A3 (en) Notch-free etching of high aspect soi structures using a time division multiplex process and rf bias modulation
US6905626B2 (en) Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma
US8545670B2 (en) Plasma processing apparatus and plasma processing method
SG130195A1 (en) High aspect ratio etch using modulation of rf powers of various frequencies
US20140302682A1 (en) Method and apparatus for plasma processing
JP2012054534A (en) Plasma etching method and apparatus therefor
TW200607017A (en) A method and apparatus for reducing aspect ratio dependent etching in time division multiplexed etch processes
TW201331978A (en) Inert-dominant pulsing in plasma processing systems
WO2004102638A3 (en) Rf pulsing of a narrow gap capacitively coupled reactor
WO2004102642A3 (en) Envelope follower end point detection in time division multiplexed processes
ATE458273T1 (en) METHOD FOR ETCHING A SUBSTRATE
TW200614357A (en) Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
TW200739719A (en) Plasma etching method and computer-readable storage medium
WO2003096392A3 (en) Method of etching a trench in a silicon-on-insulator (soi) structure
JP2017022136A (en) Plasma processing method and plasma processing apparatus
WO2003085174A3 (en) Device and method for removing surface areas of a component
TW200518216A (en) Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
WO2005062885A3 (en) Selectivity control in a plasma processing system
WO2004097909A3 (en) Method and apparatus for deep trench silicon etch
JP2014220360A (en) Plasma processing method
EP1336984A2 (en) Method and apparatus for providing modulated bias power to a plasma etch reactor
TWI266367B (en) Method for smoothing the sidewall ripples of an etching structure
WO2003043072A1 (en) Etching method and plasma etcher
WO2003028081A3 (en) Method for etching structures in an etching body by means of a plasma
AU4570899A (en) Substrate treatment method

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200480031235.1

Country of ref document: CN

AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DPEN Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed from 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2006536773

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2004817803

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2004817803

Country of ref document: EP