WO2005112082A1 - Cyclic pulsed two-level plasma atomic layer deposition apparatus and method - Google Patents

Cyclic pulsed two-level plasma atomic layer deposition apparatus and method Download PDF

Info

Publication number
WO2005112082A1
WO2005112082A1 PCT/KR2005/001382 KR2005001382W WO2005112082A1 WO 2005112082 A1 WO2005112082 A1 WO 2005112082A1 KR 2005001382 W KR2005001382 W KR 2005001382W WO 2005112082 A1 WO2005112082 A1 WO 2005112082A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
reaction chamber
reaction
plasma
purge
Prior art date
Application number
PCT/KR2005/001382
Other languages
French (fr)
Inventor
Young Hoon Park
Hong Joo Lim
Sahng Kyoo Lee
Tae Wook Seo
Ho Seung Chang
Original Assignee
Ips Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ips Ltd. filed Critical Ips Ltd.
Publication of WO2005112082A1 publication Critical patent/WO2005112082A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges

Abstract

Provided is a n apparatus for depositing a cyclic pulsed two-level plasma atomic layer to deposit a thin film on a substrate, which includes a substrate support plate loading and supporting the substrate, a reaction chamber including the substrate support plate and providing reaction space, a process gas supply and control unit supplying process gas such as source gas, reaction gas, and purge gas to the reaction chamber, a source gas supply pipe supplying the source gas from the process gas supply and control unit to the reaction chamber, a reaction gas activation unit activating the reaction gas, a reaction gas supply pipe through which the reaction gas is supplied from the process gas supply and control unit to the reaction chamber via the reaction gas activation unit, a variable RF power supply unit having a function of generating plasma having at least two-level energy intensity in the reaction chamber, and an exhaust unit purging the process gas in the reaction chamber.

Description

Description CYCLIC PULSED TWO-LEVEL PLASMA ATOMIC LAYER DEPOSITION APPARATUS AND METHOD Technical Field
[1] The present invention relates to a plasma atomic layer deposition method, and more particularly, to cyclic pulsed two-level plasma atomic layer deposition apparatus and method which can reduce a damage generating in a silicon substrate by minimizing plasma energy applied to a reaction chamber in forming a semiconductor device on the silicon substrate. Background Art
[2] As the width of a circuit line in a semiconductor is becoming ultra-narrow, there has been a need for forming a very thin film exhibiting superior characteristics at low temperature which is applied to an electrode film of a storage capacitor of a DRAM, a gate insulation film, or a copper diffusion prevention film forming part of the electrode film. In a method of forming a thin film using the chemical reactions of gaseous materials, an atomic layer deposition method, in which reaction gases are sequentially supplied and a cycle is repeated, is very useful for forming a very thin film.
[3] U.S. Patent No. 5,916,365 filed by Arthur Sherman and entitled 'Sequential Chemical Vapor Deposition' discloses a pulsed two-level plasma atomic layer deposition method in which plasma is applied at reaction gas supply cycle of an atomic layer deposition method to form a high quality thin film at low temperature. However, the patent does not suggest a method to solve a damage generated in a semiconductor substrate by plasma and problems in reliability of plasma ignition and repeatability of plasma generation.
[4] When plasma is generated in a reaction chamber where a silicon substrate is loaded in order to deposit a thin film on a surface of the substrate, semiconductor devices formed or being formed on the substrate, or the substrate, may be damaged. Thus, even if the pulsed two-level plasma atomic layer deposition method is applied at the same temperature of the substrate and the same plasma energy, when the design rule of a semiconductor circuit is tightened, since the size of the semiconductor device further decreases, a damage can be easily generated so that the characteristic of the semiconductor device is deteriorated or yield directly relating to semiconductor manufacturing costs is reduced.
[5] Korean Patent No. 10-0273473 and U.S. Patent No. 6,645,574 Bl filed by Chun- Soo Lee, et al. and entitled 'Thin Film Forming Method' And 'Method of Forming a Thin Film', respectively, disclose a chemical vapor method to provide materials under a time-division or pulse plasma environment. In the pulsed two-level plasma atomic layer deposition method according to these patents, RF power is applied as soon as reaction gas is supplied in a reaction chamber while the supply of source gas and purge gas are stopped. In a process in which the supply of the source gas and purge gas is stopped and the supply of the reaction gas starts, the pressure and temperature in the reaction chamber undergo a turbulence state. When the RF power is applied to generate plasma, the pressure and temperature in the reaction chamber becomes unstable at each time. Thus, the reliability of plasma ignition and the repeatability of generation are deteriorated.
[6] U.S. Patent No. 6,200,893 B 1 filed by Ofer Sneh and entitled 'Radical-assisted Sequential CVD' discloses a method of forming a thin film by alternately applying a molecular precursor in form of a molecule activated by radical. However, the patent does not suggest a method to solve practical problems such as the damage generated in the semiconductor substrate, the reliability of plasma ignition, and the repeatability of generation, which occur when an activation method such as use of plasma is employed. Disclosure of Invention Technical Problem
[7] To solve the above and/or other problems, the present invention provides cyclic pulsed two-level plasma atomic layer deposition apparatus and method by which a high quality thin film is formed at low temperature by applying a low plasma energy to prevent a damage to a semiconductor substrate.
[8] The present invention provides cyclic pulsed two-level plasma atomic layer deposition apparatus and method which solve problems that the characteristics of a semiconductor device are deteriorated due to a damage to the semiconductor device or circuit on a substrate or that yield directly related to the manufacturing costs of semiconductors is deteriorated.
[9] The present invention provides cyclic pulsed two-level plasma atomic layer deposition apparatus and method which minimize the intensity of plasma energy applied to a reaction chamber and adjust the time and cycle of application of plasma until the pressure and temperature in the reaction chamber become stable so that reliability of plasma ignition and the repeatability of generation of plasma are highly maintained and a high quality thin film can be formed at low process temperature, compared to the conventional methods such as a plasma enhanced chemical vapor deposition (PECVD), a cyclically pulsed plasma atomic layer deposition method, or plasma enhanced atomic layer deposition (PEALD).
[10] The present invention provides cyclic
[11] pulsed two-level plasma atomic layer deposition apparatus and method which produce a thin film having purity equal to or greater than the conventional technology and also remarkably reduce the process temperature of a substrate and plasma application energy. Technical Solution
[12] According to an aspect of the present invention, a high quality thin film can be deposited at low temperature without damaging a silicon substrate in the reaction chamber by applying a possibly lowest plasma energy by previously activating the reaction gas supplied to the reaction chamber.
[13] According to another aspect of the present invention, plasma energy having low intensity is applied to the reaction gas supplied to the reaction chamber after neutral radicals exhibiting a great chemical reactivity are generated through the reaction gas activation unit, at least the reaction gas is thermally activated, or both functions are applied. Then, a thin film having a desired thickness can be deposited at low temperature even when plasma energy having lower energy than the plasma energy in the conventional technology is applied to the reaction chamber during an atomic layer deposition process. Thus, damages generated in a semiconductor device or a circuit board can be remarkably reduced. Also, This method prevents deterioration of the characteristics of a semiconductor device having an ultra-narrow line width and improves yield very effectively.
[14] According to another aspect of the present invention, the source gas is supplied to the reaction chamber and absorbed on the substrate loaded in the reaction chamber. Then, the supply of the source gas is stopped and the source gas remaining in the reaction chamber is purged with the purge gas. In the subsequent step, the activated reaction gas is supplied to the reaction chamber and plasma is applied to the reaction chamber to make the source gas absorbed on the substrate and the reaction gas react with each other. Instead of purging the source gas remaining in the reaction chamber by supplying the purge gas, the activated reaction gas is directly supplied to the reaction chamber to purge the source gas. Here, the source gas remaining in the reaction chamber is purged by supplying the purge gas or directly purged by the reaction gas supplied to the reaction chamber through the reaction gas activation unit. However, during the switching process of the gases, a turbulence state of pressure and temperature in the reaction chamber is generated. To avoid the turbulence state, after the pressure and temperature in the reaction chamber are stabilized after the supply of the activated reaction gas starts, plasma energy is applied to the reaction chamber. By doing so, the reliability of plasma ignition and the repeatability of plasma generation are remarkably improved. Since the turbulence state of the temperature in the reaction chamber is relatively quickly stabilized than the turbulence state of the pressure and the influence by the local transient state of the temperature on the plasma ignition and generation is weaker than that by the local state of the pressure, the stable state of the pressure only needs to be considered. Description of Drawings
[15] FIG. 1 is a flow chart for explaining a cyclic pulsed two-level plasma atomic layer deposition method according to an embodiment of the present invention ;
[16] FIG. 2 is a view schematically illustrating an apparatus for implementing the cyclic pulsed two-level plasma atomic layer deposition method according to the present invention ;
[17] FIG. 3 is a graph showing a process order of a reaction gas supply cycle by time to implement the cyclic pulsed two-level plasma atomic layer deposition method according to the present invention ;
[18] FIG. 4 is a graph showing an example of an unstable state of pressure in a reaction chamber in the process of FIG. 3; and
[19] FIG. 5 is a graph showing an example of indicating the intensity of a two-level RF power to apply two-level plasma to the reaction chamber in the process of FIG. 3. Mode for Invention
[20] A silicon substrate 218 is loaded on a substrate support plate 212 in a reaction chamber 200. In Step 1 (101 and 301 A), source gas including an element 'a' is supplied to the reaction chamber 200 through a source gas supply pipe 220 to be absorbed on the silicon substrate 218. Simultaneously, first plasma G (30001C, 3002C, and 3003C) is applied to the reaction chamber 200. According to the present invention, in Step 1, a first application cycle 3001C of the first plasma G can be skipped, that is, the application of plasma can be made from the next step, that is, Step 2 (102 and 302A) by skipping the plasma application cycle 3001C of the first plasma G. In FIG. 5, the first plasma G changes to a first plasma G ø (3002C and 3003C).
[21] In Step 2 (102 and 302A), the source gas remaining in the reaction chamber 200 without being absorbed on the silicon substrate 218 is purged through an exhaust unit 208 using the purge gas. Simultaneously, the first plasma G or the first plasma G ø is continuously applied to the reaction chamber 200. The purge gas is supplied using the source gas supply pipe 220, reaction gas supply pipes 222A and 222B, or a separate supply pipe (not shown).
[22] According to the present invention, in Step 2, the first application cycle 3001C and the second application cycle 3002C of the first plasma G can be skipped, that is, the application of plasma can be made from the next step, that is, Step 3 (103 and 303 A) by skipping the plasma application cycles 3001C and 3002C of the first plasma G.
[23] In FIG. 5, the first plasma G is changed to the first plasma G " . In Step 3 (103 and 303 A), reaction gas including an element 'b' is passed through the reaction gas supply pipes 222A and 222B and a reaction gas activation unit 206 and then is supplied to the reaction chamber 200 so that the first part of the deposition process in which an 'a' or 'ab' thin film is formed is performed. In the first part of the deposition process, the reaction gas activated by the reaction gas activation unit 206 and the source gas absorbed on the silicon substrate 218 perform a deposition reaction with the assistance of the first plasma G. Simultaneously, the first plasma G(the first plasma G ø or the first plasma G " ) is continuously applied to the reaction chamber 200. In an example of forming an 'a' thin film instead of an 'ab' thin film, for example, when the element 'a' is titanium (Ti), the source gas is titanium chloride (TiCl ), the element 'b' is hydrogen 4 (H), and the reaction gas is hydrogen (H ) gas, the formed thin film is a titanium thin film including a titanium element. Step 3 is a cycle in which the pressure state of the reaction gas supplied to the reaction chamber 200 is mainly settled.
[24] In Step 4 (104 and 304A), while the reaction gas including the element 'b' passed through the reaction gas activation unit 206 is continuously supplied to the reaction chamber 200, the second plasma 3004C is applied in the reaction chamber 200 so that plasma ions and radicals are generated. Accordingly, the second part of the deposition process in which the 'a' or 'ab' thin film is deposed is performed. However, when the source gas does not react, or hardly react, with the activated reaction gas in the reaction chamber 200 without the assistance of plasma, Step 2 (102 and 302A) is skipped so that the remaining source gas in the reaction chamber 200 can be purged with the purge gas.
[25] Finally, in Step 5 (105 and 305 A), the supply of the reaction gas and the application of the second plasma are stopped at a process time point t5 and the reaction gas remaining in the reaction chamber 200 is purged with the purge gas through the exhaust unit 208. Simultaneously, the first plasma H (3005C)is applied to the reaction chamber 200. By continuously supplying the purge gas supplied in Step 2 until Step 5 is completed, as described above, the role of purging in Steps 2 and 3 is performed. Also, by continuously supplying the purge gas and performing supply and the discontinuation of supply only in Steps 2 and 4, the source gas and the reaction gas remaining in the reaction chamber 200 can be purged.
[26] To form a desired thickness of a thin film, the above-described Step 1 though Step 5 are repeated by a desired number of N times. In the above process, the source gas, the reaction gas, and the purge gas are referred to as process gas.
[27] FIG. 2 shows the configuration of a thin film deposition apparatus for implementing the present invention. The thin film deposition apparatus includes the reaction chamber 200 providing space where the thin film deposition process is directly performed, a process gas supply and control unit 210 supplying the process gas such as the source gas, the reaction gas, and the purge gas, the reaction gas activation unit 206 for activating the reaction gas in advance, the exhaust unit 208, an RF power generation unit 204 and a matcher 202 for applying plasma to the reaction chamber 200, the source gas supply pipe 220 connecting the process gas supply and control unit 210 and the reaction chamber 200 to supply the source gas to the reaction chamber 200, the reaction gas supply pipes 222A and 222B connecting the process gas supply and control unit 210 and the reaction gas activation unit 206 to the reaction chamber 200 to supply the reaction gas, and an exhaust pipe 226 connecting the reaction chamber 200 and the exhaust unit 208 to purge the process gas in the reaction chamber 200.
[28] Referring to FIGS. 2, 3, 4, and 5, the substrate supporting platform 212 on which the silicon substrate or wafer 218 can be loaded is installed in the reaction chamber 200. The RF power generation unit 204 and the matcher 202 for applying RF power to apply plasma to the reaction chamber 200 are connected to the reaction chamber 200. The RF power generation unit 204 and the matcher 202 together are referred to as an RF power supply unit and can control the intensity of plasma energy. A ground 214 that is one of electrodes can be connected to the substrate supporting platform 212 installed in the reaction chamber 200 or separately installed in the reaction chamber 200 which is not shown herein.
[29] The plasma applied to the reaction chamber 200 is divided into two groups of the first plasma G (3001C, 3002C, and 3003C) and the first plasma H (3005C), and the second plasma (3004C). The first plasma G (3001C, 3002C, and 3003C) and the first plasma H (3005C) are plasma having a relatively lower energy intensity and continuously applied to the reaction chamber 200 during the cycles of Step 1 (101 and 301A), Step 2 (102 and 302A), Step 3 (103 and 303A), and Step 5 (105 and 305A). The second plasma (3004C) is plasma having a relatively higher energy intensity than those of the first plasma G (3001C, 3002C, and 3003C) and the first plasma H (3005C) and continuously applied to the reaction chamber 200 during the cycle of only Step 4 (104 and 304A) to make the source gas and the reaction gas react with each other. In order to generate plasmas having two different energy intensities, two independent RF power supply units may be used or a single RF power supply unit may be used and controlled to variably apply plasmas having two different energy intensities according to the process cycles. By using the two-level plasma and the reaction gas activation unit, while the thin film deposition reaction temperature is lowered and a damage to the substrate due to the plasma is reduced, a high quality thin film can be formed and the reliability of plasma ignition and the repeatability of the plasma generation can be improved.
[30] The process gas supply and control unit 210 for controlling the supply of the process gas is connected to the reaction chamber 200 through the source gas supply pipe 220. The process gas supply and control unit 210 and the reaction chamber 200 are connected via the reaction gas activation unit 206 and the reaction gas supply pipe 222A and 222B so that the reaction gas is supplied to the reaction chamber 200 after being activated. The process gas supply and control unit 210 can be configured to be able to supply and control of the purge gas. Typically, the purge gas is supplied to the reaction chamber 200 using an additional supply pipe (not shown). The reaction gas activation unit 206 for activating the reaction gas is connected between the reaction gas supply pipes 222A and 222B. The reaction gas activation unit 206 may be configured to have a reaction gas activation function simply by heat or a reaction gas activation function by plasma, or both functions. The heat or plasma energy sources needed for activation can be configured to be a variable type. The exhaust unit 208 for exhausting the process gas is connected to the reaction chamber 200 through the exhaust pipe 226.
[31] According to the present invention, as described above, the process temperature can be lowered and a damage generated in the substrate can be remarkably reduced by lowering the intensity of plasma energy applied to the substrate in the reaction chamber. For example, in the process of performing Step 4 (104 and 304A) in FIGS. 1 and 3, as the second plasma (3004C) is supplied by lowering the energy intensity thereof by 1/3 through 1/2 compared to the conventional technology, the damage to the substrate by the plasma can be remarkably reduced. The energy intensities of the first plasma G (3001A, 3002C, and 3003C) and the first plasma H (3005C) are made to be not more than 1/2 of the energy intensity of the second plasma (3004C).
[32] The source gas typically includes a metal element. In detail, in order to implement the thin film deposition process, for example, to form a nitride thin film, the reaction gas must includes nitrogen. That is, when the source gas is formed to include one of compounds of titanium (Ti), tantalum (Ta), or tungsten (W) metals and the reaction gas is formed by one of nitrogen (N ), ammonia (NH ), or hydrazine (N H ) gases, nitride thin films such as titanium nitride (TiN), tantalum nitride (TaN), or tungsten nitride (WN) are formed.
[33] In another example of the present invention, the reaction gas is formed of a mixture of a gas including the element 'b' and hydrogen (H ) gas. That is, the reaction gas may be formed of a mixture of nitrogen (N ) gas and hydrogen (H ) gas, a mixture of ammonia (NH ) and hydrogen (H ) gas, or a mixture of hydrazine (N H ) gas and hydrogen (H ) gas. In Steps 3 and 4, NH, NH , or H radicals are supplied onto the silicon substrate 218 so that a metal nitride thin film is formed. Also, when the reaction gas is formed of a gas including oxygen (O ) or a mixture of the gas including oxygen (O ) gas and hydrogen (H ) gas, an oxide thin film is formed. When the reaction gas is formed to include hydrogen (H ) gas, since the metal compound of the source gas is deoxidized in Steps 3 and 4, a metal thin film is formed. [34] The configuration and operation principle of the present invention will be described in detail with reference to the accompanying drawings. However, the following embodiments are mere examples of the present invention to help those skilled in the art understand the basic principle of the present invention. Thus, it should be noted that the present invention is not limited to the following embodiments and a variety of modifications and applications of the following embodiments are available.
[35] [First embodiment]
[36] As shown in the flow chart of FIG. 1, the process of forming the thin film including the elements 'a' and 'b' on the surface of the silicon substrate 218 loaded on the substrate supporting platform 212 installed in the reaction chamber 200 is formed in the cyclic pulsed two-level plasma atomic layer deposition method according to the present invention. FIG. 2 shows the concept of a cyclic pulsed two-level plasma atomic layer deposition apparatus to implement the present invention.
[37] Referring to FIGS. 1, 2, 3, 4, and 5, in Step 1 (101 and 301A), the source gas including the element 'a' is supplied to the reaction chamber 200 through the source gas supply pipe 220 to be absorbed on the surface of the silicon substrate 218. Simultaneously, the application of the first plasma G (3001A, 3002C, and 3003C) to the reaction chamber 200 is initiated.
[38] In Step 2 (102 and 302A), the supply of the source gas is stopped and the purge gas is supplied to the reaction chamber 200 so that the source gas remaining in the reaction chamber 200 without being absorbed on the silicon substrate 218 is purged. Argon (Ar), helium (He), nitrogen (N ), or hydrogen (H ) gas is used as the purge gas. Simultaneously, the first plasma G (3001C, 3002C, and 3003C) are continuously applied.
[39] In Step 3 (103 and 303 A), the supply of the purge gas is discontinued and the reaction gas including the element 'b' is supplied to the reaction chamber 200 through the reaction gas supply pipes 222A and 222B. The reaction gas supplied to the reaction chamber 200 passes through the reaction gas activation unit 206. Here, radicals are picked up by means of a plasma generation function in the reaction gas activation unit 206. The reaction gas may be thermally activated in the reaction gas activation unit 206 or by the above-mentioned two functions. Simultaneously, the first plasma G (3001C, 3002C, and 3003C) is continuously applied during the process cycle of Step 3. At this time, the first part of the deposition process takes place, that is, a deposition reaction occurs on the substrate as the source gas absorbed on the substrate in the reaction chamber 200 reacts with the reaction gas supplied to the reaction chamber 200 with the assistance of the first plasma G applied to the reaction chamber 200. Simultaneously, during this process cycle, the turbulence state of pressure generated when the reaction gas is supplied to the reaction chamber 200 is stabilized.
[40] In Step 4 (104 and 304A), the reaction gas including the element 'b' is continuously supplied to the reaction chamber 200. The second plasma (3004C) having energy intensity greater than that of the first plasma G is applied to the reaction chamber 200. Since the pressure of the reaction gas in the reaction chamber at this time is already stable, the thin film deposition process is actively performed with the assistance of the second plasma applied to the reaction chamber 200 so that an 'a' or 'ab' thin film is formed on the silicon substrate 218. Furthermore, the second part of the deposition process is performed which is a thin film deposition phenomenon actively occurring as not only the ions and radicals gained when the reaction gas supplied onto the substrate passes through the reaction gas activation unit 206 but also the ions and radicals generated by the second plasma having high energy intensity applied to the reaction chamber 200 are mixed.
[41] In Step 5 (105 and 305 A) that is a final process cycle, the supply of the reaction gas and the application of the second plasma are discontinued. The reaction gas remaining in the reaction chamber 200 is purged by the purge gas. In general, since a layer of the thin film formed in the above-described atomic layer deposition method is too thin although having a high quality, to obtain a thin film having a desired thickness, Step 1 through Step 5 are repeated by a desired number of N times.
[42] According to the above-described first embodiment of the present invention, since the intensity of the plasma energy applied to the reaction chamber 200 is reduced by 1/2 through 1/2 using the thin film deposition apparatus according to the present invention, the damage to the substrate due to the second plasma applied to the reaction chamber 200 can be remarkably reduced. Also, since the point of the process cycle for applying the plasma to the reaction chamber 200 in Step 3 is delayed later than the point of the process cycle of supplying the activated reaction gas until the pressure in the reaction chamber 200 is stabilized, the reliability of ignition of the second plasma and the repeatability of generation of the second plasma are gradually improved.
[43] Since, during the above process cycles, the influence by a turbulence state in temperature in the reaction chamber 200 on the reliability of ignition of the second plasma and the repeatability of generation of the second plasma is relatively smaller than that by the turbulence state in pressure, in the present embodiment, only instability of the plasma due to the turbulence state in pressure generated in the reaction chamber 200 is considered. Physically, the turbulence state in temperature is stabilized more quickly than the turbulence state in pressure.
[44] [Second embodiment]
[45] In this second embodiment, the process cycles performed in the first embodiment are repeated while only the process of applying plasma applied to the reaction chamber 200 is different. That is, referring to FIGS. 1, 2, 3, 4, and 5, according to a second embodiment of the present invention, in Step 1 (101 and 301 A), the source gas including the element 'a' is supplied to the reaction chamber 200 via the source gas supply pipe 220 to be absorbed on the surface of the silicon substrate 218. In Step 2 (102 and 302A), the supply of the source gas is stopped and the purge gas is supplied to the reaction chamber 200 so that the source gas remaining in the reaction chamber 200 without being absorbed on the substrate is purged. Argon (Ar), helium (He), nitrogen (N ), or hydrogen (H ) gas is used as the purge gas. In Step 3, (103 and 303 A), the supply of the purge gas is stopped and the reaction gas including the element 'b' is supplied to the reaction chamber 200 through the reaction gas supply pipes 222A and 222B. The reaction gas supplied to the reaction chamber 200 passes through the reaction gas activation unit 206. In doing so, radicals are picked up by the plasma in the reaction gas activation unit 206, the reaction gas is thermally activated in the reaction gas activation unit 206, or the reaction gas is activated by these two processes.
[46] The first plasma G (3001C, 3002C, and 3003C) is continuously applied to the reaction chamber 200 during the process cycles of Step 1 through Step 3. The first part of the deposition process is performed by the first plasma G in Step 3 and simultaneously the turbulence state in the pressure of the reaction gas in the reaction chamber 200 is stabilized. In Step 4 (104 and 304A), the reaction gas including the element 'b' is continuously supplied to the reaction chamber 200 through the reaction gas supply pipes 222A and 222B. Simultaneously, the second plasma (3004C) is applied to the reaction chamber 200. Since the pressure of the reaction gas in the reaction chamber 200 is already stabilized, the thin film deposition phenomenon is actively performed on the substrate between the source gas absorbed on the substrate and the reaction gas so that the 'a' or 'ab' thin film is formed on the silicon substrate 218. The deposition reaction is actively performed not only by the mixture of the ions and the radicals gained while the reaction gas in the reaction chamber 200 passes through the reaction gas activation unit 206, but also by the ions and the radicals generated by the second plasma (3004C) applied to the reaction chamber 200, so that the second part of the deposition process is performed. The first plasma G (3001A, 3003B, and 3003C) and the first plasma H (3005C) directly or indirectly contribute to the deposition reaction between the source gas and the reaction gas.
[47] Referring to FIG. 5, the plasma applied to the reaction chamber 200 is plasma (3001C, 3002C, 3003C, 3004C, and 3005C) having two-step energy intensity. The first plasma G (3001C, 3002C, and 3003C) and the first plasma H (3005C) have the same energy intensity which is about 1/2 of that of the second plasma (3004C). The energy intensity of the second plasma (3004C) is 1/3 through 1/2 of the conventional technology. In Step 5 (105 and 305 A), the supply of the reaction gas is stopped at the initial stage of the process cycle t5 and the application of the second plasma is turned off. Also, by supplying the purge gas, the reaction gas remaining in the reaction chamber 200 is purged. Simultaneously, the first plasma H (3005C) is continuously applied to the reaction chamber 200. Finally, to obtain a thin film having a desired thickness, Step 1 through Step 5 are repeated by a desired number of N times.
[48] As described above, the plasma energy applied to the reaction chamber 200 is applied in two steps of the first plasma G (3001C, 3002C, and 3003C) and the first plasma H (3005C), and the second plasma (3004C). The energy intensity of the first plasma G (3001C, 3002C, and 3003C) and the first plasma H (3005C) are the same and applied to the reaction chamber 200 throughout Step 1 (101 and 301A), Step 2 (102 and 302A), Step 3 (103 and 303A), and Step 5 (105 and 305A). The application of the first plasma G (3001C, 3002C, and 3003C) may start from the point tl of Step 1 (101 and 301 A) or the point t2 of Step 2 (102 and 302A), in which the first plasma G (3001C, 3002C, and 3003C) changes to the first plasma G ø (3002C and 3003C) (not shown). Also, the application of the first plasma G (3001C, 3002C, and 3003C) may start from the point t3 of Step 3 (103 and 303 A), in which the first plasma G (3001C, 3002C, and 3003C) changes to the first plasma G 2 (3003C) (not shown).
[49] In the present embodiment, the energy intensity of the second plasma (3004C) is about 1/3 through 1/2 of the conventional technology and applied only in Step 4 (104 and 304A) in which the reaction gas is supplied and stabilized. The first plasma G (3001C, 3002C, and 3003C) and the first plasma H (3005C) are continuously applied except for Step 4 (104 and 304A). However, when the first plasma G ø (3002C and 3003C) and the first plasma G 2 (3003C) are applied, the non-corresponding plasma application cycle is omitted. The plasma energy application unit in the reaction chamber 200 may include two pairs of independent electrodes, or it may be possible to include a single pair of electrodes and control the plasma energy intensity and the application timing. As the first plasma G (3001C, 3002C, and 3003C) and the first plasma H (3005C) are applied, the reliability of ignition of the second plasma 3004C and the repeatability of the generation of the second plasma 3004C are improved. The first plasma G' (3002C and 3003C) and the first plasma G" (3003C) may be applied. Furthermore, as described above, after the pressure turbulence state in the reaction chamber is stabilized by the process cycle of Step 3 (103 and 303 A), the second plasma (3004C) is applied to the reaction chamber while the reaction gas is continuously supplied in Step 4 (104 and 304A). Thus, the deposition reaction is performed by the second plasma (3004C) that is a main plasma so that the reliability of ignition of the second plasma (3004C) and the repeatability of the generation of the second plasma (3004C) are further improved.
[50] In detail, as an example of the thin film deposition process, to form a nitride thin film, the process gas including nitrogen is formed as the reaction gas. That is, when the source gas is formed to include one of compounds of titanium (Ti), tantalum (Ta), or tungsten (W) metals and the reaction gas is formed by one of nitrogen (N ), ammonia (NH ), or hydrazine (N H ) gases, nitride thin films such as titanium nitride (TiN), tantalum nitride (TaN), or tungsten nitride (WN) are formed. In another example of the present invention, the reaction gas may be formed of a mixture of nitrogen (N ) gas and hydrogen (H ) gas, a mixture of ammonia (NH ) and hydrogen (H ) gas, or a mixture of hydrazine (N H ) gas and hydrogen (H ) gas. In Steps 3 and 4, NH, NH , or H radicals are supplied onto the silicon substrate 218 so that a metal nitride thin film is formed. Also, when the reaction gas is formed of a gas including oxygen (O ) or a mixture of the gas including oxygen (O ) gas and hydrogen (H ) gas, an oxide thin film is formed. When the reaction gas is formed to include hydrogen (H ) gas, since the metal compound of the source gas is deoxidized in Steps 3 and 4, a metal thin film is formed.
[51] FIG. 3 is a graph showing the process steps of Step 1 through Step 5 of the flow chart of FIG. 1 by the order of the process time. Referring to FIGS. 1, 2, 3, 4, and 5, in Step 1 (101 and 103A), the source gas is supplied to the reaction chamber 200 to be absorbed on the silicon substrate 218 loaded on the substrate support plate 212 in the reaction chamber 200. The first plasma G (3001C, 3002C, and 3003C) are applied to the reaction chamber 200. In Step 2 (102 and 302A), the purge gas is supplied to the reaction chamber 200 to purge the source gas remaining in the reaction chamber 200 without being absorbed on the silicon substrate 218 through the exhaust unit 208. As described above, the first plasma G (3001C, 3002C, and 3003C) for which application is started from Step 1 (101 and 301 A) may not be applied in Step 1 (101 and 301 A) and may be applied in Step 2 (102 and 302A) or Step 3 (103 and 303 A).
[52] When the supply of the purge gas applied to the reaction chamber 200 is stopped at the beginning of Step 3 (103 and 303 A) and the supply of the reaction gas is stated immediately, the position of a control valve in the process gas control and supply unit 210, the length of the process gas supply lines 220, 222A, and 222B, the difference in the supply line length, and the switching of the process gas cause a transient phenomenon in a pressure change in the reaction chamber 200 so that an unstable state 313B is generated. Accordingly, according to the present invention, the supply of the purge gas is not discontinued after the reaction chamber 200 is purged. Although the turbulence state 313B occurs in the reaction chamber 200 as the reaction gas is continuously supplied in Step 3 (103 and 303 A), the degree of the turbulence state is lower than a case in which the supply of the purge gas is stopped. However, according to the present invention, the supply of the purge gas can be stopped when Step 3 (103 and 303 A) begins, as in the conventional technology. When plasma is applied together with switching of the process gases supplied to the reaction chamber 200, since the pressure of the reaction chamber 200 enters in the turbulence state 313B, the intensity of the second plasma (3004C) applied to Step 4 (104 and 304A) may be instable with the change in the pressure or ignition of the second plasma may fail. According to the present invention, since the reaction gas is continuously supplied to the reaction chamber 200 in Step 4 (104, 304A, 314B, and 3004C) after the reaction gas is supplied to the reaction chamber 200 in Step 3 (103, 303A, and 313B) and thus the pressure in the reaction chamber 200 is stabilized, the ignition conduction of the second plasma (3004C) is stabilized when the second plasma (3004C) is applied without changing the flow rate of the reaction gas. Thus, the failure of the ignition is prevented so that reliability is improved. Also, when this step is repeated at every process cycle, the repeatability of the generation of the second plasma (3004C) repeated at every supply cycle of the reaction gas can be highly maintained.
[53] According to the present invention, the intensity of the RF power to apply plasma to the reaction chamber 200 is 300 through 1200 watts for the second plasma (3004C). This value varies according to the source gas, the reaction gas, and the process conditions and is about 1/3 through 1/2 of the conventional technology. The energy intensity of each of the first plasma G (3001C, 3002C, and 3003C) and the first plasma H (3005C), which is the same as that of each of the first plasma G ø and the first plasma G 2 , is about 1/2 of the energy intensity of the second plasma (3004C). Thus, according to the present invention, the damage to the substrate can be remarkably reduced by the second plasma (3004C). Also, according to the present invention, the purge gas may be continuously supplied to the reaction chamber while the second plasma (3004C) is applied to the reaction chamber 200 in this process step. Finally, in Step 5 (105 and 305 A), the supply of the reaction gas is stopped and the purge gas continuously supplied purges the reaction gas remaining in the reaction chamber. When the supply of the purge gas is stopped in Step 2 (102 and 302A), the supply of the reaction gas is stopped in Step 5 (105 and 305 A) and the purge gas is supplied again to purge the reaction gas remaining in the reaction chamber 200. When the supply of the purge gas starts in Step 2 (102 and 302A), the supply of the purge gas is stopped at the point t6 of Step 5 (105 and 305 A). Otherwise, the supply of the purge gas continues.
[54] While this invention has been particularly shown and described with reference to preferred embodiments thereof, it will be understood by those skilled in the art that various changes in form and details may be made therein without departing from the spirit and scope of the invention as defined by the appended claims. Industrial Applicability
[55] As described above, according to the present invention, in order to prevent a damage to the substrate by activating the reaction gas in advance, RF power which is remarkably lower than the conventional technology is applied so that a thin film is deposited. Also, by applying plasma having two different energy intensities to reduce the energy intensity of the plasma, a high quality thin film is formed at low temperature and the damage to the substrate by the plasma is remarkably reduced. That is, the reaction gas in an already activated state is supplied to the substrate and plasma having low energy intensity is continuously applied to the reaction chamber so that and a high quality thin film is formed even when the energy intensity of the plasma applied to the reaction chamber to make the reaction gas react is quite lowered. Also, by delaying the plasma application point later than the reaction gas supply point at every reaction gas supply cycle, the reliability of plasma ignition and the repeatability of plasma generation are improved. Also, the deposition of an atomic layer having high purity and high density is possible at low temperature. The present invention can prevent deterioration of the characteristics of a semiconductor device with an ultra- narrow line width and improve yield.

Claims

Claims
[1] 1. An apparatus for depositing a cyclic pulsed two-level plasma atomic layer to deposit a thin film on a substrate, the apparatus comprising: a substrate support plate loading and supporting the substrate; a reaction chamber including the substrate support plate and providing reaction space; a process gas supply and control unit supplying process gas such as source gas, reaction gas, and purge gas to the reaction chamber; a source gas supply pipe supplying the source gas from the process gas supply and control unit to the reaction chamber; a reaction gas activation unit activating the reaction gas; a reaction gas supply pipe through which the reaction gas is supplied from the process gas supply and control unit to the reaction chamber via the reaction gas activation unit; a variable RF power supply unit having a function of generating plasma having at least two-level energy intensity in the reaction chamber; and an exhaust unit purging the process gas in the reaction chamber.
2. The apparatus of claim 1, wherein the reaction gas activation unit comprises a plasma application function.
3. The apparatus of claim 1, wherein the reaction gas activation unit includes a function of activating through heat treatment.
4. The apparatus of claim 1, wherein the reaction gas activation unit includes functions of generating plasma and activating through heat treatment.
5. The apparatus of either claim 2 or claim 4, wherein the plasma generation function is capable of controlling intensity of RF power.
6. A method of depositing a cyclic pulsed two-level plasma atomic layer to deposit a thin film on a surface of a substrate in a reaction chamber, the method comprising: supplying source gas to the reaction chamber to be absorbed on the surface of the substrate loaded in the reaction chamber; applying first plasma to the reaction chamber; stopping the supply of the source gas, purging the source gas remaining in the reaction chamber without being absorbed by supplying purge gas, and continuously supplying the purge gas; passing reaction gas through a reaction gas activation unit and supplying the reaction gas to the reaction chamber; applying second plasma having energy intensity higher than the first plasma but lower than 1,200 watts to the reaction chamber after continuously supplying the activated reaction gas to the reaction chamber so that pressure in the reaction chamber is stabilized; stopping the supply of the reaction gas and the application of the second plasma, and purging the reaction gas remaining in the reaction chamber with the continuously supplied purge gas; stopping the supply of the purge gas; and repeating the above operations until a thin film having a desired thickness is formed.
7. The method of claim 6, wherein the supply of the purge gas is stopped after the source gas and the reaction gas remaining in the reaction chamber are purged by supplying the purge gas.
8. The method of claim 6, wherein the energy intensity of the first plasma applied to the reaction chamber is not more than 1/2 of that of the second plasma.
9. The method of claim 6, wherein, instead of purging the source gas remaining in the reaction chamber with the purge gas, the reaction gas is directly supplied in the subsequent step to purge the source gas remaining in the reaction chamber and simultaneously the reaction gas is supplied to the reaction chamber.
10. The method of claim 6, wherein the purge gas is selected from the group consisting of argon (Ar), helium (He), nitrogen (N ), and hydrogen (H ).
11. The method of claim 6, wherein the reaction gas comprises hydrogen element (H ).
12. A method of depositing a cyclic pulsed two-level plasma atomic layer to deposit a thin film on a surface of a substrate in a reaction chamber, the method comprising: supplying source gas to the reaction chamber to be absorbed on the surface of the substrate loaded in the reaction chamber; applying first plasma to the reaction chamber; stopping the supply of the source gas, purging the source gas remaining in the reaction chamber without being absorbed by supplying purge gas, and continuously supplying the purge gas; passing reaction gas including nitrogen element (N) through a reaction gas activation unit and supplying the reaction gas to the reaction chamber; applying second plasma having energy intensity higher than the first plasma but lower than 1,200 watts to the reaction chamber after continuously supplying the activated reaction gas to the reaction chamber so that pressure in the reaction chamber is stabilized; stopping the supply of the reaction gas and the application of the second plasma, and purging the reaction gas remaining in the reaction chamber with the continuously supplied purge gas; stopping the supply of the purge gas; and repeating the above operations until a nitride thin film having a desired thickness is formed.
13. The method of claim 12, wherein the supply of the purge gas is stopped after the source gas and the reaction gas remaining in the reaction chamber are purged by supplying the purge gas.
14. The method of claim 12, wherein the energy intensity of the first plasma applied to the reaction chamber is not more than 1/2 of that of the second plasma.
15. The method of claim 12, wherein, instead of purging the source gas remaining in the reaction chamber with the purge gas, the reaction gas is directly supplied in the subsequent step to directly purge the source gas remaining in the reaction chamber and simultaneously the reaction gas is supplied to the reaction chamber.
16. The method of claim 12, wherein the reaction gas is formed of a mixture of gas including nitrogen (N ) and hydrogen (H ) gas.
17. The method of claim 12, wherein the source gas is a compound of transition metal such as titanium (Ti), tantalum (Ta), or tungsten (W) and the reaction gas comprises one selected from the group consisting of nitrogen (N ), ammonia (NH ), and hydrazine N H gases, so that a deposited thin film includes a nitride of the transition metal.
18. The method of claim 12, wherein the purge gas is selected from the group consisting of argon (Ar), helium (He), nitrogen (N ), or hydrogen (H ).
19. A method of depositing a cyclic pulsed two-level plasma atomic layer to deposit a thin film on a surface of a substrate in a reaction chamber, the method comprising: supplying source gas to the reaction chamber to be absorbed on the surface of the substrate loaded in the reaction chamber; applying first plasma to the reaction chamber; stopping the supply of the source gas, purging the source gas remaining in the reaction chamber without being absorbed by supplying purge gas, and continuously supplying the purge gas; passing reaction gas including oxygen element (O) through a reaction gas activation unit and supplying the reaction gas to the reaction chamber; applying second plasma having energy intensity higher than the first plasma but lower than 1,200 watts to the reaction chamber after continuously supplying the activated reaction gas to the reaction chamber so that pressure in the reaction chamber is stabilized; stopping the supply of the reaction gas and the application of the second plasma, and purging the reaction gas remaining in the reaction chamber with the continuously supplied purge gas; stopping the supply of the purge gas; and repeating the above operations until an oxygen thin film having a desired thickness is formed.
20. The method of claim 19, wherein the supply of the purge gas is stopped after the source gas and the reaction gas remaining in the reaction chamber are purged by supplying the purge gas.
21. The method of claim 19, wherein the energy intensity of the first plasma applied to the reaction chamber is not more than 1/2 of that of the second plasma.
22. The method of claim 19, wherein, instead of purging the source gas remaining in the reaction chamber with the purge gas, the reaction gas is directly supplied in the subsequent step to directly purge the source gas remaining in the reaction chamber.
23. The method of claim 19, wherein the reaction gas is formed of a mixture of gas including oxygen (O ) and hydrogen (H ) gas.
24. The method of claim 19, wherein the purge gas is selected from the group consisting of argon (Ar), helium (He), nitrogen (N ), or hydrogen (H ).
25. A method of depositing a cyclic pulsed two-level plasma atomic layer to deposit a thin film on a surface of a substrate in a reaction chamber, the method comprising: supplying source gas to the reaction chamber to be absorbed on the surface of the substrate loaded in the reaction chamber; applying first plasma to the reaction chamber; stopping the supply of the source gas, purging the source gas remaining in the reaction chamber without being absorbed by supplying purge gas, and continuously supplying the purge gas; passing reaction gas including hydrogen element (H) through a reaction gas activation unit and supplying the reaction gas to the reaction chamber; applying second plasma having energy intensity higher than the first plasma but lower than 1,200 watts to the reaction chamber after continuously supplying the activated reaction gas to the reaction chamber so that pressure in the reaction chamber is stabilized; stopping the supply of the reaction gas and the application of the second plasma, and purging the reaction gas remaining in the reaction chamber with the continuously supplied purge gas; stopping the supply of the purge gas; and repeating the above operations until a metal thin film having a desired thickness is formed.
26. The method of claim 25, wherein the supply of the purge gas is stopped after the source gas and the reaction gas remaining in the reaction chamber are purged by supplying the purge gas.
27. The method of claim 25, wherein the energy intensity of the first plasma applied to the reaction chamber is not more than 1/2 of that of the second plasma.
28. The method of claim 25, wherein, instead of purging the source gas remaining in the reaction chamber with the purge gas, the reaction gas is directly supplied in the subsequent step to directly purge the source gas remaining in the reaction chamber.
29. The method of claim 25, wherein the purge gas is selected from the group consisting of argon (Ar), helium (He), nitrogen (N ), or hydrogen (H ).
30. A method of depositing a cyclic pulsed two-level plasma atomic layer to deposit a thin film on a surface of a substrate in a reaction chamber, the method comprising: supplying source gas to the reaction chamber to be absorbed on the surface of the substrate loaded in the reaction chamber; continuously applying first plasma to the reaction chamber before the source gas is repeatedly supplied in the subsequent process cycle except for a period in which the reaction gas is supplied to the reaction chamber; stopping the supply of the source gas, purging the source gas remaining in the reaction chamber without being absorbed by supplying purge gas, and continuously supplying the purge gas; passing reaction gas through a reaction gas activation unit and supplying the reaction gas to the reaction chamber; applying second plasma having energy intensity higher than the first plasma but lower than 1,200 watts to the reaction chamber after continuously supplying the activated reaction gas to the reaction chamber so that pressure in the reaction chamber is stabilized; stopping the supply of the reaction gas and the application of the second plasma, and purging the reaction gas remaining in the reaction chamber with the continuously supplied purge gas; stopping the supply of the purge gas; and repeating a basic process cycle formed of the above process operations by N times, where N=l, until a thin film having a desired thickness is formed.
31. The method of claim 30, wherein the energy intensity of the first plasma applied to the reaction chamber is not more than 1/2 of that of the second plasma.
32. The method of claim 30, wherein, instead of purging the source gas with the purge gas, the reaction gas is supplied in the subsequent step to directly purge the source gas remaining in the reaction chamber.
33. The method of claim 30, wherein the source gas is a metal compound and the reaction gas is formed of gas including hydrogen (H ).
34. The method of claim 30, wherein the purge gas is selected from the group consisting of argon (Ar), helium (He), nitrogen (N ), and hydrogen (H ).
PCT/KR2005/001382 2004-05-18 2005-05-12 Cyclic pulsed two-level plasma atomic layer deposition apparatus and method WO2005112082A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020040035119A KR100469132B1 (en) 2004-05-18 2004-05-18 Cyclically pulsed two level plasma atomic layer deposition apparatus and method thereof
KR10-2004-0035119 2004-05-18

Publications (1)

Publication Number Publication Date
WO2005112082A1 true WO2005112082A1 (en) 2005-11-24

Family

ID=35394417

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2005/001382 WO2005112082A1 (en) 2004-05-18 2005-05-12 Cyclic pulsed two-level plasma atomic layer deposition apparatus and method

Country Status (3)

Country Link
KR (1) KR100469132B1 (en)
TW (1) TWI263257B (en)
WO (1) WO2005112082A1 (en)

Cited By (294)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008108754A1 (en) * 2007-03-06 2008-09-12 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
CN101838800A (en) * 2010-05-06 2010-09-22 东华大学 Device and method for processing surface of material by atmospheric-pressure micro-discharge plasma
CN102127756A (en) * 2011-02-21 2011-07-20 东华大学 Device and method for enhancing atomic layer deposition by pulse-modulation radio frequency plasma
CN102400113A (en) * 2011-12-14 2012-04-04 嘉兴科民电子设备技术有限公司 Long-range pulse radio frequency inductance coupling discharge plasma enhanced atomic layer deposition device
CN102418085A (en) * 2011-12-14 2012-04-18 无锡迈纳德微纳技术有限公司 Micronano-scale powder protective layer wrapping device and method
US8354703B2 (en) 2010-07-15 2013-01-15 International Business Machines Corporation Semiconductor capacitor
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8394466B2 (en) * 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
KR20220155789A (en) * 2021-05-17 2022-11-24 주성엔지니어링(주) Method for depositing thin film

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6486047B2 (en) * 2000-11-30 2002-11-26 Electronics And Telecommunications Research Institute Apparatus for forming strontium-tantalum-oxide thin film
KR20030011399A (en) * 2001-08-02 2003-02-11 지니텍 주식회사 Plasma enhanced atomic layer deposition equipment and method of forming a thin film using the same
WO2003089683A1 (en) * 2002-04-19 2003-10-30 Ips Ltd. Apparatus and method for depositing thin film on wafer using remote plasma
US20040082171A1 (en) * 2002-09-17 2004-04-29 Shin Cheol Ho ALD apparatus and ALD method for manufacturing semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6486047B2 (en) * 2000-11-30 2002-11-26 Electronics And Telecommunications Research Institute Apparatus for forming strontium-tantalum-oxide thin film
KR20030011399A (en) * 2001-08-02 2003-02-11 지니텍 주식회사 Plasma enhanced atomic layer deposition equipment and method of forming a thin film using the same
WO2003089683A1 (en) * 2002-04-19 2003-10-30 Ips Ltd. Apparatus and method for depositing thin film on wafer using remote plasma
US20040082171A1 (en) * 2002-09-17 2004-04-29 Shin Cheol Ho ALD apparatus and ALD method for manufacturing semiconductor device

Cited By (368)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008108754A1 (en) * 2007-03-06 2008-09-12 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN101838800A (en) * 2010-05-06 2010-09-22 东华大学 Device and method for processing surface of material by atmospheric-pressure micro-discharge plasma
US8518773B2 (en) 2010-07-15 2013-08-27 International Business Machines Corporation Method of fabricating semiconductor capacitor
US8354703B2 (en) 2010-07-15 2013-01-15 International Business Machines Corporation Semiconductor capacitor
CN102127756A (en) * 2011-02-21 2011-07-20 东华大学 Device and method for enhancing atomic layer deposition by pulse-modulation radio frequency plasma
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
CN102418085A (en) * 2011-12-14 2012-04-18 无锡迈纳德微纳技术有限公司 Micronano-scale powder protective layer wrapping device and method
CN102400113A (en) * 2011-12-14 2012-04-04 嘉兴科民电子设备技术有限公司 Long-range pulse radio frequency inductance coupling discharge plasma enhanced atomic layer deposition device
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
KR100469132B1 (en) 2005-01-29
TWI263257B (en) 2006-10-01
TW200539297A (en) 2005-12-01

Similar Documents

Publication Publication Date Title
WO2005112082A1 (en) Cyclic pulsed two-level plasma atomic layer deposition apparatus and method
JP5097554B2 (en) Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
US7541284B2 (en) Method of depositing Ru films having high density
JP4803578B2 (en) Deposition method
JP4214795B2 (en) Deposition method
US6540838B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP4178776B2 (en) Deposition method
US6305314B1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US8383525B2 (en) Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101263856B1 (en) Method of depositing tungsten film with reduced resistivity and improved surface morphology
JP3687651B2 (en) Thin film formation method
JP2008184688A (en) Plasma ald of tantalum nitride film
KR20050008320A (en) Method for depositing cobalt layer
KR101737215B1 (en) Method and apparatus of manufacturing semiconductor device, and computer program
WO2006126440A1 (en) Method of film formation and computer-readable storage medium
WO2004008513A1 (en) Method for manufacturing semiconductor device and substrate processing apparatus
TWI821661B (en) Doping of metal barrier layers
KR100422577B1 (en) Method for forming oxide-thin film by atomic layer deposition
KR100414870B1 (en) Method for fabricating capacitor using atomic layer deposition
JP2008205325A (en) Manufacturing method of semiconductor device and substrate treatment apparatus
WO2005112083A1 (en) Cyclic pulsed plasma atomic layer deposition method
JP2006052426A (en) Method for depositing tantalum nitride film
JP2009299101A (en) Method of manufacturing semiconductor device and substrate processing apparatus
WO2024070685A1 (en) Film forming method, film forming device, and film forming system
JP7169931B2 (en) Film forming method, semiconductor device manufacturing method, film forming apparatus, and semiconductor device manufacturing system

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KM KP KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NG NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DPEN Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed from 20040101)
NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Country of ref document: DE

122 Ep: pct application non-entry in european phase