WO2006023326A1 - Advanced low cost high throughput processing platform - Google Patents

Advanced low cost high throughput processing platform Download PDF

Info

Publication number
WO2006023326A1
WO2006023326A1 PCT/US2005/028260 US2005028260W WO2006023326A1 WO 2006023326 A1 WO2006023326 A1 WO 2006023326A1 US 2005028260 W US2005028260 W US 2005028260W WO 2006023326 A1 WO2006023326 A1 WO 2006023326A1
Authority
WO
WIPO (PCT)
Prior art keywords
wafer
swing arm
loadlock
chamber
arrangement
Prior art date
Application number
PCT/US2005/028260
Other languages
French (fr)
Inventor
Leszek Niewmierzycki
David Barker
Michael Kuhlman
Ryan Pakulski
Hongqing Shan
Martin Zucker
Daniel J. Devine
Original Assignee
Mattson Technology, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/919,582 external-priority patent/US8668422B2/en
Application filed by Mattson Technology, Inc. filed Critical Mattson Technology, Inc.
Priority to CN2005800268870A priority Critical patent/CN101019220B/en
Priority to DE112005001989T priority patent/DE112005001989T5/en
Priority to JP2007527868A priority patent/JP4599405B2/en
Publication of WO2006023326A1 publication Critical patent/WO2006023326A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Definitions

  • Processing systems which expose workpieces such as, semiconductor wafers or other suitable substrates, to an overall treatment regimen for forming a particular device generally employ a plurality of treatment steps. In order to sequentially carry out these steps, each workpiece is typically moved a number of different times, for example, into the system, between various processing stations and out of the system.
  • a simple swing arm generally comprises an arm member which extends from a pivot point to a wafer paddle. Such a swing arm, therefore, provides for rotational motion of a workpiece. While a swing arm configuration represents a dramatic simplification over the use of an articulated robotic arm, at least generally thought to be accompanied by improved reliability and lower cost, it also represents far more limited capabilities with respect to wafer positioning. Specifically, the swing arm, in its basic configuration, is capable only of moving one wafer along a single diameter, planar circular path.
  • US patent number 4,927,484 hereinafter the '484 patent.
  • Figures 1 and 2 of this patent demonstrate a typical prior art approach in which a plurality of simple swing arms cooperate in order to provide greater workpiece movement flexibility. Again, however, these swing arms appear to be limited to rotation of a workpiece in a single plane.
  • the '139 patent also teaches the use of a double-ended swing arm arrangement. Swing arm capability is enhanced through providing an elongated swing arm member having a wafer paddle positioned at each of its opposing ends, with a pivot point centered therebetween, as can be seen in Figure 8A of the '139 patent. Further, the '139 patent, as seen in Figures 9A-D, describes wafer paddles that are rotatable at the ends of the swing arm member so as to at least somewhat improve the positioning capabilities and flexibility of the swing arm over earlier prior art configurations. Unfortunately, however, swing arm positioning capabilities remain limited, despite these improvements, particularly with respect to the capability to move the wafer only in one plane of rotation. A more recent approach with respect to the use of a swing arm is seen in
  • Savage illustrates, in Figure 8 of the patent, a swing arm having an end effector that is configured for supporting a pair of workpieces.
  • typical prior art expedients such as lift pins are used to remove a workpiece from the end effector.
  • a loadlock chamber i.e., a chamber that facilitates both workpiece load and unload functions
  • a transfer chamber i.e., a chamber that facilitates both workpiece load and unload functions
  • one or more process chambers workpieces are typically transferred between the loadlock chamber and the process chamber through the transfer chamber. It is necessary, in such a configuration, to selectively seal the loadlock chamber from the transfer chamber.
  • a slot or slit is generally defined between the two chambers. Sealing is often performed using a slit door arrangement in which a platelike door member is used to seal the elongated slit.
  • Concerns with respect to prior art slit door arrangements include contamination production, the need for precision alignment and sealing mechanisms.
  • the 741 patent uses a bellows as part of its slit door arrangement, illustrated as item number 704 in Figure 6A of the patent. While such a bellows mechanism may be effective for purposes of the 741 patent, it is considered as problematic for reasons which include cost and reliability concerns. As will be further described, the prior art has adopted other approaches as alternatives to the bellows mechanism.
  • FIG. 29 is a partially cutaway view of a prior art slit door configuration that is generally indicated by the reference number 1700.
  • This prior art configuration includes a pivot shaft 1702 that is connected at an upper end to a sealing blade (not shown) for pivotal motion, as indicated by a double headed arrow 1704 about a pivot axis 1706.
  • Pivot shaft 1702 is received in a housing 1710. Sealing between housing 1710 and pivot shaft 1702 is accomplished using a seal flange 1712 that is received on housing 1710 and sealed thereagainst using an O-ring 1714.
  • a seal hat 1716 is supported on pivot shaft 1702 and sealed thereagainst using an O-ring 1718. Seal hat 1716 supports an O-ring 1720 for sealing against a sealing surface 1722 that is defined by seal flange 1712 such that side-to-side motion of O-ring 1720 against sealing surface 1722 is accommodated.
  • pivotal motion of pivot shaft 1702 also imparts tilting of seal hat 1716 thereby compressing one portion of the O-ring 1720 while releasing an opposing portion of the O-ring. This behavior is disadvantageously considered to limit the range of pivotal motion of pivot shaft 1702.
  • a system for processing workpieces, as well as an associated apparatus and method are described.
  • a plurality of workpieces are movable to and from a process chamber arrangement in the system.
  • the process chamber arrangement uses at least two side-by-side, first and second process stations each of which is configured for executing a treatment process on one of the workpieces located at each of the first and second process stations such that two workpieces can simultaneously be exposed to the treatment process.
  • a workpiece support arrangement separate from the process chamber arrangement, is used for supporting at least two of the workpieces at least generally in a stacked relationship to form a workpiece column.
  • a workpiece transfer arrangement also separate from the process chamber arrangement, is used for transporting at least two of the workpieces between the workpiece column and the process chamber arrangement by simultaneously moving the two workpieces at least generally along first and second transfer paths, respectively, that are defined between the workpiece column and the first and second process stations.
  • workpieces are movable to and from a process chamber arrangement, the process chamber arrangement using at least two side-by-side process stations, each of which is configured for treating individual ones of the workpieces located at each of the process stations such that at least two workpieces can simultaneously be treated.
  • a workpiece support arrangement separate from the process chamber arrangement, supports at least two of the workpieces at least generally in a stacked relationship to form a workpiece column.
  • a workpiece transfer arrangement, separate from the process chamber arrangement is configured at least for simultaneously moving two pre- treatment ones of the workpieces from the workpiece column to each of the side- by-side process stations.
  • workpieces are movable to and from a process chamber arrangement that is configured for executing a treatment process on at least one of the workpieces.
  • a workpiece support arrangement separate from the process chamber arrangement, supports at least one of the workpieces for movement in relation to the process chamber arrangement.
  • a swing arm arrangement separate from the process chamber arrangement, includes at least a first swing arm for providing pivotal rotation of at least one workpiece about an axis of rotation, as part of transporting the workpiece between the workpiece support arrangement and the process chamber arrangement, and for moving in a direction that is at least generally along the axis of rotation, as another part of transporting the workpiece, to change an elevation of the swing arm such that the workpiece being transported can be moved between different spaced-apart elevational planes in addition to the pivotal rotation.
  • a swing arm arrangement includes at least a first swing arm for providing pivotal rotation of at least one workpiece about an axis of rotation, as part of transporting the workpiece at least in relation to the process chamber arrangement, and for moving in a direction that is at least generally along the axis of rotation, as another part of transporting the workpiece, to change an elevation of the swing arm such that the workpiece being transported can be moved between different spaced-apart elevational planes in addition to the pivotal rotation.
  • workpieces are movable to and from a process chamber arrangement in a system, the process chamber arrangement using at least one process station that is configured for executing a treatment process on at least one of the workpieces.
  • a workpiece support arrangement is arranged in one spaced apart relationship from the process chamber arrangement for supporting at least one of the workpieces.
  • a swing arm arrangement is positioned in another spaced apart relationship from the process chamber arrangement including at least a first swing arm and a second swing arm configured for coaxial rotation about a common axis of rotation for use in transporting the workpieces between the workpiece support arrangement and the process chamber arrangement.
  • workpieces are movable to and from a process chamber arrangement in a system
  • the process chamber arrangement uses at least one process station that is configured for executing a treatment process on at least one of the workpieces.
  • a swing arm arrangement forming part of the system, includes at least a first swing arm and a second swing arm configured for coaxial rotation about a common axis of rotation for use in transporting the workpieces in relation to the process chamber arrangement.
  • a system configuration includes a pair of side-by-side first and second process stations, each process station configured for applying the treatment process to one of the workpieces.
  • a workpiece support arrangement is configured for supporting one or more of the workpieces.
  • the workpiece support arrangement being positioned at a first distance at least approximately equally from each of the process stations.
  • First and second swing arm arrangements are arranged to pivot about a first axis and a second axis, respectively, such that each one of the first axis and the second axis is positioned at least approximately at a second distance from the workpiece support arrangement while the first axis is at least approximately spaced away from the first process station by the second distance and the second axis is at least approximately spaced away from the second process station by the second distance, such that the first process station, the second process station, the first axis, the second axis and the wafer column cooperate to define a pentagonal shape.
  • a workpiece processing system for processing workpieces using a treatment process, includes a configuration having a pair of side-by-side first and second process stations defining a line extending through a first center of the first process station and a second center of the second process station, each process station is configured for applying the treatment process to at least one of the workpieces.
  • a workpiece support arrangement is configured for supporting at least one of the workpieces laterally offset from the line.
  • First and second swing arm arrangements each of which pivots about a first axis and a second axis, respectively, are arranged at a first swing arm location and a second swing arm location, and each of the first swing arm location and the second swing arm location is offset from the line on a common side thereof toward, but not beyond the workpiece support arrangement such that the first process station, the second process station, the first axis, the second axis and the wafer column cooperate to define a pentagonal shape.
  • a configuration in using a first, driven shaft to rotationally drive a second shaft, includes first and second toothed flexible closed-loop members.
  • a first pulley arrangement is mounted on the first shaft and a second pulley arrangement is mounted on the second shaft for receiving the first and second toothed flexible members in a side-by-side relationship such that at least a particular one of the pulley arrangements includes a first pulley engaging the first toothed flexible member and a second pulley engaging the second toothed flexible member, each of the first and second pulleys having a tooth receiving configuration which cooperates with the first and second toothed flexible members to provide a given backlash clearance when engaged with the first and second toothed belt members, respectively.
  • the first pulley and the second pulley are mounted with a rotational offset therebetween such that the tooth receiving configuration of the first pulley is rotationally offset with respect to the tooth receiving configuration of the second pulley, based on the given backlash clearance, in a way which limits an operational backlash of the particular pulley arrangement with respect to movement of the first and the second toothed flexible members to a value that is less than the given backlash clearance.
  • a valve apparatus and method for use in a workpiece processing system for processing workpieces.
  • the system includes at least two adjacent chambers with a slot defined therebetween, through which slot the workpieces are transportable and a chamber sealing surface, that is at least generally planar, surrounding the slot and supporting a sealing arrangement surrounding the slot.
  • the valve apparatus being configured for selectively opening and closing the slot using a sealing blade member including a blade surface that is configured for sealingly engaging the sealing arrangement.
  • An actuator arrangement moves the sealing blade member between an open position, away from the slot, to provide for passage of the workpieces therethrough, and a closed position in which the sealing blade member is brought into sealing contact at least with the sealing arrangement and for supporting the sealing blade member in a way which provides for movement of the blade surface, at least responsive to engagement with the sealing arrangement, that is characterized by two degrees of freedom for aligning the blade surface with the sealing arrangement and, thereby, the sealing surface.
  • a configuration for use in a workpiece processing system for processing workpieces.
  • the system having at least two adjacent chambers that are subject to contamination from internally and externally produced contaminants.
  • the configuration includes a chamber body arrangement which serves to define the adjacent chambers and a slot between the adjacent chambers, through which slot the workpieces are transportable and a chamber sealing surface, that is at least generally planar, surrounding the slot.
  • the chamber body arrangement further defining a chamber trough adjacent the slot and therebelow to form a portion of a particular one of the adjacent chambers such that the chamber trough establishes a lowermost region of the chamber body arrangement serving as a collection region for the contaminants, at least in being under an influence of the Earth's gravity, and the chamber body arrangement further defines a pumping port at least for use in evacuation of the particular chamber.
  • a valve arrangement is supported in the particular chamber for selective movement between a closed position, in which a sealing blade thereof seals against the slot to isolate the adjacent chambers from one another, and an open position, in which the sealing blade retracts into the trough.
  • a pumping arrangement is connected to the pumping port at least for use in evacuation of the particular chamber by pumping from the trough in a way which serves to remove at least a portion of the contaminants collected in the trough.
  • a wafer processing system and associated method are described in which at least one wafer is movable between a loadlock and a processing chamber.
  • the wafer includes a wafer diameter.
  • a transfer chamber is arranged for selective pressure communication with the loadlock and the processing chamber.
  • the transfer chamber having a configuration of lateral extents such that the wafer is movable through the transfer chamber between the loadlock and processing chamber along a wafer transfer path and the configuration of lateral extents causes the wafer, having the wafer diameter and moving along the wafer transfer path, to interfere with at least one of the Ioadlock and the processing chamber for any given position along the wafer transfer path.
  • the wafer includes a wafer center and the wafer transfer path is defined by movement of the wafer center through the transfer chamber.
  • a system and method for processing wafers including at least one Ioadlock.
  • a transfer chamber is arranged in selective communication with the Ioadlock.
  • a processing chamber includes at least one processing station such that the processing chamber is in selective communication with the transfer chamber and the wafers can be transferred between the Ioadlock and the processing chamber through the transfer chamber.
  • a swing arm arrangement is configured to include at least one swing arm that is pivotally supported in the transfer chamber and having a distal end that is configured for moving the wafers between the Ioadlock and the processing chamber.
  • the swing arm being positionable in a home position within the transfer chamber, when the Ioadlock and the transfer chamber are in isolation from one another, and the swing arm is configured for swinging the distal end a first angular displacement in one direction from the home position to the Ioadlock and for swinging the distal end a second angular displacement in an opposite direction from the home position to the processing station such the first angular displacement is different from the second angular displacement.
  • the first angular displacement is less than the second angular displacement.
  • a transfer arrangement is configured to include a swing arm arrangement having at least a first swing arm and a second swing arm configured for coaxial rotation about a common axis of rotation for use in transporting the wafers between the wafer station in the Ioadlock and the processing station in the processing chamber.
  • the first and second swing arms being configured so that one of the swing arms can rotate toward the processing station while the other one of the swing arms independently rotates toward the wafer station.
  • each of the first and second swing arms moves through a home position in rotating between the wafer station and the processing station, and the wafer station is reached by rotating through a first angular offset from the home position with the processing station being reached by rotating through a second angular offset from the home position such that the first angular offset is different from the second angular offset.
  • the first angular offset is less than the second angular offset.
  • the swing arm arrangement is configured to include a drive arrangement at least for selectively rotating the first swing arm and the second swing arm at different angular velocities.
  • the swing arm arrangement is configured to include a drive arrangement at least for selectively rotating the first swing arm and the second swing arm in opposite directions by different angular amounts.
  • the first swing arm and the second swing arm each rotate at least approximately at the same given angular velocity in the opposite directions such that one of the swing arms rotates for a first length of time from the home position to reach the wafer station and the other one of the swing arms rotates for a second length of time, that is different from the first length of time, from the home position to reach the processing station.
  • a transfer arrangement is configured to include a swing arm configured for rotation about an axis of rotation for use in transporting the workpieces between the wafer station and the processing station.
  • the swing arm being configured to rotate in one direction by a first angular value from a home position to the processing station and to rotate in an opposite direction by a second angular value from the home position to reach the wafer station, and the first angular value is different from the second angular value.
  • the loadlock and the processing chamber form portions of an overall chamber arrangement which cooperates with the transfer arrangement in a way which serves, at least in part, to define the home position of the swing arm.
  • the loadlock and the processing chamber are pressure isolatable from one another substantially only when the swing arm is in the home position.
  • the overall chamber arrangement includes a transfer chamber that is in selective communication with each of the loadlock and the processing chamber and the transfer arrangement is supported in the transfer chamber such that the home position is defined within the transfer chamber.
  • the loadlock is in direct communication with the processing chamber and the transfer arrangement is supported in the loadlock such that the home position is defined within the loadlock.
  • FIGURE 1a is a diagrammatic view, in perspective, of a workpiece processing system that is produced in accordance with the present invention.
  • FIGURE 1 b is a diagrammatic plan view of the system of Figure 1 a, shown here to illustrate further details of its structure.
  • FIGURE 2 is a diagrammatic perspective view of a loadlock used in the system of Figure 1a, shown here to illustrate details of its structure.
  • FIGURE 3 is another diagrammatic perspective view of the loadlock of Figure 2 further illustrating the appearance of a slot door arrangement, as well as further details of the structure of the loadlock.
  • FIGURE 4 is a diagrammatic view, in perspective, showing a transfer chamber that is used in the system of Figure 1a connected to the loadlock also used in the system and shown in further detail in Figures 2 and 3.
  • FIGURE 5a is a diagrammatic perspective, isolated view illustrating details of a dual swing arm arrangement that is used in the transfer chamber of Figure 4.
  • FIGURE 5b is a diagrammatic partially cut-away view, in cross-section, illustrating details of an end effector height adjustment arrangement, shown here to illustrate features that are not visible in the view of Figure 5a.
  • FIGURE 6 is a diagrammatic enlarged cut-away view, in cross-section, of the swing arm arrangement of Figure 5a, shown here to illustrate further details of its structure.
  • FIGURE 7 is a diagrammatic enlarged cut-away view, in cross-section, of the swing arm arrangement of Figure 6 that is further enlarged to illustrate details with respect to inner and outer swing arm shafts as well as a housing therefor.
  • FIGURES 8 and 9 are diagrammatic plan views of cams that are used in the swing arm assembly of Figure 5a-7, for use in establishing the height of each swing arm.
  • FIGURE 10a is a diagrammatic view, in perspective, of a bridge bracket that supports a cam follower for engagement with the cams of Figures 8 and 9.
  • FIGURE 10b is a diagrammatic partially cross-sectional view of the cam follower and a portion of the bridge bracket of Figure 10a, shown here to illustrate further details of the structure of these components.
  • FIGURE 11 is a diagrammatic view, in perspective, illustrating further details with respect to one swing arm arrangement of the dual swing arm arrangement of Figure 5a.
  • FIGURE 12 is another diagrammatic enlarged cut-away view, in cross- section, of the swing arm arrangement of Figure 6 that is further enlarged to illustrate details with respect to the swing arm drive assembly.
  • FIGURE 13 is a diagrammatic perspective view illustrating a counter rotation drive belt and pulley arrangement that is used to counter rotate one swing arm of a coaxial pair of swing arms.
  • FIGURE 14 is a diagrammatic perspective view illustrating a drive belt and pulley arrangement that is used to rotate the other swing arm of the coaxial pair of swing arms.
  • FIGURE 15 is a simplified illustration, in perspective, of a drive belt and pulley arrangement that is used for purposes of minimizing drive belt backlash.
  • FIGURES 16a and 16b are diagrammatic plan views of the drive belt and pulley arrangement of Figure 15, shown here to illustrate further details with respect to its arrangement.
  • FIGURE 17a is a diagrammatic view, in perspective, illustrating a slot valve arrangement that is produced in accordance with the present invention.
  • FIGURE 17b is a diagrammatic view, in cross-sectional elevation, showing the slot valve arrangement of Figure 17a to illustrate further details of its structure.
  • FIGURE 17c is a diagrammatic partially cut-away view, in cross-sectional elevation, showing an enlarged region of the view of Figure 17b, illustrating still further details of its structure.
  • FIGURE 17d is a diagrammatic view, in perspective, of the slot valve arrangement of Figure 17a illustrating further details with respect to a blade suspension mechanism.
  • FIGURE 17e is a diagrammatic view, in cross-section, illustrating details with respect to one feature of the blade suspension mechanism.
  • FIGURES 18a-18e form a series of diagrammatic plan views illustrating one process for implementing workpiece transfer and treatment in a highly advantageous way.
  • FIGURES 19a-19l form a series of diagrammatic elevational views which cooperate with the plan views of Figures 18a-18e to illustrate further details of the process.
  • FIGURE 20 is a diagrammatic plan view illustrating a process chamber, transfer chamber and loadlock for purposes of describing one way in which variation of process station to process station spacing can be accommodated.
  • FIGURE 21 is a diagrammatic plan view of one embodiment of a system using a swing arm arrangement of the present invention in conjunction with process stations that are housed in individual process chambers.
  • FIGURE 22 is a diagrammatic plan view of another embodiment of a system, produced in accordance with the present invention, using a linear workpiece drive and a portable workpiece column.
  • FIGURE 23 is a diagrammatic plan view of an alternative embodiment of a system, produced in accordance with the present invention, using a linear workpiece drive.
  • FIGURES 24a-d are diagrammatic plan views of the linear drive and loadlock of the system of Figure 23, shown here to illustrate workpiece movement using a rotatable workpiece carrier.
  • FIGURES 25-27 are plan views of additional alternative embodiments of systems that are produced in accordance with the present invention.
  • FIGURE 28 is a diagrammatic plan view of another embodiment of a system using a swing arm arrangement of the present invention in conjunction with process stations that are housed in individual process chambers.
  • FIGURE 29 is a diagrammatic partially cutaway cross-sectional view, in elevation, of one embodiment of a prior art slit door arrangement, shown here to illustrate details of its sealing configuration.
  • FIGURE 30 is a diagrammatic view, in perspective, of another embodiment of a swing arm arrangement that is produced in accordance with the present invention.
  • FIGURE 31 is a diagrammatic view, in perspective, of one of the swing arm actuation mechanisms of Figure 30, shown here to illustrate further details of its structure.
  • FIGURE 32 is an enlarged perspective view of a portion of the swing arm mechanism of FIGURE 31 , shown here to more clearly illustrate the details of its dual motor drive arrangement.
  • FIGURE 33 is a diagrammatic plan view of a system, produced in accordance with the present invention, and using the swing arm arrangement of Figures 30-32, shown here to illustrate details of the structure of the system and its associated advantages.
  • FIGURE 34 is another diagrammatic plan view of the system of Figure 33, showing the swing arm arrangement in a rotated orientation and associated details.
  • FIGURE 35 is a diagrammatic plan view of the loadlock and transfer chamber that is used in the system of Figures 33 and 34, shown here to illustrate an arrangement of detector that is supported by the transfer chamber and loadlock lids.
  • FIGURES 36a and 36b are diagrammatic plan views of the system of Figures 33-35, shown here to illustrate the operation and further details with respect to the wafer sensing arrangement.
  • FIGURE 37 is a diagrammatic plan view of another system, produced in accordance with the present invention, and using the swing arm arrangement of Figures 30-32, shown here to illustrate details of the structure of the system and its associated advantages wherein a transfer chamber is not included.
  • FIG. 1a is a diagrammatic view, in elevation, of a processing system, generally indicated by the reference number 10, according to one embodiment of the present invention.
  • Figure 1 b is a diagrammatic plan view of system 10.
  • the processing system is generally made up of a front end 12, a loadlock section 14, a wafer handling section 15 and a processing section 16.
  • etching plasma etching, photochemical etching, chemical vapor etching, thermally driven etching, ion etching, etc.
  • planarization combination of etching and deposition
  • cleaning and residue removal various implementations of chemical, physical and ion deposition (PECVD, ALD, MOCVD, sputtering, evaporation, etc.).
  • Suitable workpiece types include, but are not limited to semiconductor, opto-electronic, memory media, and flat panel displays.
  • Suitable workpiece materials include, but are not limited to silicon, silicon germanium, glass and plastic.
  • Suitable plasma based process sources include, for example, inductively coupled plasma (ICP) sources, microwave sources, surface wave plasma sources, ECR plasma sources, and capacitively coupled (parallel plate) plasma sources. Any appropriate process-defined pressure may be utilized. Still referring to Figures 1 a and 1 b, front end 12 is generally at atmospheric pressure and defines a "mini-environment" that is configured for engaging a plurality of cassettes or FOUPs (Front Opening Unified Pods shown in Figure 1a) 18 or other suitable workpiece transfer postions each of which, in the present example, is configured for supporting 25 semiconductor wafers.
  • front end 12 is configured for engaging a pair of first and second loadlocks 20a and 20b (only first loadlock 20a is visible in the view of Figure 1a), collectively or individually referred to as loadlock(s) 20.
  • Figure 1 b illustrates, an intermediate station 21 , which may comprise, for example, a cooling station, that is positioned between loadlocks 20a and 20b.
  • the first and second loadlocks are generally identical to one another and engage first and second transfer chambers, individually indicated by the reference numbers 22a and 22b, and collectively or individually referred to as transfer chamber(s) 22.
  • the transfer chambers engage first and second process chambers 24a and 24b, and may be referred to collectively or individually referred to as process chamber(s) 24.
  • process chamber(s) 24 Each process chamber, as will be seen below, employs a side-by- side workpiece arrangement or side-by-side process stations in which each process chamber can simultaneously expose a pair of workpieces to the same process. It is to be understood that process chambers 24a and 24b may be used to practice the same process or to practice different processes.
  • loadlocks 20 can be pumped down to a treatment or intermediate pressure from atmospheric pressure prior to transferring workpieces to and from process chambers 24 through transfer chambers 22.
  • system 10 can readily be configured with only one process chamber 24, one transfer chamber 22 and one loadlock 20, for example, in the case where one process chamber can achieve a desired level of throughput or where sequential processing is not required.
  • An operator station 30, including a display 32 and input device 34, is provided connected with a computer 40 for use in controlling the system. It is considered that one having ordinary skill in the art is capable of appropriately programming computer 40 in order to achieve the functionality described herein, in view of this overall disclosure.
  • piping and pumping facilities have not been illustrated in Figure 1a for purposes of illustrative clarity.
  • a common facilities input can be used for the distribution of pneumatics air, purge gas, process gas(es), and cooling water to one or two module configurations.
  • a single vacuum pump can be incorporated for single or dual module loadlock pumping accommodations.
  • Separate gas panels can be used to deliver process gasses to each module and each process module has been configured with its own vacuum pump and pressure control devices, allowing for parallel processing capabilities.
  • Pressure transducers affixed to the loadlock(s), transfer chamber(s) and process chamber(s) are used to communicate pressures associated with processing functionality. Additionally, an assortment of vacuum and pressure switches affixed to vacuum roughing lines are used for interlock purposes.
  • Loadlock 20 includes an overall body which defines a slit aperture 50 for communicating with one of transfer chambers 22.
  • An o-ring 52 is received in a face or chamber sealing surface 54 of the loadlock for sealing against the associated transfer chamber.
  • a trough 56 is formed by the loadlock chamber body for receiving a valve arrangement (not shown) having a blade member that is used to seal against the surface of the wall which opposes face 54, as will be further described in detail below.
  • a front end slit 60 is defined through which workpieces are transferred to and from front end 12 of Figure 1a.
  • Any appropriate slit door arrangement may be used for purposes of sealing front end slit aperture 60 including, for example, the arrangement that is used on slit aperture 50, yet to be described.
  • Other suitable door arrangements including a magnetic door and a pneumatic door are described in U.S. Patent no. 6,315,512, which is commonly owned with the present application, and incorporated herein by reference.
  • a shelf arrangement 64 is provided for supporting workpieces in loadlock 20 as these workpieces are transferred to and from both the front end and the process chamber of Figures 1a and 1b.
  • the shelf arrangement is made up of two sets of spaced apart blade members alternating between a long blade 66 and a short blade 68 in an overall stacked relationship. Accordingly, each set of blade members includes two long blades 66 and two short blades 68. It should be noted that one long blade in combination with one short blade serves to make up a shelf for an individual workpiece such that each shelf includes an asymmetric configuration.
  • the long and short shelf blades may be formed using any suitable material such as, for example, aluminum. Further details will be provided below with respect to the use of this asymmetric configuration.
  • Each shelf arrangement is supported using a pair of fasteners 70 which may be of any suitable type such as, for example, stainless steel. Spacers may be used to achieve the appropriate spaced apart relationship between the shelf blade member. The spacers may be formed, for example, using the same material from which the shelf blades are formed.
  • the shelf arrangement is configured for supporting four workpieces in four vertically spaced apart support stations. As will be described in further detail below, the two uppermost workpiece support shelves are dedicated for use in supporting a pair of preprocess ones of the workpieces while the two lowermost workpiece support shelves are dedicated for use in supporting a pair of postprocess ones of the workpieces.
  • preprocess workpieces are always moved from front end 12 of Figure 1a to the preprocess workpiece support shelves and then on to an associated one of process stations 26.
  • the lower pair of workpiece support stations is dedicated to the postprocess workpieces such that processed workpieces are always moved from an associated one of process stations 26 to the postprocess pair of shelves.
  • Workpieces are stacked in the shelves so as to form a workpiece column, as will be further described below. It is appropriate to note, for the moment, that pairs of workpieces can be moved simultaneously to and from this workpiece column.
  • FIG. 3 the former illustrates loadlock 20, in a perspective view, to illustrate further details of its construction, having shelf arrangement 64 removed.
  • the top plate of the loadlock has not been shown to facilitate a view of interior details of its structure.
  • front end slit aperture 60 is shown surrounded by an O-ring seal 74.
  • a slit door valve arrangement 80 is shown installed for sealing slit aperture 50.
  • the slit valve arrangement includes a sealing blade 82 which is illustrated retracted into trough 56 of the loadlock body.
  • Loadlock 20 is illustrated, like other chambers in the various figures, having its cover or lid removed for purposes of illustrative clarity. Figure 1a, however, shows these covers as they appear installed.
  • a suitable seal such as, for example, an O-ring seal 84 may be used to seal the lid against the chamber body.
  • Slit valve arrangement 80 is actuated, in the present example, using a pneumatic linear actuator 86.
  • Loadlock 20 defines a pair of pumping ports, only one of which is visible, indicated by the reference number 87. It is of interest to note that these pumping ports are arranged to pump from trough 56. This arrangement is considered to be advantageous since this trough comprises a low point within the overall loadlock. Accordingly, the trough serves as a collection area for particles and other contamination that is introduced into the loadlock during normal operation of the system. By pumping from the trough, as a low point, it is intended to remove particles and contamination as a normal consequence of operating the system.
  • Loadlock 20 also includes a floor 88, above trough 50, which defines a pair of purge ports, only one of which is visible in the floor, indicated by the reference number 89.
  • Purge ports 89 can be used in cooperation with pumping ports 87 to provide a crossflow during pumping of the loadlock. That is, appropriate gases can be introduced through purge ports 89 while pumping takes place from pump ports 87. In this way, contaminants can advantageously be caused to flow toward and into trough 56 for removal therefrom by pumping, as will be further described.
  • the illustrated purge port receives a diffuser 90, which can be formed, for example, from sintered metal, or porous ceramic or composite material (such as stainless steel, aluminum oxide, impregnated carbon fibers, among others).
  • a diffuser 90 which can be formed, for example, from sintered metal, or porous ceramic or composite material (such as stainless steel, aluminum oxide, impregnated carbon fibers, among others).
  • Figure 4 illustrates loadlock 20 connected to transfer chamber 22.
  • various features that are the subject of the present discussion can be seen in prior figures such as, for • example, Figures 1a and 1b.
  • the top plate of both the loadlock and the transfer chamber have not been shown to facilitate a view of interior details of their features.
  • the two chambers can be affixed to one another in any suitable manner such as, for example, using threaded fasteners that are inserted through mounting holes 92, shown in Figures 2-4.
  • Transfer chamber 22 defines a process chamber slit door 100 configured for interfacing with one of process chambers 24, shown in Figures 1 a and 1 b.
  • slit door valve arrangement 80 is also used for purposes of opening and closing process chamber slit door 100.
  • Process chamber 22 is configured for supporting a swing arm arrangement 120 that is made up of four individual swing arms arranged in counterrotating pairs, as will be described immediately hereinafter.
  • Figure 5a in conjunction with Figure 4, the former figure illustrates swing arm arrangement 120 in a perspective view and removed from transfer chamber 22 for purposes of illustrative clarity.
  • Figure 1 b diagrammatically illustrates swing arm arrangement 120 with respect to counterrotation, however, its full symmetric movement capabilities are seen in figures yet to be described.
  • An overall baseplate 122 supports first and second swing arm pairs 124a and 124b, respectively.
  • identical reference numbers will be used to refer to the first and second swing arm pairs, having components associated with a particular pair identified by using "a” or “b” appended to the appropriate reference number.
  • components that are identical in each of the swing arm pairs may be referred to individually or collectively without the appended "a” or “b”.
  • the swing arm pairs collectively include upper blades 128a and 128b, which may be referred to collectively or individually, for purposes of convenience, as upper blade(s) 128.
  • the swing arm pairs further include lower swing arm blade(s) 130.
  • Each of the upper swing arm blades extends to a distal end 140 that is configured for attachment of an end effector 142 that is best seen as attached to swing arm blade 130b, in Figure 5a.
  • a group of threaded fasteners 144 is used to adjustably attach end effector 142 to each swing arm blade. In this way, alignment adjustments are provided such that the end effectors appropriately interlace with the shelves of shelf arrangement 64 of Figures 2 and 4, as well as being properly interlaced with one another in a non-interfering manner, even when loaded with workpieces.
  • the swing arms are shown in a convenient "home" position above baseplate 122, as will be further described.
  • a reference to a swing arm(s) can refer to the combination of one or more swing arm blades with an associated end effector.
  • swing arm 130b refers to swing arm blade 130a in combination with an attached one of end effectors 142.
  • the former is a cross- sectional view of the adjustable manner in which end effector 142 is attached to distal end 140 of each swing arm blade such as, for example, swing arm blade 130b.
  • fastener group 144 includes a pair of locking flat head fasteners 146a and 146b, although any appropriate fastener can be used.
  • a dowel pin 147 is press-fitted into an aperture that is defined by swing arm blade 130b, having a free end that projects through another aperture that is defined by end effector 142.
  • a helical coil spring 148 surrounds dowel pin 147 and resiliently, locally biases the end effector away from the swing arm blade.
  • a hex screw 149 is threadingly received by swing arm blade 130b for use in adjusting the end effector height in combination with fasteners 146a and 146b. It is noted that the surface of swing arm blade 130b confronting end effector 142 and surrounding fastener 146b is arcuate in configuration to accommodate changes in the angle of end effector 142 relative thereto with height adjustment. End effector height adjustment may be accomplished, in one exemplary way, by initially tightening fastener 146b "snuggly" and fastener 146a at least slightly withdrawn from a seated position. Fastener 146a is then adjusted to set end effector 142 at a desired angle. Hex screw 149 is then tightened to lock the desired end effector orientation.
  • a bracket 150 extends downward from baseplate 122 for supporting a lift motor 152 which rotates a lift motor pulley 154 which, in turn, engages a lift belt 156.
  • Lift belt 156 is received around a lift pulley 158 that is supported on a shaft 160 which is itself rotatably supported by bracket 150.
  • lift belt 156 may be tensioned in any suitable manner that is available in the prior art.
  • one or more fasteners used to mount lift motor 152 may be received in slotted holes such that the motor can be pivoted to tension lift belt 156. Having accomplished tensioning, the fasteners are then tightened.
  • lift motor 152 Any suitable motor may be used as lift motor 152 such as, for example, a servo or stepper based motor. As will be seen, no more than one full revolution of pulley 158 is needed. It is noted that this motor includes an encoder for reading the position of its output shaft and thereby identifying the position of lift pulley 158 with a suitable degree of precision. Opposing ends of shaft 160 are received in couplers 162, each of which then engages a cam drive shaft 164. Cams 166a and 166b will be described in further detail below. For the moment, it is appropriate to note that these cams facilitate customized vertical motion of each swing arm pair, responsive to rotation of lift motor 152.
  • a servo or stepper based motor As will be seen, no more than one full revolution of pulley 158 is needed. It is noted that this motor includes an encoder for reading the position of its output shaft and thereby identifying the position of lift pulley 158 with a suitable degree of precision. Opposing ends of shaft 160 are received in
  • each motor may include an encoder, or a separate encoder may be provided for use in reading the vertical position of each swing arm pair.
  • FIG. 6 is a partial, further enlarged cross-sectional view, in elevation, of swing arm pair 124b.
  • swing arm pair 124a is essentially identically configured, with certain exceptions to be noted.
  • the first and second swing arm pairs are supported using brackets 170a and 170b that are suitably attached to base plate 122 so as to extend downwardly therefrom.
  • a linear stage 172 is used to engage a swing arm housing 176 so as to provide for up/down linear motion of the swing arm housing relative to brackets 170.
  • One suitable linear stage 172 is available from NSK Japan, although any number of alternative configurations can be provided which accomplish the desired linear motion.
  • Pneumatic cylinders 178 are provided, pivotally engaging and captured between base plate 122 and housing 176 of each swing arm arrangement.
  • Cylinders 178 are provided for counterbalance purposes and can provide downward and upward biasing force for the swing arm arrangements with respect to base plate 122.
  • the cylinders can provide a force that counteracts that of atmospheric pressure, when the transfer chamber is under vacuum.
  • a force can be provided to counter the weight of the robot under the force of gravity.
  • pressure regulation is provided to the cylinders in a known way to produce and change the applied biasing force.
  • one or more additional cylinders can be provided depending upon load demands or a single cylinder can be used.
  • FIG 7 is a further enlarged view, showing details within a dashed circle 180 which appears in Figure 6.
  • Housing 176 being supported for vertical motion, is sealed against the transfer chamber bottom using a seal arrangement 182.
  • the latter includes an annular L- bracket 184 ( Figure 7) having one end that is captured between an annular sealing ring 186 and a bottom wall 188 of transfer chamber 20 (see also Figure 4). Sealing ring 186 can be retained in position, for example, using threaded fasteners 189.
  • An O-ring 190 is captured within an annular O-ring groove so as to seal L- bracket 184 against a peripheral step 191 ( Figure 6 and 7) that is defined by transfer chamber bottom 188.
  • An opposing end of L-bracket 184 includes an annular seal arrangement that is made up of a quad seal 200 that is held in position using a pair of grease retainers 202 and 204 positioned above and below the quad seal, respectively.
  • This quad seal should be lubricated using an appropriate lubricant such as, for example, a fluorinated grease that is carried by grease retainers 202 and 204.
  • an outer swing arm shaft 210 supports lowermost swing arm 130 of each swing arm pair.
  • Outer swing arm shaft 210 is supported for rotation, at least in part, within a through passage 212, defined by housing 176, using an upper bearing and seal assembly 214 (Figure 7).
  • the latter includes another quad seal 200 and grease retainers 202 and 204 that are captured within an annular groove configuration which surrounds an uppermost opening leading into a through passage 216 which is defined by outer swing arm shaft 210.
  • a bearing 220 is received for rotationally supporting the upper end of outer swing arm shaft 210.
  • a similar bearing 220 ( Figure 6) supports a lowermost end of outer swing arm shaft 210.
  • An inner swing arm shaft 226 is received for rotation within through passage 216 of outer swing arm shaft 210.
  • Figure 7 illustrates the way in which an upper end of inner swing arm shaft 226 is supported for rotation using a bearing/seal arrangement 228 that is essentially identical, from a functional standpoint, to the seal arrangement that is used between housing 176 and the uppermost end of outer swing arm shaft 210.
  • a bearing/seal arrangement 228 that is essentially identical, from a functional standpoint, to the seal arrangement that is used between housing 176 and the uppermost end of outer swing arm shaft 210.
  • any suitable type of bearing can be used for rotationally supporting both the inner and outer swing arm shafts. Suitable bearing types include, but are not limited to angular contact and radial contact ball bearings.
  • Bearing arrangement 228 is retained between the inner and outer swing arm shafts by attachment of lower swing arm 130 to outer swing arm shaft 210 using a plurality of threaded fasteners 230 (only one of which is shown) that are distributed around an axis of symmetry 232 of the swing arm arrangement.
  • the lower swing arm serves as a seal and bearing retainer.
  • Bearing 220 ( Figure 6) can also be used between the lowermost ends of the inner and outer swing arm shafts and, hence, will not be described for purposes of brevity.
  • upper swing arm 128 is affixed to inner swing arm shaft 226 using a clamping arrangement ( Figure 5a) having a clamp shell 234 which engages a clamping end of upper swing arm 128 via threaded fasteners received in clamp apertures 238 such that the rotational position of the upper swing arm can be adjusted in relation to the lower swing arm. Any number of alternatives may be employed for purposes of insuring that the swing arms interlace properly.
  • outer swing arm shaft 210 and inner swing arm shaft 226 of swing arm assembly 124a can be appropriately longer than the corresponding components that are used in swing arm assembly 124b.
  • an extension spacer 239 arrangement can be added, as will be described in further detail below.
  • FIGs 8 and 9 illustrate the appearance of cam faces 243a and 243b of cam plates 242a and 242b, respectively, as will be further described below.
  • each cam plate defines a cam groove 246 which receives a cam follower 248.
  • cam grooves 246a and 246b are mirror images of one another. Rotation of each cam moves the associated swing arms between elevations 1-4, as identified around each cam groove through engagement by cam follower 248.
  • cams and, thereby, the swing arm pairs are at elevation 1 , since each cam follower is received at a low point in each cam groove (as shown in phantom in Figures 8 and 9), although many alternative configurations can be provided.
  • the swing arm height that is associated with each of the cam elevations will be described in conjunction with subsequent ones of the figures.
  • cam plates 242a and 242b are interchangeable so long as such interchange is accompanied by a reversal in rotation direction.
  • cam plate 242a rotates in an indicated counterclockwise direction (CCW)
  • cam plate 242b rotates in an indicated clockwise (CW) direction.
  • Apertures 247 are provided for use in attaching the cam plates to the cam mounting plates.
  • Figure 10b is a partially cutaway view, in partial cross-section, of cam follower 248, as it is received in a bridge bracket 256.
  • cam follower 248 includes a threaded mounting shaft 257a that is received in an aperture that is defined by bridge bracket 256.
  • a nut 257a threadingly engages shaft 257a.
  • An opposite end of shaft 257a supports a cam roller 257c for rotation.
  • the cam roller is sized to be received in one of cam grooves 246.
  • Such rotational support can be provided in many well-known ways such as, for example, by using a bearing (not shown).
  • Bridge bracket 256 is connected to housing 176 ( Figure 5a) using threaded fasteners received in apertures 258 and includes a U-shaped configuration for purposes of bridging bracket 170 so that cam follower 248 provides vertical motion of housing 176, as limited by linear stage 172, and the swing arm shafts supported therein.
  • FIG. 11 provides a general perspective view of this arrangement for swing arm pair 124a with the swing arm blades removed, while Figure 12 provides an enlarged view within a dashed line 301, shown in Figure 6.
  • Drive arrangement 300 includes a drive base plate 302 that is mounted to a lowermost end of housing 176.
  • a U-bracket 304 includes a lowermost surface to which a gear drive 306 is mounted and which is, in turn, driven by a motor 310 ( Figures 5a, 6 and 11 ).
  • Motor 310 may comprise any suitable type of motor such as, for example, servo or stepper motor.
  • Gear drive 306 drives a toothed pulley 308 ( Figure 6). This latter pulley will be described in further detail below, however, for the moment, is appropriate to note that the pulley must be sufficiently long so as to be capable of simultaneously driving a plurality of four spaced apart timing belts along its overall length.
  • Spacer arrangement 239 is shown in Figure 11 , made up of an upper swing arm spacer 311 a and a lower swing arm spacer 311 b in order to appropriately elevate swing arm arrangement 124a with respect to swing arm arrangement 124b to provide for the swing arm interlacing shown in Figure 5a.
  • a first pulley arrangement 312 is made up of first and second side-by-side pulleys 314 and 316 that are received by the lowermost end of outer swing arm shaft 210.
  • This latter pulley arrangement may be referred to as a split pulley arrangement.
  • a second pulley arrangement 320 is similarly made up of first and second pulleys 322 and 324 that are received by a lowermost end of inner swing arm shaft 226.
  • an arrangement of elongated apertures is defined by pulley 324 for pulley offset purposes.
  • a clamp 325 holds a flag plate 326 in position on a reduced diameter distal end of the lowermost end of the inner swing arm shaft.
  • the flag plate is configured to block light emitted by an optical sensor 330 ( Figure 11 ) that is mounted to base plate 302 over an angular displacement that is equal to the total angular movement of upper swing arm 128a between the wdrkpiece column and its corresponding process station.
  • a third, idler pulley arrangement 350 includes a pulley 352, configured for receiving belts 366 and 368, which is itself rotationally supported by an idler pulley mount 356 that adjustably engages base plate 302 such that pulley 352 rotates on an idler pulley shaft 358.
  • both gear drive 306 and pulley mount 356 are mounted in a way which provides for a degree of pivotal rotation, generally in the manner described above with respect to lift motor 152 of Figure 5a, for example, using fasteners which pass through slotted holes in a manner that is known in the useful arts.
  • Such pivotal rotation is useful for purposes of adjusting belt tension, as will be described immediately hereinafter.
  • a first pair of lower swing arm timing belts includes a lower arm leading belt 360 and a lower arm lagging belt 362 that engage pulleys 314 and 316, respectively.
  • a second pair of upper swing arm timing belts includes an upper arm leading belt 366 and an upper arm lagging belt 368.
  • Suitable belts for use in this application, including lift belt 156 of Figure 5a, should be formed from materials resistant stretching such as, for example, polyurethane and/or Kevlar reinforced neoprene.
  • FIG. 12 A pair of bolts 369 (Figure 12) is illustrated for holding pulleys 322 and 324 in a fixed rotational offset.
  • Figures 13 and 14 in conjunction with Figure 12 attention is now directed to the arrangement of the belt drive shown in Figure 12, as it appears in diagrammatic perspective views, taken from below, for purposes of generally illustrating the paths taken by the belts.
  • Figure 13 illustrates pulley arrangements 320 and 350 in relation to driven pulley 308, as engaged by belts 366 and 368.
  • teeth have been illustrated on only a portion of the pulleys for purposes of simplicity, although it is to be understood that each pulley includes an essentially identical toothed configuration that is matched by all of the belts in use.
  • Each of pulley arrangements 320 and 312 includes a pattern of elongated slots for receiving threaded fasteners (see bolts 369 of Figure 12) in order to fixedly offset the tooth pattern of each pair of pulleys, for reasons which will be made apparent.
  • belts 366 and 368 are configured having teeth on both opposing major surfaces of the belts. Therefore, the "front side" of each belt engages pulley arrangements 320 and 350 while the “back side” of each belt engages driven pulley 308. Accordingly, in the instance where driven pulley 308 rotates clockwise as indicated by an arrow 380, pulley arrangements 320 and 350 will rotate counterclockwise, as indicated by an arrow 382.
  • Figure 14 illustrates pulley arrangement 312 in relation to driven pulley 308, as engaged by belts 360 and 362.
  • clockwise rotation of driven pulley 308 produces clockwise rotation of pulley arrangement 312.
  • pulley arrangements 312 and 320 coaxially counterrotate with respect to one another, since all of the pulley arrangements are driven by a common driven pulley 308. Therefore, because pulley arrangement 312 is supported by outer swing arm shaft 210, while pulley arrangement 320 is supported by inner swing arm shaft 226, the inner and outer swing arm shafts, likewise, counterrotate with respect to one another responsive to any rotation of driven pulley 308.
  • outer swing arm shaft 210 supports one of lower swing arms 130, while inner swing arm shaft 226 supports one of upper swing arms 128.
  • the upper and lower swing arms of each swing arm pair 124 therefore, counterrotate with respect to one another by an equiangular amount for any given rotation of pulley 308.
  • flag plate 326 ( Figure 11 ) co-rotates with inner swing arm shaft 226.
  • each swing arm rotates approximately +/-60 degrees from a center or home position, thereby exhibiting a total rotation of approximately twice that value.
  • the swing arm arrangement of the present invention advantageously provides for adjustment of the overall angular displacement in view of a particular installation, as will be further described in detail at appropriate point hereinafter.
  • a simplified example will now be provided for purposes of explaining the backlash compensation concept of the present invention using a diagrammatic perspective view of a pulley arrangement that is generally indicated by the reference number 400.
  • the latter is made up of pulley A, pulley B and pulley C.
  • Pulley A is driven by a suitable arrangement such as, for example, a motor (not shown) and functions in a manner that is similar to that described above with respect to pulley 308 of Figure 12, wherein the pulley is sufficiently elongated so as to support a plurality of spaced apart toothed belts. All of these pulleys include an identical tooth receiving pattern.
  • pulleys B and C are mounted on a common shaft, which has not been shown for purposes of illustrative clarity, such that the tooth receiving pattern of pulley B is offset with respect to that of pulley C which may be accomplished for example using a elongated slot aperture configuration, as described above.
  • This offset may be on the order of the backlash value that is present between one of the pulleys and its engaging belt.
  • the backlash value has been exaggerated in the figures for illustrative purposes. Such a value may be specified, for example, by a manufacturer. In the present example, a backlash value of approximately 0.02 inch is seen.
  • the offset between the pulleys may be set to this value or slightly less.
  • one of the belts or pulleys may be described as leading or lagging the other belt, as mentioned . above.
  • the relative leading/lagging phase of the respective belts may be reversed by simply rotationally offsetting the pulleys in an opposite direction with respect to one another.
  • a belt 402 engages pulleys A and B, while a belt 404 engages pulleys A and C.
  • Pulley A is being rotated in a counterclockwise direction as is indicated by an arrow 406.
  • a limited number of teeth 410 have been illustrated on belts 402 and 404.
  • the present figures illustrate the pulley arrangement at a given point in time such that pulley A is in the same rotational position in all of the figures.
  • Pulleys B and C are understood to be coaxially mounted in a way which provides for adjustment of an angular offset therebetween.
  • the angular offset is indicated by an offset angle ⁇ that is shown in Figure 16a.
  • pulley C leads pulley B by angle ⁇ .
  • the backlash value is illustrated by an angle ⁇ in Figure 16a.
  • the offset angle has been shown as approximately double the backlash value to compensate for backlash that is introduced by belts 402 and 404.
  • teeth 410a and 410b of belt 402 are engaged by pulley A ( Figure 16a) thereby causing belt 402 to move in a direction indicated by an arrow 414. Responsive to movement of belt 402, teeth 410c and 41Od engage pulley B to cause it to rotate in counterclockwise direction 406.
  • Pulley C ( Figure 16b) co-rotates with pulley B such that it engages belt teeth 41Oe and 41Of. This action, in turn, causes teeth 41Og and 41Oh of belt 404 to engage pulley A so that a leading edge of each belt tooth rotates pulley A.
  • backlash angle ⁇ trails belt teeth 41Og and 41Oh, as illustrated in Figure 16b with respect to belt tooth 41Og.
  • belt teeth 41Og and 41Oh will immediately be engaged by pulley teeth 414a and 414b, respectively, of pulley A.
  • belt teeth 41Oe and 41Of will immediately engage pulley teeth 414c and 414d of pulley C in a clockwise direction such that backlash is eliminated, at least from a practical standpoint.
  • tension transfers from belt 402 to belt 404.
  • Figure 17 provides a prospective view of slit door arrangement 80, while Figure 17b is a diagrammatic cross-sectional view taken along a line
  • slit door valve arrangement 80 includes a linear actuator 502 such as, for example, a pneumatic linear actuator.
  • This actuator includes a drive shaft 504 that is capable of vertical movement in the view of these figures.
  • Shaft 504 is connected to a linkage arrangement 506 that is comprised of a first link 508 and a second link 510.
  • first link 508 is pivotally attached to a slide bracket 512 while its opposing end is pivotally attached to shaft 504.
  • Link 510 includes one end that is pivotally attached to a blade lever 514 and an opposing end that is pivotally attached to shaft 504.
  • Blade lever 514 is supported at an axle 516 within a pivot shaft 518 such that lever 514 can be rotated about axle 516 within pivot shaft 518 responsive to movement of the lowermost end of the lever produced by linkage arrangement 506, as will be described.
  • Pivot shaft 518 is supported by linear slide 512 which, in turn, slidingly engages a fixed bracket 520.
  • Bracket 520 also supports actuator 502 in a suitable manner such as through the use of an appropriate fastener 522, so that the actuator is positionally fixed for applying movement forces to blade lever 514 via linkage 506. Accordingly, lever 514 can be moved upward and downward responsive to actuator 502. Movement forces are then transferred to pivot axle 516 through the length of the blade lever which, in turn, causes pivot shaft 518 to move in concert with the blade lever.
  • An uppermost end of pivot shaft 518 sealingly receives a ball flange 530. Sealing can be accomplished, for example, using an O-ring received within an annular groove 532.
  • Ball flange 530 can be fixedly attached to pivot shaft 518 in any suitable manner such as, for example, by threaded engagement.
  • a sealing and guiding arrangement 540 includes an annular bushing 542 which serves to constrain nonvertical movements of pivot shaft 518.
  • a sealing arrangement 546 is positioned immediately above bushing 542 for sealing against pivot shaft 518.
  • Any suitable sealing arrangement may be utilized including, for example, the quad seal arrangement described above with regard to Figure 7.
  • upward movement initially causes the blade lever to move upward, without rotation, until a peripheral cover hard stop 548a ( Figure 17b), encounters a pivot shaft stop step 548b, and limits any further vertical rise.
  • links 506 and 508 pivot in a way which rotates the lower end of blade lever 514 clockwise in the view of Figure 17b.
  • a sealing blade 549 responsively advances to contact a confronting chamber sealing surface (see Figure 3).
  • the sealing blade and other components may be formed from any suitable material such as, for example, the particular material that the engaged chamber body is formed and aluminum. Downward motion of pivot shaft 518, of course, results in an opposite behavior of the mechanism.
  • sealing and guiding arrangement 540 (Figure 17b) is received in an uppermost opening that is defined by an upper end 550 ( Figure 17a) of bracket 520.
  • bracket 520 includes a general inverted L shape.
  • Upper end 550 of bracket 520 is attached to an adapter plate 552 in any suitable manner such as, for example, using threaded fasteners (not shown).
  • an uppermost end 560 of lever 514 can move laterally, in the view of the figure, with pivotal motion of the lever in relation to ball flange 530. Therefore, an appropriate sealing arrangement must be provided between uppermost lever end 560 and ball flange 530.
  • a socket cap 562 is received around upper lever end 560 against an annular step 564 defined thereby.
  • Socket cap 562 is sealed against uppermost lever end 560, for example, using an O-ring that is received in an annular groove 566.
  • An outermost annular periphery of socket cap 562 is sealed against ball flange 530 using an O-ring 570 ( Figure 17c) that is received within an annular groove 572.
  • a jam nut 574 or other suitable mechanical expedient, is used to retain socket cap 562 against ball flange 530 while capturing an alignment yoke 576 therebetween.
  • Jam nut 574 can be threadingly received on an enlarged diameter, threaded portion 578 of uppermost end 560 of lever 514.
  • jam nut 574 is tightened until it reaches hardstop. This ensures that the position of socket cap 562 is held in toleranced proximity to ball flange 530. Ideally, the spherical surfaces exhibited by both the socket cap and ball flange share a common center point.
  • the ball and socket sealing configuration provided by this configuration is considered to be advantageous with respect to accommodation of significant lateral movement, while maintaining a seal between ball flange 530 and socket cap 560.
  • slit door arrangement 80 accommodates more pivotal movement which allows for increased movement away from sealing surface which, in turn, reduces the possibility of rubbing contact during the vertical motion phase. Still further advantages are provided with dual degree of motion capability so as to avoid a need for precise installation adjustments.
  • uppermost lever end 560 includes a distal end 580 ( Figure 17c) which supports a blade suspension member 582, in turn, for supporting sealing blade 549.
  • Blade suspension member 582 is itself pivotally supported on distal end 580 using first and second bearings 588a and 588b, respectively. These bearings are configured for providing rotational movement of the suspension stage.
  • First bearing 588a in the present example, is a ball bearing, while second bearing 588b is a needle bearing. It is contemplated that any number of alternative bearing arrangements may be used for supporting blade suspension member 582, so long as appropriate pivotal motion is achieved in conjunction with the capability to transfer sufficient radial force.
  • Suspension member 582 includes a pair of laterally extending suspension arms 592 ( Figures 17a and 17d). Distal ends of arms 592 are pivotally received in pivot blocks 594 that are fixedly attached to a backside surface of sealing blade 549, for example, using threaded fasteners (not shown) that are received in a pair of openings 596 and extend into sealing blade 549 in a familiar manner.
  • a pitch biasing spring 598 is attached at one end using fasteners 600 to blade 549.
  • the pitch biasing spring as best seen in Figure 17d, then wraps around suspension member 582 for attachment to a surface thereof which is opposite sealing blade 549, using another pair of fasteners 600.
  • a cut-out area 602 ( Figure 17d) of the biasing spring provides an access margin for shoulder screw 590. While spring 598 is shown attached to a rearward facing surface of blade member 549 in Figures 17a-c and 17e, it can be designed for attachment to an upper surface of the blade member, as is shown in Figure 17d, depending on the sealing blade geometry and clearance requirements in a particular application.
  • pitch biasing spring 598 maintains a desired rotational position of blade 549 with respect to rotation about an axis 599 (indicated by a dashed line in Figure 17a) of blade suspension member 582 when valve arrangement 80 is in its open position. That is, this desired rotational position is invoked when blade member 549 is not contacting or draws away from a chamber wall sealing surface surrounding a slit opening (see Figure 3).
  • pitch biasing spring 598 allows pivotal rotation about axis 599 of suspension member 582 such that the blade member rotates to accommodate a vertical tolerance between the blade member and the chamber wall, in order to provide an acceptable seal without a need for precision tolerance adjustments.
  • yoke 576 includes opposing arms 608 ( Figure 17d) having vertically extending distal ends 610, each of which defines a through opening for receiving a threaded fastener 612 which threadingly engages arms 592 of suspension member 582.
  • biasing springs 614 are captured by fasteners 612 between each distal end 610 of yoke 576 and each one of the suspension arms to resiliently bias each distal end 610 away from its associated suspension arm 592.
  • Springs 614 thereby serve in an advantageous manner so as to center blade member 549 with respect to rotation about an axis 616 (indicated using a dashed line in Figure 17a) of lever 514 when the blade member is not contacting a chamber sealing surface.
  • valve arrangement 80 advantageously provides for two degrees of freedom for blade member 549, as it engages a chamber sealing surface so as to avoid a need for high precision alignment, since a significant range of tolerance range can be compensated with respect to the vertical and horizontal axes of rotation. For example, assembly variations of approximately 0.100 inch are permissible.
  • the "ball and socket" configuration provided by ball flange 530 and socket cap 562 accommodates substantial lateral movement of blade member 549 toward and away from the chamber sealing surface. In this way, substantial lateral movement, prior to vertical movement of the sealing blade, allows increased rotational tolerances and/or relatively larger sealing blades, provided by significantly greater clearance between the chamber wall and sealing blade during vertical movement so as to avoid rubbing contact which can generate particles.
  • a first series of Figures 18a-e diagrammatically illustrate system 10 in a plan view, sequentially showing transfer of workpieces with ongoing processing.
  • This first series of figures is supplemented by a second series of Figures 19a-l which diagrammatically illustrate sequential movements of the workpieces in an elevational view with ongoing processing.
  • the present description may refer to workpieces as wafers.
  • Most of the subject figures are limited to illustrating the combination of one loadlock 20, interfaced with one transfer chamber 22 which is, in turn, interfaced with one process chamber 24 having dual process stations 26a and 26b.
  • a workpiece or wafer column 700 is positioned in loadlock 20, as defined by shelf arrangement 64 of Figures 2 and 4. As seen in Figure 19a, workpiece column 700 includes a pair of preprocess shelves 702 and a pair of postprocess shelves 704. In this regard, it should be appreciated that preprocess wafers are always moved from the front end to preprocess shelves 702 and postprocess wafers are always moved from postprocess shelves 704 back into the front end. Slit doors are indicated as being closed between the various chambers, as needed, using rectangles in the Figure 18 series and using cross-hatching in the Figure 19 series.
  • slit doors 706 and 708 are open in Figures 18a-d and Figures 19-a-g and 191, while being shown as closed in Figure 18e and Figures 19h-k.
  • Figures 18b, 18d and 18e, as well as Figures 19c, 19d and 19g-l further illustrate the swing arm arrangement in a home or parked position at some point during ongoing operation of the system, as will be further described.
  • FIG. 18a in conjunction with Figure 19a is an elevational view of system 10 having workpiece column 700 shown at the left and process stations 26 at the right in the view of the figure.
  • An upper swing arm pair as previously described with regard to Figure 5a, includes swing arms 128a and 128b, for use in moving preprocess wafers while a lower swing arm pair includes swing arms 130a and 130b, for use in moving postprocess wafers.
  • Upper swing arms 128 are rotated to workpiece column 700 while lower swing arms 130 are rotated to process stations 26.
  • upper swing arms 128 are poised to lift a pair of preprocess wafers 710 from preprocess shelves 702 while swing arms 130 are concurrently poised to lift a pair of postprocess wafers 712 at process stations 26a and 26b. It is noted that elevation 4 in Figures 8 and 9 produces this swing arm height. Postprocess wafers 712 are supported at different, spaced apart heights hi and h2, respectively, above the process stations by first and second sets of lift pins 716 and 718 such that lower swing arms 130 are poised to pick postprocess wafers 712 from the lift pins.
  • paths 720 intersect at workpiece column 700, but cross one another, thereby intersecting again, near the process stations.
  • An angle ⁇ represents the rotation of each swing arm from a home position, corresponding to the position of a dashed line 724, along paths 720 and 722.
  • the full travel of each swing arm between workpiece column 700 and its associated process station 26 is 2 ⁇ .
  • the wafer column, pivot axes of the two swing arm arrangements and the two process stations cooperatively define a pentagonal shape.
  • An uppermost shelf of shelf arrangement 64 is partially visible, comprising one long blade 66 and one short blade 68 (also see Figure 2). These blades are arranged in a way that accommodates a particular angle of entry by the swing arm that services a particular shelf so as to avoid interference therebetween.
  • upper swing arm 128a accesses the uppermost shelf.
  • Short blade 68 is therefore positioned on the left side of the shelf arrangement, in the view of the figure to prevent interference with end effector 142a of upper swing arm 128a. Since upper swing arm 128b swings-in from an opposite direction with respect to upper swing arm 128a, the shelf blades are reversed for its associated shelf, as can best be observed in Figure 2.
  • the shelf blade configuration is customized in view of the approach angle of each accessing swing arm.
  • swing arm pairs 124a and 124b have executed an upward vertical motion, using lift motor 152 of Figure 5a, so as to use upper swing arms 128 to lift preprocess wafers 710 off of preprocess shelves 702, while using lower swing arms 130 to lift postprocess wafers 712 off of lift pins 716 and 718.
  • rotation of cam plates 242a and 242b of Figures 8 and 9, respectively, from elevation 4 to elevation 1 produces this upward vertical movement.
  • swing arms 128a, 128b, 130a and 130b all rotate simultaneously to the home position such that preprocess wafers 710 and postprocess wafers 712 are in a spaced apart vertical relationship (Figure 19c), but only the preprocess wafers are visible in the view of Figure 18b.
  • Cam plates 242a and 242b of Figures 8 and 9, respectively, remain at elevation 1.
  • FIG. 19d in conjunction with Figure 18b, while the swing arms remain in the parked position, a downward vertical movement in a direction indicated by an arrow 730 is executed, responsive to lift motor 152 of Figure 5a. It is noted that lift pins 716 and 718 can remain in their "up” position, as also shown in Figure 19c. It is noted that rotation of cam plates 242a and 242b of Figures 8 and 9, respectively, from elevation 1 to elevation 2 produces this downward vertical movement.
  • Figures 18c and 19e cooperatively illustrate the result of rotation of lower swing arms 130a and 130b to wafer column 700 to deliver post process wafers 712 while upper swing arms 128a and 128b each deliver one preprocess wafer 710 to one of process stations 26a and 26b. Lift pins 716 and 718 can remain in their up positions, while cam plates 242a and 242b of Figures 8 and 9 remain oriented at elevation 2.
  • FIGs 18d and 19g illustrate swing arms 128a, 128b, 130a and 130b, then rotated to the home position. At this point, the swing arms are not carrying wafers and lift pins 716 and 718 remain raised to support preprocess wafers 710.
  • the former figure illustrates a front end robot 750 that is configured for moving wafers between loadlock 20, FOUPs 18 and intermediate station 21 ( Figure 1b) in the front end.
  • intermediate station 21 can be used for a variety of different functions including a cooling station, a wafer alignment station, a pre- and/or post process metrology station or two or more functions can be incorporated into this space.
  • the front end robot arm supports a pair of wafers, using an over/under pair of paddles, and is configured for placing on preprocess shelves 702 and picking from post process shelves 704.
  • front end robot arm can pick and place from any pair of adjacent positions or from any individual position in any FOUP or any position in cooling station 21 ( Figure 1 b).
  • front end robot 750 is poised to deliver a new pair of preprocess wafers 710' (Figure 8e) at atmospheric pressure to preprocess shelves 702.
  • a suitable door configuration is used between front end 12 and loadlock 20, which is not shown since such door configurations are known. It is sufficient to say that this door must be in an open position before the front end robot can enter loadlock 20.
  • Figure 19h illustrates that lift pins 716 and 718 have been lowered to place preprocess wafers 710 on their respective process stations.
  • FIGS. 18e and 19h illustrate slit doors 706 and 708 as closed for the processing mode. It should be appreciated that the relationship between these various events, as well as the actual initiation of processing, may be changed in many suitable ways in timed relation to one another. Processing then proceeds so as to transform the preprocess wafers into postprocess wafers 712 at process stations 26a and 26b.
  • robot 750 While two wafers can be transferred at the same time, the robot readily accommodates the transfer of the 25th wafer in a 25 wafer FOUP singularly by using independent motion of its over/under paddles. Moreover, this robot is inherently flexible in readily accommodating a variety of wafer positions within the FOUPs and cooling station 21 , for example, when not all FOUP's come in fully loaded, since one or two wafers are selectively transferred at a time. That is, robot 750 may readily pick one wafer from one FOUP and another wafer from another FOUP, if necessary, using independent paddle motion, in order to enhance system throughput. The converse is likewise true for placing wafers in the FOUPs.
  • front end robot 750 places a new pair of preprocess wafers 710 onto preprocess shelves 702. At this time, the postprocess and preprocess shelves of wafer column 700 are all filled.
  • front end robot 750 picks postprocess wafers 712 from postprocess shelves 704. It should be appreciated that this movement from dropping off the new preprocess wafers to immediately picking up the postprocess wafers can be executed very quickly, if mandated by a relatively short process time and, therefore, may be referred to as a "fast wafer swap.”
  • Figure 191 illustrates the conclusion of processing, with the slit doors opened and newly processed wafers 712 raised by lift pins 716 and 718.
  • the next step is essentially identical to that of previously described Figure 19a such that the processing cycle may repeat as necessary.
  • system 10 transfers processed workpieces out of the processed chambers simultaneous with transferring new preprocess workpieces to the process chamber.
  • preprocess workpieces simultaneously arrive at the process chamber. Moreover, this transfer is accomplished in a rapid manner.
  • transfer times on the order of less than approximately 8 seconds are contemplated.
  • the use of a workpiece column in the loadlock provides for what may be referred to as a mini loadlock. That is, the loadlock volume is so limited as to provide for rapid pump down from atmospheric pressure to an intermediate pressure or to the treatment pressure itself. For example, a loadlock volume of approximately 20 liters is contemplated. Loadlock pumpdown times of approximately 10 seconds or less are contemplated.
  • pump down of loadlock 20 is accomplished through ports 87, only one of which is visible in the view of Figure 3. Since such a rapid pump down is facilitated, at least in part, due to the small volume of the loadlock, is recommended to use as dry an ambient as possible when the loadlock is in communication with the front end. In this way, flash condensation of water vapor may be avoided. Moreover, purge ports 89, only one of which is visible, may be used to present a constant curtain of gas flow when the loadlock is in communication with the front end, to prevent mixing of ambient front end gasses with those gasses that are present in the loadlock.
  • a pump and purge routine may be used to avoid such gas mixing at any time the door is open between the loadlock and front end, whereby gasses entering through purge ports 89 flow through the loadlock and are immediately evacuated through pump ports 87.
  • This is attended by the further advantage, briefly described above, that contaminants will flow into trough 87 and are evacuated, as a result of pumping from this low lying region of the loadlock.
  • system 10 is diagrammatically illustrated without front end 12, in a plan view for purposes of describing a feature which is advantageous with respect to process station spacing. That is, the distance between the center of one process station to the center of the other process station.
  • swing arm pair 124b has been illustrated, although it is to be understood that the present discussion is equally applicable with respect to the other swing arm pair.
  • Figure 20 diagrammatically illustrates swing arm arrangement 120 with respect to counterrotation, however, its full symmetric movement capabilities are seen, for example, in Figures 18a-e.
  • process stations 26a and 26b are shown spaced apart by a distance S1. It may be desired, however, to change this spacing, for example, by increasing the spacing such that the spaced apart distance between processing stations 26a 1 and 26b 1 is increased to a distance S2. This change is readily accommodated by system 10, as will be described immediately hereinafter.
  • upper swing arm 128a is clamped to the inner swing arm drive shaft while lower swing arm 130a is pinned or fixedly attached to the outer swing arm drive shaft.
  • lower swing arm 130a is initially fully rotated in the direction of the process stations, using motor 310.
  • Housing 176 shown in Figure 5a, can then be rotated in a way which allows for positioning lowermost swing arm 130a at an associated one of processing stations such as 26a 1 .
  • Housing 176 is then fixed in position. Having accomplished this positioning and with upper swing arm 128a undamped from the inner swing arm drive shaft, upper swing arm 128a is freely rotated to its desired position at wafer column 700.
  • Vacuum based transfer couples both the loadlock and process module wafer exchanges into common motions; eliminating the need for additional delays due to sequencing, and minimizing wafer exchange times.
  • a "mini-batch" processing technology can be employed (side-by-side wafer processing), while reducing the physical size and costs associated with wafer handling technology.
  • the transfer chamber is also of a relatively small size.
  • the platform's main goal for high throughput capability is to mask all time associated with wafer replenishment entirely within the time required to process the other wafers.
  • the result is thought to be a truly continuous processing capable system.
  • the arrangement of opposing dual swing arms provide a trajectory which allows single wafer type load/unload lock architecture to efficiently accommodate side by side wafer processing geometry with a significantly smaller footprint than that embodied by prior designs.
  • FIG. 21 diagrammatically illustrates a processing arrangement that is generally indicated by the reference number 800.
  • Processing arrangement 800 includes first and second processing chambers 802 and 804, respectively.
  • This system further includes swing arm arrangement 120 with dual swing arm assemblies 124a and 124b.
  • a loadlock 810 is provided which houses wafer column 700.
  • Processing chambers 802 and 804, along with loadlock 810 are housed within an overall chamber 812. It is noted that any number of valve arrangements may be utilized for interfacing the various chambers utilized by processing arrangement 800 including, for example, one described in Figures 3 and 4 of U.S. Patent No.
  • swing arm arrangements 124a and 124b can move synchronously, as described above, while processing chambers 802 and 804 are both in use.
  • one swing arm arrangement can be disabled with respect to its rotational motion, for example, by turning off its rotational drive motor such that the swing arm assembly remains in its home position, while the other swing arm assembly remains fully operational.
  • the disengaged swing arm assembly will continue to move vertically, as it normally would, with the operating swing arm assembly such that there is no interference between the two swing arm assemblies.
  • the particular processing chamber that is associated with the disengaged swing arm assembly may be configured so that its utilities can be isolated from the rest of the system (i.e., turned off) such that the particular processing chamber can be serviced while the other processing chamber remains completely operational. This feature is considered to be highly advantageous, in and by itself.
  • FIG. 10 another embodiment of a system, produced in accordance with the present invention, is generally indicated by the reference number 1000.
  • System 1000 shares the advantages of system 10 while providing still further advantages.
  • This system uses wafer handling section 15 and processing section 16 in conjunction with a front end 1002.
  • the latter includes an elongated transport chamber 1004 which houses a transport mechanism 1006 in the form of a linear drive for moving workpieces as indicated by arrow 1007.
  • a transport mechanism 1006 in the form of a linear drive for moving workpieces as indicated by arrow 1007.
  • One suitable form of linear drive comprises a magnetic levitation linear drive, although any suitable type may be employed.
  • a loadlock 1010 is stationed at one end of transport chamber 1004 for communication with the interior of the transport chamber through a door 1111. In this regard, it should be appreciated that transport chamber 1004 can operate at process pressure.
  • Loadlock 1010 is, in turn, configured for communication with an atmospheric mini environment 1012 through a door 1114.
  • Mini environment 1012 is not shown in detail, since its general details of construction will be evident to those having ordinary skill in the art in view of the foregoing discussions, but which may include, for example, a front end robot and ports for any suitable number of FOUPs.
  • Door 1111 and door 1114 may be of any suitable type including, but not limited to slot valves of the type previously described with respect to the Figure 17 series, depending on the configuration provided for the transport of workpieces therethrough, as will be further described.
  • transport mechanism 1006 is configured for moving a workpiece carrier 1118 therealong which supports one or more workpiece columns.
  • Carrier 1118 is shown stationed for access by swing arm arrangement 120b, designated as a workpiece column 700a supported by transport 1006 and, in phantom, designated as a workpiece column 700b.
  • Each of these workpiece columns resembles previously described workpiece column 700 with the difference that each workpiece column is portable, as will be further described.
  • carrier 1118 supports previously described shelf arrangement 64 for access by swing arm arrangements 120a and 120b.
  • door 1111 may comprise any suitable door arrangement.
  • a front end robot (which may be identical to front end robot 750 of Figure 18e), forming part of front end 1012, may access the portable workpiece carrier at 700b' through door 1114 in a manner that is essentially identical to that described with respect to system 10 by moving the workpiece carrier to location 700b'.
  • the front end robot may have independent over/under paddles that can be used for a four position workpiece column.
  • This location may also include a rotatable shelf arrangement for confronting either door 1114 for front end access or door 1111 for linear transport mechanism 1006 access.
  • door 1114 may be configured for moving an entire workpiece column or workpiece carrier therethrough with the use of appropriate front end robotics.
  • a fresh, preprocess workpiece column can enter through loadlock 1010 while another loadlock (not shown but at an opposing end of transport 1006) can be used by the front end to retrieve a postprocess workpiece column.
  • Workpiece columns 700a and 700b are shown selectively aligned with transfer chambers 22b and 22a, respectively. It should be appreciated that more than one portable workpiece carrier can be used at a time so that, with workpiece columns 700a and 700b positioned as shown, transfer of workpieces to and from these columns can proceed as described above with respect to system 10.
  • a workpiece column 700a 1 comprises a location to which the portable workpiece carrier can be moved, serving, for example, as a cooling and/or buffer station.
  • the buffer/cooling station can be configured to rotate 180 degrees, depending on requirements, for access from linear transport 1006 and wafer carrier 1118. It is noted that this may comprise another loadlock location, as mentioned above, and with appropriate valves, so as to appear essentially the same as loadlock 1010, in order to increase system throughput, if the reduced system overhead time coupled with process time requirements warrants such a feature.
  • system 1000 provides the advantages that are attributable to the use of a stationary workpiece column in the loadlock, while still further advantages are provided through making this workpiece column portable.
  • process chamber 24a is used to practice a different process than process chamber 24b
  • configuration of system 1000 provides the further advantage of allowing for sequential processing, without the need to break vacuum.
  • System 1200 includes a modified front end 1012' having a loadlock access door 1114 centered on one side thereof.
  • a modified transport chamber 1004 1 includes a modified loadlock 1010' having doors 1114 and 1111 arranged on opposing sides thereof so as to confront front end 1012' and transport chamber 1004', respectively.
  • Workpiece column 700a is illustrated in loadlock 1010' such'that it can be accessed from the front end using the front end robot, or it can be moved into transport chamber 1004'.
  • Workpiece column 700b and carrier 1118 are illustrated in an aligned position with process platforms 1120 and 1122. In this configuration, either process platform can move workpieces to and from this workpiece column using swing arm arrangements 120a and 120b.
  • a cooling and/or buffer station (see Figure 22, can readily be provided.
  • a suitable arrangement may be provided for elevating a workpiece column, for example, from loadlock 1010' or from a cooling/buffer station such that multiple workpiece columns can be arranged in a stacked relationship.
  • a "second story” can be added to transport chamber 1004' and to loadlock 1010' to provide for a high degree of flexibility with respect to movement of workpiece column carriers in this system.
  • system 1200 is also advantageous with respect to providing the capability for performing sequential processing steps without the need to break vacuum. That is, as is also the case with system 1000 and other systems yet to be described, platform 1120 may be used to execute a first process step. After having been exposed to this first process step, workpieces may then be transported to platform 1122 for exposure to a second process step.
  • Figure 24a illustrates workpiece carrier 1118, which may itself be a robot with rotation and extension capability, supported by linear transport 1006 rotated to confront platform 1122 to receive/handoff workpieces with this platform.
  • Figure 24b illustrates workpiece carrier 1118 rotated to a "neutral" position, in preparation for exchanging workpieces with loadlock 1010'.
  • workpiece carrier 1118 is moving wafer column 700b into loadlock 1010' for access by front end 1012' of Figure 23 with door 1111 in an open position. It is noted that linear movement is facilitated, as indicated by arrows 1123.
  • Figure 24d illustrates workpiece carrier 1118 rotated to confront platform 1120 ( Figure 23) to receive/handoff workpieces with this platform.
  • System 1300 places processing platforms 1120 and 1122 in a side-by-side relationship for access using a transport chamber 1004", in a manner that is similar to that of previously described system 1000. In this case, however, front end 1012' has been rotated 90° and arranged for communication with loadlock 1010' through a door 1114. As illustrated, workpiece columns 700a-700d can be used in the system.
  • Workpiece column 700a is stationed in loadlock 1010', workpiece column 700b is stationed for access by platform 1120, workpiece column 700c is stationed for access by platform 1122 and workpiece column 70Od is positioned outward of workpiece column 700c at what may be a cooling and/or buffer station.
  • Workpiece carrier 1118 is shown supporting workpiece column 700c and, in phantom, supporting workpiece column 700a. Again, sequential processing may be performed without the need to break vacuum.
  • System 1400 represents a combination of previously described systems 1200 and 1300. Specifically, transport chamber 1004", of Figure 25, has been utilized with platforms 1120 and 1122 positioned side-by-side on one side of the transport chamber, while, on the other side of the transport chamber, platforms 1120' and 1122' are stationed side- by-side in a confronting relationship with the platforms on the opposite side of the transport chamber. Accordingly, system 1400 shares all of the advantages of systems 1200 and 1300 so as to provide for robust workpiece processing capabilities.
  • a transport chamber 1502 houses a linear drive 1504 in the form of a mini robot.
  • the latter includes a paddle assembly 1506 which may be configured with over/under paddles, as described above, for purposes of transporting one or two workpieces at a time.
  • a paddle assembly of linear drive 1504 is shown at a lower position, in the present view, such that its paddle blades are positioned within loadlock 1010'.
  • a buffer station 1510 is located at the uppermost end of the linear drive, in the present example.
  • the buffer station may include, for example, from 1-30 workpiece positions.
  • Some of the workpiece buffer positions can be used to store test workpieces for process set up and/or calibration. It is of interest to note that the pivot axes of swing arm arrangements 120a-120d are now located in transport chamber 1502. Further, the transport chamber may be held at process pressure, if so desired. Slit doors 1512 (only one of which is identified) are provided which may utilize any suitable valve arrangement such as, for example, valve arrangement 80, as described above. Accordingly, as is the case with other systems described above, sequential or parallel processing can be accomplished using this system.
  • loadlock 1010' is not required. That is, door 1111 can be eliminated such that the illustrated loadlock volume becomes part of the transport chamber.
  • this lower illustrated position of mini robot 1506 can server as a buffer station or for other appropriate purposes.
  • a small volume loadlock is highly advantageous in the instance of a fast process time, wherein a fast process time would be less than or on the order of a given overhead time required to transport one or more workpieces, including pumping times.
  • slow process times may serve to eliminate the need for a loadlock, whereby configurations such as is illustrated in Figure 27 become useful. That is, a slow process time is of a length which is generally longer than the time period that is required for wafer transport. In this sense, there is no overhead time, if the latter is viewed as time devoted to wafer transport while a processing station is inactive.
  • system 1600 includes an overall configuration which resembles system 1200 of Figure 23, described above. Accordingly, the present discussion will be limited to certain differences between these two systems.
  • the side-by-side common processing environment of Figure 23 has been replaced by a pair of separate processing chambers 1602 and 1604, designated with "a" and "b” appended in the Figure.
  • Each of these chambers is capable of performing a process that is isolated from the other chamber.
  • a first process can be performed in chamber 1602 while a second process is performed in chamber 1604 in a sequential processing environment, although this is not a requirement.
  • each of the process chambers is located within the transfer chamber and is isolatable therefrom, for example, using a vertically movable process chamber slit door 1606, as described in above incorporated U.S. Patent No. 6,429,139.
  • this embodiment shares advantages with the embodiment of Figure 21.
  • one process chamber, and associated, swing arm arrangement can continue to operate while the other process chamber undergoes servicing or maintenance.
  • FIG 30 another embodiment of a swing arm arrangement, manufactured in accordance with the present invention, is generally indicated by the reference number 1800 and shown in a perspective view. It is noted that swing arm arrangement 1800 can be used with previously described chamber arrangements, such as installed with aforedescribed transfer chamber 22, or with alternative chamber embodiments to be described below.
  • swing arm arrangement 1800 shares many components with previously described swing arm arrangement 120. Hence, descriptions of these components will not be repeated for purposes of brevity and like reference numbers have been applied in the various figures. It is noted that the term “wafer” should be interpreted broadly to include not only semiconductor wafers, but any suitable substrate.
  • swing arm arrangement 1800 differs from previously described swing arm arrangement 120 since overall baseplate 122 (see Figure 5a) is not needed.
  • Figure 30 illustrates both swing arm arrangements, including the swing arms, while Figure 31 illustrates one swing arm actuation arrangement without swing arms attached, for purposes of revealing additional details with respect to its structure.
  • a first swing arm pair 1802a and a second swing arm pair 1802b each incorporate a mounting plate 1804 such that each swing arm pair is individually mountable.
  • the upper and lower swing arm that makes up each swing arm pair in swing arm arrangement 1800 is coaxially mounted for rotational movement in planes that are spaced apart from one another by a fixed distance.
  • the upper and lower swing arms of swing arm pair 1802a are designated by the reference numbers 1806-1 and 1806- 2, respectively, while the upper and lower swing arms of swing arm pair 1802b are designated by the reference numbers 1808-1 and 1808-2, respectively.
  • Each swing arm includes a distal end supporting a wafer paddle 1810 such that the wafer paddle defines the widest point along the overall length of the swing arm.
  • Inner swing arm shaft 1812 and outer swing arm shaft 1814 for supporting the upper and lower swing arm, respectively, can include identical mounting features for receiving the swing arms, since rotational alignment considerations are readily accommodated using separate drive motors 310-1 and 310-2.
  • swing arms 1810 include a wafer guide 1816 for assisting in retaining a wafer on the swing arm.
  • the configuration of the wafer guide is a result of the fact that each swing arm, as described above, moves wafers in one direction between the loadlock and processing chamber.
  • swing arm arrangement 1800 also differs from swing arm arrangement 120 with respect to the location of its vertical motion stage, as well as certain details with respect to the configuration of the vertical motion stage.
  • a bracket 1820 is attached to bracket 170b for supporting lift motor 152.
  • the lift motor is attached to bracket 1820 via a gear box 1822.
  • Pulley 158 is attached directly to cam 166b and is driven by lift motor 152 using belt 156.
  • a shaft arrangement 1824 includes a pair of couplers, each of which is indicated by the reference number 1825, and rotationally couples pulley 158 to cam 166a.
  • Rotation of shaft arrangement 1824, for determining vertical height of the swing arms responsive to lift motor 152 is sensed using a sensor arrangement 1826 including, for example, a transmitter/detector pair 1827a, to be described in further detail below, but arranged on opposing sides of a flange 1827b for purposes of detecting a through-hole that is defined by the flange which denotes a vertical home position.
  • a sensor arrangement 1826 including, for example, a transmitter/detector pair 1827a, to be described in further detail below, but arranged on opposing sides of a flange 1827b for purposes of detecting a through-hole that is defined by the flange which denotes a vertical home position.
  • an offset from this fixed vertical home position can readily be designated through appropriate control of lift motor 152.
  • aforedescribed pulley arrangements 312 and 320 are configured for purposes of rotating outer swing arm shaft 1814 and inner swing arm shaft 1812, respectively.
  • a first motor 310-1 uses belts 360-1 and 362-1 while a second motor 310-2 uses belts 360-2 and 362-2 so as to provide a separate drive motor for each pulley arrangement and, thereby, for each swing arm.
  • the motors are supported using gear drives 306-1 and 306-2 which are, in turn, supported by brackets 304-1 and 304-2. It is considered that one having ordinary skill in the art is capable of programming computer 40 of Figure 1a in order to achieve the requisite functionality in view of this overall disclosure. Since swing arm arrangement 1800, unlike previously described swing arm arrangement 120, does not employ counterrotation, a separate position sensor arrangement is needed for the upper and lower swing arm of each swing arm pair, as will be described immediately hereinafter.
  • an upper swing arm position sensor plate 1830 has been fixedly positioned between the offset pulleys which make up second pulley arrangement 320 and a lower swing arm position plate 1832 has been fixedly positioned between the pulleys which make up first pulley arrangement 312.
  • the first and second pulley arrangements are described in detail above with respect to Figure 12.
  • the upper and lower swing arm position plates are identical with respect to one another, except that they are angularly offset, as best seen in Figure 32.
  • Each position plate may include an overall disk-like configuration (not shown) and a slotted aperture arrangement (not shown) which cooperates with the elongated slots defined by the pulleys of each split pulley arrangement, as will be apparent to one of ordinary skill in the art in view of Figures 12 and 32, for purposes of capturing the position sensor plate between the pulleys which make up each split pulley pair.
  • a sensor interrupter flange may be attached to a side margin of either pulley of the split pulley pairs so as to function in an equivalent manner.
  • a lower sensor arrangement bracket 1834 supports a lower pulley position sensor arrangement 1836a having a transmitter 1838 and a detector 1840, that are positionally interchangeable, for purposes of detecting the edges of upper swing arm position plate 1830.
  • one of the transitions indicates the home position of the associated swing arm. If so desired, calibration of this home position may be accomplished by rotation of the swing arm in a desired direction using precision control of the associated motor in a manner that will be familiar to those of ordinary skill in the art in view of this overall disclosure. It is noted that electrical cabling to transmitter 1838 and detector 1840 have not been shown for purposes of illustrative clarity.
  • An upper pulley position sensor arrangement 1836b ( Figure 30) is essentially identical to the lower pulley position sensor arrangement with the exception that an upper sensor arrangement bracket 1842 is used to appropriately position its transmitter/detector pair.
  • the upper and lower sensor arrangements are positioned on opposing sides of the swing arm drive pulleys.
  • transmitter 1838 and detector 1840 are useful as transmitter/detector pair 1827a of Figure 30.
  • Swing arm arrangement 1800 has been found to enable what are considered as remarkable modifications and advantages with respect to the chamber arrangement in which it is used, as will be further described.
  • swing arm arrangement 1800 is illustrated in a plan view as installed in a chamber arrangement 1900 including aforedescribed loadlock 20 and process chamber 24. It is noted that the lids are not shown on the chambers for illustrative purposes.
  • Chamber arrangement 1900 includes a transfer chamber 1920 arranged between loadlock 20 and process chamber 24 such that wafers can be moved therebetween, via the transfer chamber.
  • Slit door 706 is used to selectively seal loadlock 20 from transfer chamber 1920 and slit door 708 is used to selectively seal process chamber 24 from transfer chamber 1920.
  • transfer chamber 1920 is selectively pressure isolatable from the process chamber and/or the loadlock.
  • wafers are moved through transfer chamber 1920 along first and second wafer transfer paths 1930 and 1932, respectively, each of which is shown as a semicircular dashed line and is defined by the path taken by the center of the wafer through the transfer chamber.
  • slit doors 706 and 708 are shown in their closed positions with each of the first and second swing arm pairs illustrated at what may be referred to as a home position, without supporting wafers, for reasons yet to be described.
  • the upper and lower swing arms of each swing arm pair are vertically aligned and the width of wafer paddles 1810 is received entirely within the pressure isolatable volume defined by the transfer chamber.
  • the transfer chamber defines a configuration of lateral extents in which the transfer arrangement is receivable in pressure isolation from the loadlock and the process chamber.
  • portions 1934 of the wafer paddles associated with swing arm arrangement 1802b are shown in phantom using dashed lines as they extend into the slit door opening leading into loadlock 20.
  • these portions of the wafer paddles are adjacent to closed slit door 706. It is to be understood that any home position can be used within the transfer chamber so long as the swing arms do not interfere with the slit door valves that are arranged its opposing sides.
  • the home position can employ a slight rotational offset between the upper and lower swing arm of each swing arm pair which may facilitate individually sensing or detecting the presence or absence of a wafer on each wafer paddle.
  • lift motor 152 shown in Figure
  • such movement is not limited to the home position, but may be performed at any appropriate position or during rotational movement of the swing arms such that the vertical movement occurs over a range of rotation of the swing arms.
  • Consideration should be given to the vertical height or width of the slit doors, since at least the wafer will undergo vertical movement within the confined vertical extents of at least one of the slit doors, as will be further described.
  • the former is a diagrammatic plan view that illustrates swing arm arrangement 1802a engaged in a transfer operation.
  • slit doors 706 and 708 have not been shown for purposes of clarity in the figure, but are necessarily open during a transfer operation.
  • swing arm arrangement 1802b could be used to simultaneously perform a similar operation, the present example serves to illustrate the independent nature of the two swing arm arrangements.
  • Swing arm arrangement 1802a is shown with swing arm 1806-1 positioned at processing station 26b and swing arm 1806-2 positioned at wafer column 700. While wafers have not been illustrated at the wafer column or the process station, it is to be understood that, with respect to picking up and placing wafers, this embodiment operates in essentially the same manner as the embodiment described above.
  • Swing arm arrangement 1802a is also illustrated in phantom at its home position, supporting wafer 1950. Rotation from the home position to/from wafer column 700 requires movement through an angle ⁇ while rotation to/from the home position to process station 26a requires movement through an angle ⁇ . It is noted that these angular values do not change with respect to whether a swing arm is the upper or lower one of each swing arm pair. Unlike the aforedescribed embodiment of Figure 20, these two angular values, as is clearly seen in Figure 34, are different from one other. Specifically, angle ⁇ is less than angle ⁇ .. As mentioned above, accommodation of the use of different angular offset values is achieved through the use of separate and independently controlled swing arm drive motors.
  • angular offset ⁇ from the home position to the wafer column in the loadlock is different from angular offset ⁇ from the home position to the process station
  • a number of alternative approaches may be used with respect to rotational movement of the upper and lower swing arm of a particular swing arm pair to and from the home position using separate drive motors.
  • the swing arms can be rotated at differing angular velocities so as to arrive at their destinations at approximately the same time.
  • the swing arms can be rotated at least approximately at the same angular velocity so that the swing that is traveling through angle ⁇ arrives at its destination prior to the swing arm that is traveling through angle ⁇ .
  • both swing arms will rotate by the same total angle of ⁇ + ⁇ and, hence, both swing arms will arrive at their destinations at approximately the same time when rotated at approximately the same angular velocity. .
  • wafer 1950 which is shown as if supported by swing arm arrangement 1802a in its home position, extends partially into loadlock 20. It should be appreciated, in this regard, that the lateral extents of the loadlock are insufficient to house a wafer. Thus, for purposes of the illustration of this figure, at least the slit door leading into the loadlock must be in its opened position when a wafer is supported by a wafer paddle in its home position. Moreover, if a vertical movement is performed in the home position, wafer 1950 extends into loadlock 20 through the associated slit door such that the vertical extents of this slit door must be sufficient to accommodate the vertical movement.
  • wafers are never present on the transport arrangement when both slit doors are closed. That is, wafers are transferred through the loadlock such that the wafer paddles are always empty when the transfer chamber is in vacuum isolation from the loadlock and process chamber. For any given position of a wafer along wafer transfer paths 1930 and 1932, during transport between the loadlock and process chamber, the wafer would interfere with at least one of the loadlock and the process chamber in a way which would not provide for pressure isolation of the transfer chamber from the loadlock and transfer chamber. For this reason, a highly advantageous sensing arrangement is described below for confirming that the wafer paddles are empty prior to closing the slit doors.
  • the concept of using differing angular offsets to the processing station and wafer station/column from the home position has been recognized by Applicants with respect to providing for a number of advantages with respect to the chamber arrangement that is employed.
  • this concept may be referred to below as an "asymmetric offset configuration."
  • the asymmetric offset configuration allows transfer chamber 1920 to be significantly smaller than aforedescribed transfer chamber 22 (see, for example, Figure 20).
  • the distance which may be referred to as the transfer chamber length
  • the swing arms are also reduced in length. In an actual implementation, the swing arm length has been reduced by approximately 28%.
  • shorter swing arms provide for reducing the width of transfer chamber 1920.
  • the tendency of the swing arms to droop is reduced.
  • vibration of the distal end of each swing arm may be reduced dramatically since such vibration is generally a function of multiple powers of the length of the swing arm.
  • wafer transfer times are reduced based on at least two factors. As a first factor, the distance between processing stations 26 and wafer columns 700 is actually reduced. As a second factor, the use of a shorter radius swing arm reduces rotation related forces to which a wafer is subjected during a transfer. Therefore, relatively higher rates of rotation can be employed.
  • each sensor is comprised of a transmitter mounted to the bottom of the loadlock and transfer chamber proximate to ports that are defined by the respective chambers.
  • the transmitters are designated in Figure 33 as T1-T4.
  • Figure 35 illustrates loadlock 20 and transfer chamber 1920 including lids 1960 and 1962, respectively, installed thereon which support detectors D1-D4 in a confronting relationship with respective ones of transmitters T-T4 such that the signal path between any transmitter/detector pair is disrupted when a wafer passes therethrough.
  • Any suitable type of transmitter/detector pairs may be used and are readily commercially available for this purpose. It is noted that the transmitter/detector pairs may be referred to as S1-S4 below.
  • system 1900 is diagrammatically illustrated, including sensors S1-S4.
  • swing arm arrangements 1802a and 1802b are rotationally positioned such that the upper and lower swing arms of each swing arm pair are, at least approximately, vertically aligned. While this position may be a home position, it is not a requirement. However, it is considered that this position is highly advantageous, in cooperation with the positions of sensor pair S3 and S4, for purposes of confirming that the paddles of all of the swing arms are empty. Such a confirmation is useful prior to closing the slit doors, described above, in order to avoid interference between a door and an unexpected wafer.
  • System 2000 includes previously described swing arm arrangement 1800 installed in a loadlock 2002.
  • no transfer chamber is used so as to provide for a slighter broader range of positions that may be used as a home position as well as eliminating the slit door between the transfer chamber and loadlock (as shown in Figure 33).
  • Embodiments which do not use a transfer chamber are useful in circumstances such as, for example, when long process times are employed wherein the wafer transfer time is a relatively small fraction of the process time.
  • motor 152 can be driven in accordance with motion profiles, in conjunction with the mechanical characteristics of the swing arms, that result in minimal bounce and/or oscillation of the swing arms and paddles. It is considered that one having ordinary skill in the art is capable of developing appropriate motion profiles in view of the recognition brought to light herein.

Abstract

A wafer processing system and method in which a wafer, having a diameter, is movable between a loadlock and a processing chamber. A transfer chamber is arranged for selective pressure communication with the loadlock and the processing chamber. The transfer chamber having a configuration of lateral extents such that the wafer is movable through the transfer chamber between the loadlock and processing chamber along a wafer transfer path and the configuration of lateral extents causes the wafer, having the wafer diameter and moving along the wafer transfer path, to interfere with at least one of the loadlock and the processing chamber for any position along the wafer transfer path. The wafer includes a center and the wafer transfer path can be defined by movement of the center through the transfer chamber. Swing arms are described that can independently move by different angles in opposing directions from a home position.

Description

ADVANCED LOW COST HIGH THROUGHPUT PROCESSING PLATFORM
RELATED APPLICATION
The present application is a Continuation-ln-Part of U.S. Patent Application Serial No. 10/919,582, entitled LOW COST HIGH THROUGHPUT PROCESSING PLATFORM, filed on August 17, 2004 which is incorporated herein by reference in its entirety.
BACKGROUND OF THE INVENTION
Processing systems which expose workpieces such as, semiconductor wafers or other suitable substrates, to an overall treatment regimen for forming a particular device generally employ a plurality of treatment steps. In order to sequentially carry out these steps, each workpiece is typically moved a number of different times, for example, into the system, between various processing stations and out of the system. With the foregoing in mind, it is noted that the prior art contains a number of alternative approaches for use in performing such workpiece transfers and related functions, certain ones of which are interest here, as will be described in further detail immediately hereinafter.
One prior art workpiece transfer approach is demonstrated in U.S. patent no. 6,429,139 (hereinafter the '139 patent). More specifically, the '139 patent, in Figures 5, 6 and 7A-D, illustrates the use of an articulated robot arm for use in workpiece transfer. While the use of a single wafer paddle is illustrated, it should be appreciated that multiple paddles have been provided using such an articulated robot arm. It should also be appreciated that this particular robot is somewhat simplified to the extent that the prior art provides such a configuration in which vertical movement of the workpiece is also accomplished by the robot. While such articulated robotic arm configurations effectively provide essentially unlimited capabilities with respect to moving workpieces, unfortunately, they are relatively complex and, therefore, expensive to manufacture and maintain.
A simple swing arm, as taught by the prior art, generally comprises an arm member which extends from a pivot point to a wafer paddle. Such a swing arm, therefore, provides for rotational motion of a workpiece. While a swing arm configuration represents a dramatic simplification over the use of an articulated robotic arm, at least generally thought to be accompanied by improved reliability and lower cost, it also represents far more limited capabilities with respect to wafer positioning. Specifically, the swing arm, in its basic configuration, is capable only of moving one wafer along a single diameter, planar circular path. One early swing arm approach is seen in US patent number 4,927,484 (hereinafter the '484 patent). Figures 1 and 2 of this patent demonstrate a typical prior art approach in which a plurality of simple swing arms cooperate in order to provide greater workpiece movement flexibility. Again, however, these swing arms appear to be limited to rotation of a workpiece in a single plane.
As an alternative approach to the articulated robotic arm and an improvement over the simple swing arm, the '139 patent also teaches the use of a double-ended swing arm arrangement. Swing arm capability is enhanced through providing an elongated swing arm member having a wafer paddle positioned at each of its opposing ends, with a pivot point centered therebetween, as can be seen in Figure 8A of the '139 patent. Further, the '139 patent, as seen in Figures 9A-D, describes wafer paddles that are rotatable at the ends of the swing arm member so as to at least somewhat improve the positioning capabilities and flexibility of the swing arm over earlier prior art configurations. Unfortunately, however, swing arm positioning capabilities remain limited, despite these improvements, particularly with respect to the capability to move the wafer only in one plane of rotation. A more recent approach with respect to the use of a swing arm is seen in
US patent number 6,610,150 issued to Savage et al (hereinafter Savage). Savage illustrates, in Figure 8 of the patent, a swing arm having an end effector that is configured for supporting a pair of workpieces. Like the remaining prior art, only simple rotational motion is described wherein typical prior art expedients such as lift pins are used to remove a workpiece from the end effector.
Another area of concern with respect to prior art workpiece processing systems resides in the door arrangements that are used to seal various portions of the system from one another. Many systems utilize, for example, a loadlock chamber (i.e., a chamber that facilitates both workpiece load and unload functions), a transfer chamber and one or more process chambers. Workpieces are typically transferred between the loadlock chamber and the process chamber through the transfer chamber. It is necessary, in such a configuration, to selectively seal the loadlock chamber from the transfer chamber. For purposes of workpiece transfer, a slot or slit is generally defined between the two chambers. Sealing is often performed using a slit door arrangement in which a platelike door member is used to seal the elongated slit. Concerns with respect to prior art slit door arrangements include contamination production, the need for precision alignment and sealing mechanisms.
One prior art slit door configuration is described in US patent number 6,095,741 (hereinafter the 741 patent) having a blade member which is hinged to its actuation arm for pivotal movement about a horizontal axis. This arrangement is considered to be unacceptable, particularly with respect to precision alignment of the elongated, horizontal dimension of the sealing blade and the potential production of contaminants in the absence of such precision alignment, as will be appreciated in view of the descriptions which follow.
With respect to sealing mechanisms, the 741 patent uses a bellows as part of its slit door arrangement, illustrated as item number 704 in Figure 6A of the patent. While such a bellows mechanism may be effective for purposes of the 741 patent, it is considered as problematic for reasons which include cost and reliability concerns. As will be further described, the prior art has adopted other approaches as alternatives to the bellows mechanism.
One such alternative to the bellows mechanism is illustrated in Figure 29, which is a partially cutaway view of a prior art slit door configuration that is generally indicated by the reference number 1700. This prior art configuration includes a pivot shaft 1702 that is connected at an upper end to a sealing blade (not shown) for pivotal motion, as indicated by a double headed arrow 1704 about a pivot axis 1706. Pivot shaft 1702 is received in a housing 1710. Sealing between housing 1710 and pivot shaft 1702 is accomplished using a seal flange 1712 that is received on housing 1710 and sealed thereagainst using an O-ring 1714. A seal hat 1716 is supported on pivot shaft 1702 and sealed thereagainst using an O-ring 1718. Seal hat 1716 supports an O-ring 1720 for sealing against a sealing surface 1722 that is defined by seal flange 1712 such that side-to-side motion of O-ring 1720 against sealing surface 1722 is accommodated.
Unfortunately, however, pivotal motion of pivot shaft 1702 also imparts tilting of seal hat 1716 thereby compressing one portion of the O-ring 1720 while releasing an opposing portion of the O-ring. This behavior is disadvantageously considered to limit the range of pivotal motion of pivot shaft 1702.
The present invention resolves the foregoing limitations and concerns while providing still further advantages. SUMMARY OF THE INVENTION
A system for processing workpieces, as well as an associated apparatus and method are described. A plurality of workpieces are movable to and from a process chamber arrangement in the system. The process chamber arrangement uses at least two side-by-side, first and second process stations each of which is configured for executing a treatment process on one of the workpieces located at each of the first and second process stations such that two workpieces can simultaneously be exposed to the treatment process. In one aspect of the present invention, a workpiece support arrangement, separate from the process chamber arrangement, is used for supporting at least two of the workpieces at least generally in a stacked relationship to form a workpiece column. A workpiece transfer arrangement, also separate from the process chamber arrangement, is used for transporting at least two of the workpieces between the workpiece column and the process chamber arrangement by simultaneously moving the two workpieces at least generally along first and second transfer paths, respectively, that are defined between the workpiece column and the first and second process stations.
In another aspect of the present invention, workpieces are movable to and from a process chamber arrangement, the process chamber arrangement using at least two side-by-side process stations, each of which is configured for treating individual ones of the workpieces located at each of the process stations such that at least two workpieces can simultaneously be treated. A workpiece support arrangement, separate from the process chamber arrangement, supports at least two of the workpieces at least generally in a stacked relationship to form a workpiece column. A workpiece transfer arrangement, separate from the process chamber arrangement, is configured at least for simultaneously moving two pre- treatment ones of the workpieces from the workpiece column to each of the side- by-side process stations. In still another aspect of the present invention, workpieces are movable to and from a process chamber arrangement that is configured for executing a treatment process on at least one of the workpieces. A workpiece support arrangement, separate from the process chamber arrangement, supports at least one of the workpieces for movement in relation to the process chamber arrangement. A swing arm arrangement, separate from the process chamber arrangement, includes at least a first swing arm for providing pivotal rotation of at least one workpiece about an axis of rotation, as part of transporting the workpiece between the workpiece support arrangement and the process chamber arrangement, and for moving in a direction that is at least generally along the axis of rotation, as another part of transporting the workpiece, to change an elevation of the swing arm such that the workpiece being transported can be moved between different spaced-apart elevational planes in addition to the pivotal rotation.
In yet another aspect of the present invention, workpieces are movable to and from a process chamber arrangement that is configured for executing a treatment process on at least one of the workpieces. A swing arm arrangement includes at least a first swing arm for providing pivotal rotation of at least one workpiece about an axis of rotation, as part of transporting the workpiece at least in relation to the process chamber arrangement, and for moving in a direction that is at least generally along the axis of rotation, as another part of transporting the workpiece, to change an elevation of the swing arm such that the workpiece being transported can be moved between different spaced-apart elevational planes in addition to the pivotal rotation.
In a continuing aspect of the present invention, workpieces are movable to and from a process chamber arrangement in a system, the process chamber arrangement using at least one process station that is configured for executing a treatment process on at least one of the workpieces. A workpiece support arrangement is arranged in one spaced apart relationship from the process chamber arrangement for supporting at least one of the workpieces. A swing arm arrangement is positioned in another spaced apart relationship from the process chamber arrangement including at least a first swing arm and a second swing arm configured for coaxial rotation about a common axis of rotation for use in transporting the workpieces between the workpiece support arrangement and the process chamber arrangement.
In a further aspect of the present invention, workpieces are movable to and from a process chamber arrangement in a system The process chamber arrangement uses at least one process station that is configured for executing a treatment process on at least one of the workpieces. A swing arm arrangement, forming part of the system, includes at least a first swing arm and a second swing arm configured for coaxial rotation about a common axis of rotation for use in transporting the workpieces in relation to the process chamber arrangement. In another aspect of the present invention for processing workpieces using a treatment process, a system configuration includes a pair of side-by-side first and second process stations, each process station configured for applying the treatment process to one of the workpieces. A workpiece support arrangement is configured for supporting one or more of the workpieces. The workpiece support arrangement being positioned at a first distance at least approximately equally from each of the process stations. First and second swing arm arrangements are arranged to pivot about a first axis and a second axis, respectively, such that each one of the first axis and the second axis is positioned at least approximately at a second distance from the workpiece support arrangement while the first axis is at least approximately spaced away from the first process station by the second distance and the second axis is at least approximately spaced away from the second process station by the second distance, such that the first process station, the second process station, the first axis, the second axis and the wafer column cooperate to define a pentagonal shape. In still another aspect of the present invention, a workpiece processing system, for processing workpieces using a treatment process, includes a configuration having a pair of side-by-side first and second process stations defining a line extending through a first center of the first process station and a second center of the second process station, each process station is configured for applying the treatment process to at least one of the workpieces. A workpiece support arrangement is configured for supporting at least one of the workpieces laterally offset from the line. First and second swing arm arrangements, each of which pivots about a first axis and a second axis, respectively, are arranged at a first swing arm location and a second swing arm location, and each of the first swing arm location and the second swing arm location is offset from the line on a common side thereof toward, but not beyond the workpiece support arrangement such that the first process station, the second process station, the first axis, the second axis and the wafer column cooperate to define a pentagonal shape.
In a continuing aspect of the present invention, in using a first, driven shaft to rotationally drive a second shaft, a configuration includes first and second toothed flexible closed-loop members. A first pulley arrangement is mounted on the first shaft and a second pulley arrangement is mounted on the second shaft for receiving the first and second toothed flexible members in a side-by-side relationship such that at least a particular one of the pulley arrangements includes a first pulley engaging the first toothed flexible member and a second pulley engaging the second toothed flexible member, each of the first and second pulleys having a tooth receiving configuration which cooperates with the first and second toothed flexible members to provide a given backlash clearance when engaged with the first and second toothed belt members, respectively. The first pulley and the second pulley are mounted with a rotational offset therebetween such that the tooth receiving configuration of the first pulley is rotationally offset with respect to the tooth receiving configuration of the second pulley, based on the given backlash clearance, in a way which limits an operational backlash of the particular pulley arrangement with respect to movement of the first and the second toothed flexible members to a value that is less than the given backlash clearance.
In still another aspect of the present invention, a valve apparatus and method are described for use in a workpiece processing system for processing workpieces. The system includes at least two adjacent chambers with a slot defined therebetween, through which slot the workpieces are transportable and a chamber sealing surface, that is at least generally planar, surrounding the slot and supporting a sealing arrangement surrounding the slot. The valve apparatus being configured for selectively opening and closing the slot using a sealing blade member including a blade surface that is configured for sealingly engaging the sealing arrangement. An actuator arrangement moves the sealing blade member between an open position, away from the slot, to provide for passage of the workpieces therethrough, and a closed position in which the sealing blade member is brought into sealing contact at least with the sealing arrangement and for supporting the sealing blade member in a way which provides for movement of the blade surface, at least responsive to engagement with the sealing arrangement, that is characterized by two degrees of freedom for aligning the blade surface with the sealing arrangement and, thereby, the sealing surface.
In a still further aspect of the present invention, a configuration is described for use in a workpiece processing system for processing workpieces. The system having at least two adjacent chambers that are subject to contamination from internally and externally produced contaminants. The configuration includes a chamber body arrangement which serves to define the adjacent chambers and a slot between the adjacent chambers, through which slot the workpieces are transportable and a chamber sealing surface, that is at least generally planar, surrounding the slot. The chamber body arrangement further defining a chamber trough adjacent the slot and therebelow to form a portion of a particular one of the adjacent chambers such that the chamber trough establishes a lowermost region of the chamber body arrangement serving as a collection region for the contaminants, at least in being under an influence of the Earth's gravity, and the chamber body arrangement further defines a pumping port at least for use in evacuation of the particular chamber. A valve arrangement is supported in the particular chamber for selective movement between a closed position, in which a sealing blade thereof seals against the slot to isolate the adjacent chambers from one another, and an open position, in which the sealing blade retracts into the trough. A pumping arrangement is connected to the pumping port at least for use in evacuation of the particular chamber by pumping from the trough in a way which serves to remove at least a portion of the contaminants collected in the trough.
In an additional aspect of the present invention, a wafer processing system and associated method are described in which at least one wafer is movable between a loadlock and a processing chamber. The wafer includes a wafer diameter. A transfer chamber is arranged for selective pressure communication with the loadlock and the processing chamber. The transfer chamber having a configuration of lateral extents such that the wafer is movable through the transfer chamber between the loadlock and processing chamber along a wafer transfer path and the configuration of lateral extents causes the wafer, having the wafer diameter and moving along the wafer transfer path, to interfere with at least one of the Ioadlock and the processing chamber for any given position along the wafer transfer path. In one feature, the wafer includes a wafer center and the wafer transfer path is defined by movement of the wafer center through the transfer chamber.
In another aspect of the present invention, a system and method are described for processing wafers including at least one Ioadlock. A transfer chamber is arranged in selective communication with the Ioadlock. A processing chamber includes at least one processing station such that the processing chamber is in selective communication with the transfer chamber and the wafers can be transferred between the Ioadlock and the processing chamber through the transfer chamber. A swing arm arrangement is configured to include at least one swing arm that is pivotally supported in the transfer chamber and having a distal end that is configured for moving the wafers between the Ioadlock and the processing chamber. The swing arm being positionable in a home position within the transfer chamber, when the Ioadlock and the transfer chamber are in isolation from one another, and the swing arm is configured for swinging the distal end a first angular displacement in one direction from the home position to the Ioadlock and for swinging the distal end a second angular displacement in an opposite direction from the home position to the processing station such the first angular displacement is different from the second angular displacement. In one feature, the first angular displacement is less than the second angular displacement.
In still another aspect of the present invention, a system and associated method are described for processing wafers at least including a Ioadlock having a wafer station and a processing chamber having a processing station. A transfer arrangement is configured to include a swing arm arrangement having at least a first swing arm and a second swing arm configured for coaxial rotation about a common axis of rotation for use in transporting the wafers between the wafer station in the Ioadlock and the processing station in the processing chamber. The first and second swing arms being configured so that one of the swing arms can rotate toward the processing station while the other one of the swing arms independently rotates toward the wafer station. In one feature, each of the first and second swing arms moves through a home position in rotating between the wafer station and the processing station, and the wafer station is reached by rotating through a first angular offset from the home position with the processing station being reached by rotating through a second angular offset from the home position such that the first angular offset is different from the second angular offset. In a related feature, the first angular offset is less than the second angular offset. In another feature, the swing arm arrangement is configured to include a drive arrangement at least for selectively rotating the first swing arm and the second swing arm at different angular velocities. In still another feature, the swing arm arrangement is configured to include a drive arrangement at least for selectively rotating the first swing arm and the second swing arm in opposite directions by different angular amounts. In another related feature, the first swing arm and the second swing arm each rotate at least approximately at the same given angular velocity in the opposite directions such that one of the swing arms rotates for a first length of time from the home position to reach the wafer station and the other one of the swing arms rotates for a second length of time, that is different from the first length of time, from the home position to reach the processing station.
In yet another aspect of the present invention, a system and method are described for processing wafers at least including a loadlock having a wafer station and a processing chamber having a processing station. A transfer arrangement is configured to include a swing arm configured for rotation about an axis of rotation for use in transporting the workpieces between the wafer station and the processing station. The swing arm being configured to rotate in one direction by a first angular value from a home position to the processing station and to rotate in an opposite direction by a second angular value from the home position to reach the wafer station, and the first angular value is different from the second angular value. In one feature, the loadlock and the processing chamber form portions of an overall chamber arrangement which cooperates with the transfer arrangement in a way which serves, at least in part, to define the home position of the swing arm. In another feature, the loadlock and the processing chamber are pressure isolatable from one another substantially only when the swing arm is in the home position. In still another feature, the overall chamber arrangement includes a transfer chamber that is in selective communication with each of the loadlock and the processing chamber and the transfer arrangement is supported in the transfer chamber such that the home position is defined within the transfer chamber. In yet another feature, the loadlock is in direct communication with the processing chamber and the transfer arrangement is supported in the loadlock such that the home position is defined within the loadlock. BRIEF DESCRIPTION OF THE DRAWINGS
The present invention may be understood by reference to the following detailed description taken in conjunction with the drawings briefly described below.
FIGURE 1a is a diagrammatic view, in perspective, of a workpiece processing system that is produced in accordance with the present invention. FIGURE 1 b is a diagrammatic plan view of the system of Figure 1 a, shown here to illustrate further details of its structure.
FIGURE 2 is a diagrammatic perspective view of a loadlock used in the system of Figure 1a, shown here to illustrate details of its structure.
FIGURE 3 is another diagrammatic perspective view of the loadlock of Figure 2 further illustrating the appearance of a slot door arrangement, as well as further details of the structure of the loadlock.
FIGURE 4 is a diagrammatic view, in perspective, showing a transfer chamber that is used in the system of Figure 1a connected to the loadlock also used in the system and shown in further detail in Figures 2 and 3. FIGURE 5a is a diagrammatic perspective, isolated view illustrating details of a dual swing arm arrangement that is used in the transfer chamber of Figure 4.
FIGURE 5b is a diagrammatic partially cut-away view, in cross-section, illustrating details of an end effector height adjustment arrangement, shown here to illustrate features that are not visible in the view of Figure 5a. FIGURE 6 is a diagrammatic enlarged cut-away view, in cross-section, of the swing arm arrangement of Figure 5a, shown here to illustrate further details of its structure.
FIGURE 7 is a diagrammatic enlarged cut-away view, in cross-section, of the swing arm arrangement of Figure 6 that is further enlarged to illustrate details with respect to inner and outer swing arm shafts as well as a housing therefor.
FIGURES 8 and 9 are diagrammatic plan views of cams that are used in the swing arm assembly of Figure 5a-7, for use in establishing the height of each swing arm. FIGURE 10a is a diagrammatic view, in perspective, of a bridge bracket that supports a cam follower for engagement with the cams of Figures 8 and 9.
FIGURE 10b is a diagrammatic partially cross-sectional view of the cam follower and a portion of the bridge bracket of Figure 10a, shown here to illustrate further details of the structure of these components.
FIGURE 11 is a diagrammatic view, in perspective, illustrating further details with respect to one swing arm arrangement of the dual swing arm arrangement of Figure 5a.
FIGURE 12 is another diagrammatic enlarged cut-away view, in cross- section, of the swing arm arrangement of Figure 6 that is further enlarged to illustrate details with respect to the swing arm drive assembly.
FIGURE 13 is a diagrammatic perspective view illustrating a counter rotation drive belt and pulley arrangement that is used to counter rotate one swing arm of a coaxial pair of swing arms. FIGURE 14 is a diagrammatic perspective view illustrating a drive belt and pulley arrangement that is used to rotate the other swing arm of the coaxial pair of swing arms.
FIGURE 15 is a simplified illustration, in perspective, of a drive belt and pulley arrangement that is used for purposes of minimizing drive belt backlash. FIGURES 16a and 16b are diagrammatic plan views of the drive belt and pulley arrangement of Figure 15, shown here to illustrate further details with respect to its arrangement.
FIGURE 17a is a diagrammatic view, in perspective, illustrating a slot valve arrangement that is produced in accordance with the present invention. FIGURE 17b is a diagrammatic view, in cross-sectional elevation, showing the slot valve arrangement of Figure 17a to illustrate further details of its structure.
FIGURE 17c is a diagrammatic partially cut-away view, in cross-sectional elevation, showing an enlarged region of the view of Figure 17b, illustrating still further details of its structure. FIGURE 17d is a diagrammatic view, in perspective, of the slot valve arrangement of Figure 17a illustrating further details with respect to a blade suspension mechanism. FIGURE 17e is a diagrammatic view, in cross-section, illustrating details with respect to one feature of the blade suspension mechanism.
FIGURES 18a-18e form a series of diagrammatic plan views illustrating one process for implementing workpiece transfer and treatment in a highly advantageous way.
FIGURES 19a-19l form a series of diagrammatic elevational views which cooperate with the plan views of Figures 18a-18e to illustrate further details of the process.
FIGURE 20 is a diagrammatic plan view illustrating a process chamber, transfer chamber and loadlock for purposes of describing one way in which variation of process station to process station spacing can be accommodated.
FIGURE 21 is a diagrammatic plan view of one embodiment of a system using a swing arm arrangement of the present invention in conjunction with process stations that are housed in individual process chambers. FIGURE 22 is a diagrammatic plan view of another embodiment of a system, produced in accordance with the present invention, using a linear workpiece drive and a portable workpiece column.
FIGURE 23 is a diagrammatic plan view of an alternative embodiment of a system, produced in accordance with the present invention, using a linear workpiece drive.
FIGURES 24a-d are diagrammatic plan views of the linear drive and loadlock of the system of Figure 23, shown here to illustrate workpiece movement using a rotatable workpiece carrier.
FIGURES 25-27 are plan views of additional alternative embodiments of systems that are produced in accordance with the present invention.
FIGURE 28 is a diagrammatic plan view of another embodiment of a system using a swing arm arrangement of the present invention in conjunction with process stations that are housed in individual process chambers.
FIGURE 29 is a diagrammatic partially cutaway cross-sectional view, in elevation, of one embodiment of a prior art slit door arrangement, shown here to illustrate details of its sealing configuration. FIGURE 30 is a diagrammatic view, in perspective, of another embodiment of a swing arm arrangement that is produced in accordance with the present invention.
FIGURE 31 is a diagrammatic view, in perspective, of one of the swing arm actuation mechanisms of Figure 30, shown here to illustrate further details of its structure.
FIGURE 32 is an enlarged perspective view of a portion of the swing arm mechanism of FIGURE 31 , shown here to more clearly illustrate the details of its dual motor drive arrangement. FIGURE 33 is a diagrammatic plan view of a system, produced in accordance with the present invention, and using the swing arm arrangement of Figures 30-32, shown here to illustrate details of the structure of the system and its associated advantages.
FIGURE 34 is another diagrammatic plan view of the system of Figure 33, showing the swing arm arrangement in a rotated orientation and associated details.
FIGURE 35 is a diagrammatic plan view of the loadlock and transfer chamber that is used in the system of Figures 33 and 34, shown here to illustrate an arrangement of detector that is supported by the transfer chamber and loadlock lids.
FIGURES 36a and 36b are diagrammatic plan views of the system of Figures 33-35, shown here to illustrate the operation and further details with respect to the wafer sensing arrangement.
FIGURE 37 is a diagrammatic plan view of another system, produced in accordance with the present invention, and using the swing arm arrangement of Figures 30-32, shown here to illustrate details of the structure of the system and its associated advantages wherein a transfer chamber is not included.
DETAILED DESCRIPTION
The following description is presented to enable one of ordinary skill in the art to make and use the invention and is provided in the context of a patent application and its requirements. Various modifications to the described embodiments will be readily apparent to those skilled in the art and the generic principles herein may be applied to other embodiments. Thus, the present invention is not intended to be limited to the embodiment shown but is to be accorded the widest scope consistent with the principles and features described herein including alternatives, modifications and equivalents, as defined within the scope of the appended claims. It is noted that the drawings are not to scale and are diagrammatic in nature in a way that is thought to best illustrate features of interest. Further, like reference numbers are applied to like components, whenever practical, throughout the present disclosure. Descriptive terminology such as, for example, uppermost/lowermost, right/left, front/rear and the like has been adopted for purposes of enhancing the reader's understanding, with respect to the various views provided in the figures, and is in no way intended as been limiting.
Referring to Figures 1a and 1b, the former is a diagrammatic view, in elevation, of a processing system, generally indicated by the reference number 10, according to one embodiment of the present invention. Figure 1 b is a diagrammatic plan view of system 10. The processing system is generally made up of a front end 12, a loadlock section 14, a wafer handling section 15 and a processing section 16. This system can be employed to perform a wide variety of processes on suitable workpieces such as, for example, various implementations of etching (plasma etching, photochemical etching, chemical vapor etching, thermally driven etching, ion etching, etc.), planarization (combination of etching and deposition), cleaning and residue removal, and various implementations of chemical, physical and ion deposition (PECVD, ALD, MOCVD, sputtering, evaporation, etc.). Suitable workpiece types include, but are not limited to semiconductor, opto-electronic, memory media, and flat panel displays. Suitable workpiece materials include, but are not limited to silicon, silicon germanium, glass and plastic. Suitable plasma based process sources include, for example, inductively coupled plasma (ICP) sources, microwave sources, surface wave plasma sources, ECR plasma sources, and capacitively coupled (parallel plate) plasma sources. Any appropriate process-defined pressure may be utilized. Still referring to Figures 1 a and 1 b, front end 12 is generally at atmospheric pressure and defines a "mini-environment" that is configured for engaging a plurality of cassettes or FOUPs (Front Opening Unified Pods shown in Figure 1a) 18 or other suitable workpiece transfer postions each of which, in the present example, is configured for supporting 25 semiconductor wafers. Opposite the engagement surfaces for the FOUPs, front end 12 is configured for engaging a pair of first and second loadlocks 20a and 20b (only first loadlock 20a is visible in the view of Figure 1a), collectively or individually referred to as loadlock(s) 20. Figure 1 b illustrates, an intermediate station 21 , which may comprise, for example, a cooling station, that is positioned between loadlocks 20a and 20b. The first and second loadlocks are generally identical to one another and engage first and second transfer chambers, individually indicated by the reference numbers 22a and 22b, and collectively or individually referred to as transfer chamber(s) 22. The transfer chambers, in turn, engage first and second process chambers 24a and 24b, and may be referred to collectively or individually referred to as process chamber(s) 24. Each process chamber, as will be seen below, employs a side-by- side workpiece arrangement or side-by-side process stations in which each process chamber can simultaneously expose a pair of workpieces to the same process. It is to be understood that process chambers 24a and 24b may be used to practice the same process or to practice different processes.
With continuing reference to Figures 1a and 1b, in the present example, four plasma sources 26a-d are used, corresponding to the four process stations, collectively provided by the process chambers for purposes of convenience. Reference numbers 26a-d may be used to refer to associated ones of the process stations. It is noted that one suitable process chamber configuration, that is useful in the context of the present invention, is described in copending U.S. Patent application serial no. 10/828,614 (attorney docket no. MAT-17) which is commonly owned with the present application and incorporated herein by reference. Appropriate valves are provided between the various chambers, as will be further described, since processing is usually accomplished by way of a staged vacuum sequence, starting from front end 12. In such a processing regimen, loadlocks 20 can be pumped down to a treatment or intermediate pressure from atmospheric pressure prior to transferring workpieces to and from process chambers 24 through transfer chambers 22. It should be appreciated that system 10 can readily be configured with only one process chamber 24, one transfer chamber 22 and one loadlock 20, for example, in the case where one process chamber can achieve a desired level of throughput or where sequential processing is not required. An operator station 30, including a display 32 and input device 34, is provided connected with a computer 40 for use in controlling the system. It is considered that one having ordinary skill in the art is capable of appropriately programming computer 40 in order to achieve the functionality described herein, in view of this overall disclosure.
It is noted that piping and pumping facilities have not been illustrated in Figure 1a for purposes of illustrative clarity. A common facilities input can be used for the distribution of pneumatics air, purge gas, process gas(es), and cooling water to one or two module configurations. Similarly, a single vacuum pump can be incorporated for single or dual module loadlock pumping accommodations. Separate gas panels can be used to deliver process gasses to each module and each process module has been configured with its own vacuum pump and pressure control devices, allowing for parallel processing capabilities. Pressure transducers affixed to the loadlock(s), transfer chamber(s) and process chamber(s) are used to communicate pressures associated with processing functionality. Additionally, an assortment of vacuum and pressure switches affixed to vacuum roughing lines are used for interlock purposes. In view of this overall disclosure, it is considered that one having ordinary skill in the art is capable of implementing such facilities. Attention is now directed to Figure 2 which shows one of loadlocks 20 in isolation from the remainder of the system. It is noted that the top plate of the loadlock has not been shown to facilitate a view of interior details of its structure. Loadlock 20 includes an overall body which defines a slit aperture 50 for communicating with one of transfer chambers 22. An o-ring 52 is received in a face or chamber sealing surface 54 of the loadlock for sealing against the associated transfer chamber. A trough 56 is formed by the loadlock chamber body for receiving a valve arrangement (not shown) having a blade member that is used to seal against the surface of the wall which opposes face 54, as will be further described in detail below. For the moment, it is appropriate to note that the blade member advantageously retracts into trough 56 when the valve arrangement is in an open position. On an opposing portion of the transfer chamber body, essentially opposite slit aperture 50, a front end slit 60 is defined through which workpieces are transferred to and from front end 12 of Figure 1a. Any appropriate slit door arrangement may be used for purposes of sealing front end slit aperture 60 including, for example, the arrangement that is used on slit aperture 50, yet to be described. Other suitable door arrangements including a magnetic door and a pneumatic door are described in U.S. Patent no. 6,315,512, which is commonly owned with the present application, and incorporated herein by reference.
Still referring to Figure 2, a shelf arrangement 64 is provided for supporting workpieces in loadlock 20 as these workpieces are transferred to and from both the front end and the process chamber of Figures 1a and 1b. The shelf arrangement is made up of two sets of spaced apart blade members alternating between a long blade 66 and a short blade 68 in an overall stacked relationship. Accordingly, each set of blade members includes two long blades 66 and two short blades 68. It should be noted that one long blade in combination with one short blade serves to make up a shelf for an individual workpiece such that each shelf includes an asymmetric configuration. The long and short shelf blades may be formed using any suitable material such as, for example, aluminum. Further details will be provided below with respect to the use of this asymmetric configuration. Each shelf arrangement is supported using a pair of fasteners 70 which may be of any suitable type such as, for example, stainless steel. Spacers may be used to achieve the appropriate spaced apart relationship between the shelf blade member. The spacers may be formed, for example, using the same material from which the shelf blades are formed. The shelf arrangement is configured for supporting four workpieces in four vertically spaced apart support stations. As will be described in further detail below, the two uppermost workpiece support shelves are dedicated for use in supporting a pair of preprocess ones of the workpieces while the two lowermost workpiece support shelves are dedicated for use in supporting a pair of postprocess ones of the workpieces. Thus, preprocess workpieces are always moved from front end 12 of Figure 1a to the preprocess workpiece support shelves and then on to an associated one of process stations 26. Conversely, the lower pair of workpiece support stations is dedicated to the postprocess workpieces such that processed workpieces are always moved from an associated one of process stations 26 to the postprocess pair of shelves. Workpieces are stacked in the shelves so as to form a workpiece column, as will be further described below. It is appropriate to note, for the moment, that pairs of workpieces can be moved simultaneously to and from this workpiece column.
Referring now to Figure 3 in conjunction with Figure 2, the former illustrates loadlock 20, in a perspective view, to illustrate further details of its construction, having shelf arrangement 64 removed. It is again noted that the top plate of the loadlock has not been shown to facilitate a view of interior details of its structure. Specifically, front end slit aperture 60 is shown surrounded by an O-ring seal 74. Further, a slit door valve arrangement 80 is shown installed for sealing slit aperture 50. The slit valve arrangement includes a sealing blade 82 which is illustrated retracted into trough 56 of the loadlock body. Loadlock 20 is illustrated, like other chambers in the various figures, having its cover or lid removed for purposes of illustrative clarity. Figure 1a, however, shows these covers as they appear installed. A suitable seal such as, for example, an O-ring seal 84 may be used to seal the lid against the chamber body. Slit valve arrangement 80 is actuated, in the present example, using a pneumatic linear actuator 86. Loadlock 20 defines a pair of pumping ports, only one of which is visible, indicated by the reference number 87. It is of interest to note that these pumping ports are arranged to pump from trough 56. This arrangement is considered to be advantageous since this trough comprises a low point within the overall loadlock. Accordingly, the trough serves as a collection area for particles and other contamination that is introduced into the loadlock during normal operation of the system. By pumping from the trough, as a low point, it is intended to remove particles and contamination as a normal consequence of operating the system. Loadlock 20 also includes a floor 88, above trough 50, which defines a pair of purge ports, only one of which is visible in the floor, indicated by the reference number 89. Purge ports 89 can be used in cooperation with pumping ports 87 to provide a crossflow during pumping of the loadlock. That is, appropriate gases can be introduced through purge ports 89 while pumping takes place from pump ports 87. In this way, contaminants can advantageously be caused to flow toward and into trough 56 for removal therefrom by pumping, as will be further described. In Figure 2, it is noted that the illustrated purge port receives a diffuser 90, which can be formed, for example, from sintered metal, or porous ceramic or composite material (such as stainless steel, aluminum oxide, impregnated carbon fibers, among others). Attention is now directed to Figure 4, which illustrates loadlock 20 connected to transfer chamber 22. It is also noted that various features that are the subject of the present discussion can be seen in prior figures such as, for example, Figures 1a and 1b. Further, the top plate of both the loadlock and the transfer chamber have not been shown to facilitate a view of interior details of their features. The two chambers can be affixed to one another in any suitable manner such as, for example, using threaded fasteners that are inserted through mounting holes 92, shown in Figures 2-4. Transfer chamber 22 defines a process chamber slit door 100 configured for interfacing with one of process chambers 24, shown in Figures 1 a and 1 b. In the present example, slit door valve arrangement 80 is also used for purposes of opening and closing process chamber slit door 100. Process chamber 22 is configured for supporting a swing arm arrangement 120 that is made up of four individual swing arms arranged in counterrotating pairs, as will be described immediately hereinafter. Turning now to Figure 5a in conjunction with Figure 4, the former figure illustrates swing arm arrangement 120 in a perspective view and removed from transfer chamber 22 for purposes of illustrative clarity. It is noted that Figure 1 b diagrammatically illustrates swing arm arrangement 120 with respect to counterrotation, however, its full symmetric movement capabilities are seen in figures yet to be described. An overall baseplate 122 supports first and second swing arm pairs 124a and 124b, respectively. It is noted that identical reference numbers will be used to refer to the first and second swing arm pairs, having components associated with a particular pair identified by using "a" or "b" appended to the appropriate reference number. Thus, components that are identical in each of the swing arm pairs may be referred to individually or collectively without the appended "a" or "b". For example, the swing arm pairs collectively include upper blades 128a and 128b, which may be referred to collectively or individually, for purposes of convenience, as upper blade(s) 128. The swing arm pairs further include lower swing arm blade(s) 130. Each of the upper swing arm blades extends to a distal end 140 that is configured for attachment of an end effector 142 that is best seen as attached to swing arm blade 130b, in Figure 5a. A group of threaded fasteners 144 is used to adjustably attach end effector 142 to each swing arm blade. In this way, alignment adjustments are provided such that the end effectors appropriately interlace with the shelves of shelf arrangement 64 of Figures 2 and 4, as well as being properly interlaced with one another in a non-interfering manner, even when loaded with workpieces. It is noted that the swing arms are shown in a convenient "home" position above baseplate 122, as will be further described. Further, a reference to a swing arm(s) can refer to the combination of one or more swing arm blades with an associated end effector. Thus, swing arm 130b refers to swing arm blade 130a in combination with an attached one of end effectors 142.
Referring to Figure 5b in conjunction with Figure 5a, the former is a cross- sectional view of the adjustable manner in which end effector 142 is attached to distal end 140 of each swing arm blade such as, for example, swing arm blade 130b. In particular, fastener group 144 includes a pair of locking flat head fasteners 146a and 146b, although any appropriate fastener can be used. A dowel pin 147 is press-fitted into an aperture that is defined by swing arm blade 130b, having a free end that projects through another aperture that is defined by end effector 142. A helical coil spring 148 surrounds dowel pin 147 and resiliently, locally biases the end effector away from the swing arm blade. A hex screw 149, or other suited threaded device, is threadingly received by swing arm blade 130b for use in adjusting the end effector height in combination with fasteners 146a and 146b. It is noted that the surface of swing arm blade 130b confronting end effector 142 and surrounding fastener 146b is arcuate in configuration to accommodate changes in the angle of end effector 142 relative thereto with height adjustment. End effector height adjustment may be accomplished, in one exemplary way, by initially tightening fastener 146b "snuggly" and fastener 146a at least slightly withdrawn from a seated position. Fastener 146a is then adjusted to set end effector 142 at a desired angle. Hex screw 149 is then tightened to lock the desired end effector orientation.
Referring to Figure 5a, a bracket 150 extends downward from baseplate 122 for supporting a lift motor 152 which rotates a lift motor pulley 154 which, in turn, engages a lift belt 156. Lift belt 156 is received around a lift pulley 158 that is supported on a shaft 160 which is itself rotatably supported by bracket 150. It is noted that lift belt 156 may be tensioned in any suitable manner that is available in the prior art. As one example, one or more fasteners used to mount lift motor 152 may be received in slotted holes such that the motor can be pivoted to tension lift belt 156. Having accomplished tensioning, the fasteners are then tightened. Any suitable motor may be used as lift motor 152 such as, for example, a servo or stepper based motor. As will be seen, no more than one full revolution of pulley 158 is needed. It is noted that this motor includes an encoder for reading the position of its output shaft and thereby identifying the position of lift pulley 158 with a suitable degree of precision. Opposing ends of shaft 160 are received in couplers 162, each of which then engages a cam drive shaft 164. Cams 166a and 166b will be described in further detail below. For the moment, it is appropriate to note that these cams facilitate customized vertical motion of each swing arm pair, responsive to rotation of lift motor 152. The arrangement described herein is advantageous with respect to providing synchronous vertical motion at spaced apart swing arm configuration locations, using a single drive motor. In the alternative, however, separate drive motors can be used to produce vertical motion of each swing arm pair. In this case, each motor may include an encoder, or a separate encoder may be provided for use in reading the vertical position of each swing arm pair.
Referring to Figure 6 in conjunction with Figure 5a, attention is now directed to details of the swing arm mechanisms. To that end, Figure 6 is a partial, further enlarged cross-sectional view, in elevation, of swing arm pair 124b. It is to be understood, that swing arm pair 124a is essentially identically configured, with certain exceptions to be noted. The first and second swing arm pairs are supported using brackets 170a and 170b that are suitably attached to base plate 122 so as to extend downwardly therefrom. A linear stage 172 is used to engage a swing arm housing 176 so as to provide for up/down linear motion of the swing arm housing relative to brackets 170. One suitable linear stage 172 is available from NSK Japan, although any number of alternative configurations can be provided which accomplish the desired linear motion. Pneumatic cylinders 178 are provided, pivotally engaging and captured between base plate 122 and housing 176 of each swing arm arrangement. Cylinders 178 are provided for counterbalance purposes and can provide downward and upward biasing force for the swing arm arrangements with respect to base plate 122. For example, the cylinders can provide a force that counteracts that of atmospheric pressure, when the transfer chamber is under vacuum. As another example, when the transfer chamber is running at atmospheric pressure, a force can be provided to counter the weight of the robot under the force of gravity. In this regard, pressure regulation is provided to the cylinders in a known way to produce and change the applied biasing force. Moreover, one or more additional cylinders can be provided depending upon load demands or a single cylinder can be used.
Referring to Figures 5a-7, attention is now directed to further details with respect to the configuration of swing arm arrangement 120. Figure 7 is a further enlarged view, showing details within a dashed circle 180 which appears in Figure 6. Housing 176, being supported for vertical motion, is sealed against the transfer chamber bottom using a seal arrangement 182. The latter includes an annular L- bracket 184 (Figure 7) having one end that is captured between an annular sealing ring 186 and a bottom wall 188 of transfer chamber 20 (see also Figure 4). Sealing ring 186 can be retained in position, for example, using threaded fasteners 189. An O-ring 190 is captured within an annular O-ring groove so as to seal L- bracket 184 against a peripheral step 191 (Figure 6 and 7) that is defined by transfer chamber bottom 188. An opposing end of L-bracket 184 includes an annular seal arrangement that is made up of a quad seal 200 that is held in position using a pair of grease retainers 202 and 204 positioned above and below the quad seal, respectively. This quad seal, like all other such seals described herein, should be lubricated using an appropriate lubricant such as, for example, a fluorinated grease that is carried by grease retainers 202 and 204. Moving inward with respect to housing 176, an outer swing arm shaft 210 supports lowermost swing arm 130 of each swing arm pair. Outer swing arm shaft 210 is supported for rotation, at least in part, within a through passage 212, defined by housing 176, using an upper bearing and seal assembly 214 (Figure 7). The latter includes another quad seal 200 and grease retainers 202 and 204 that are captured within an annular groove configuration which surrounds an uppermost opening leading into a through passage 216 which is defined by outer swing arm shaft 210. Below the seal arrangement, in the view of Figure 7, a bearing 220 is received for rotationally supporting the upper end of outer swing arm shaft 210. A similar bearing 220 (Figure 6) supports a lowermost end of outer swing arm shaft 210. An inner swing arm shaft 226 is received for rotation within through passage 216 of outer swing arm shaft 210.
Figure 7 illustrates the way in which an upper end of inner swing arm shaft 226 is supported for rotation using a bearing/seal arrangement 228 that is essentially identical, from a functional standpoint, to the seal arrangement that is used between housing 176 and the uppermost end of outer swing arm shaft 210. It is noted that any suitable type of bearing can be used for rotationally supporting both the inner and outer swing arm shafts. Suitable bearing types include, but are not limited to angular contact and radial contact ball bearings. Bearing arrangement 228 is retained between the inner and outer swing arm shafts by attachment of lower swing arm 130 to outer swing arm shaft 210 using a plurality of threaded fasteners 230 (only one of which is shown) that are distributed around an axis of symmetry 232 of the swing arm arrangement. Hence, the lower swing arm serves as a seal and bearing retainer. Bearing 220 (Figure 6) can also be used between the lowermost ends of the inner and outer swing arm shafts and, hence, will not be described for purposes of brevity. It is noted that upper swing arm 128 is affixed to inner swing arm shaft 226 using a clamping arrangement (Figure 5a) having a clamp shell 234 which engages a clamping end of upper swing arm 128 via threaded fasteners received in clamp apertures 238 such that the rotational position of the upper swing arm can be adjusted in relation to the lower swing arm. Any number of alternatives may be employed for purposes of insuring that the swing arms interlace properly. As one example (not shown), outer swing arm shaft 210 and inner swing arm shaft 226 of swing arm assembly 124a can be appropriately longer than the corresponding components that are used in swing arm assembly 124b. As another example, an extension spacer 239 arrangement can be added, as will be described in further detail below. With reference to Figures 5a-10a, attention is now directed to the configuration of the dual swing arm assembly with respect to the way in which vertical motion is achieved using cams 166. Each of these cams includes a cam mounting plate 240 (Figure 6) that is fixedly attached to a cam plate 242 such that the cams rotate with cam drive shafts 164a and 164b. Figures 8 and 9 illustrate the appearance of cam faces 243a and 243b of cam plates 242a and 242b, respectively, as will be further described below. Referring to Figures 8-9, 10a and 10b, each cam plate defines a cam groove 246 which receives a cam follower 248. Figures 8 and 9 illustrate that cam grooves 246a and 246b are mirror images of one another. Rotation of each cam moves the associated swing arms between elevations 1-4, as identified around each cam groove through engagement by cam follower 248. In Figures 8 and 9, the cams and, thereby, the swing arm pairs are at elevation 1 , since each cam follower is received at a low point in each cam groove (as shown in phantom in Figures 8 and 9), although many alternative configurations can be provided. The swing arm height that is associated with each of the cam elevations will be described in conjunction with subsequent ones of the figures. It should be appreciated that cam plates 242a and 242b are interchangeable so long as such interchange is accompanied by a reversal in rotation direction. In the present example, cam plate 242a rotates in an indicated counterclockwise direction (CCW), while cam plate 242b rotates in an indicated clockwise (CW) direction. Apertures 247 are provided for use in attaching the cam plates to the cam mounting plates. Figure 10b is a partially cutaway view, in partial cross-section, of cam follower 248, as it is received in a bridge bracket 256. For example, cam follower 248 includes a threaded mounting shaft 257a that is received in an aperture that is defined by bridge bracket 256. A nut 257a threadingly engages shaft 257a. An opposite end of shaft 257a supports a cam roller 257c for rotation. The cam roller is sized to be received in one of cam grooves 246. Such rotational support can be provided in many well-known ways such as, for example, by using a bearing (not shown). Bridge bracket 256 is connected to housing 176 (Figure 5a) using threaded fasteners received in apertures 258 and includes a U-shaped configuration for purposes of bridging bracket 170 so that cam follower 248 provides vertical motion of housing 176, as limited by linear stage 172, and the swing arm shafts supported therein.
Referring primarily to Figures 6, 11 and 12, a rotational drive arrangement, generally indicated by the reference number 300 in Figure 11 , for use in counterrotating the upper and lower swing arm of each swing arm pair, will now be described in detail. Figure 11 provides a general perspective view of this arrangement for swing arm pair 124a with the swing arm blades removed, while Figure 12 provides an enlarged view within a dashed line 301, shown in Figure 6. Drive arrangement 300 includes a drive base plate 302 that is mounted to a lowermost end of housing 176. A U-bracket 304 includes a lowermost surface to which a gear drive 306 is mounted and which is, in turn, driven by a motor 310 (Figures 5a, 6 and 11 ). Motor 310 may comprise any suitable type of motor such as, for example, servo or stepper motor. Gear drive 306 drives a toothed pulley 308 (Figure 6). This latter pulley will be described in further detail below, however, for the moment, is appropriate to note that the pulley must be sufficiently long so as to be capable of simultaneously driving a plurality of four spaced apart timing belts along its overall length. Spacer arrangement 239 is shown in Figure 11 , made up of an upper swing arm spacer 311 a and a lower swing arm spacer 311 b in order to appropriately elevate swing arm arrangement 124a with respect to swing arm arrangement 124b to provide for the swing arm interlacing shown in Figure 5a.
Referring primarily to Figure 12, a first pulley arrangement 312 is made up of first and second side-by-side pulleys 314 and 316 that are received by the lowermost end of outer swing arm shaft 210. This latter pulley arrangement may be referred to as a split pulley arrangement. A second pulley arrangement 320 is similarly made up of first and second pulleys 322 and 324 that are received by a lowermost end of inner swing arm shaft 226. With brief reference to Figure 11 , it is noted that an arrangement of elongated apertures is defined by pulley 324 for pulley offset purposes. A clamp 325 holds a flag plate 326 in position on a reduced diameter distal end of the lowermost end of the inner swing arm shaft. The flag plate is configured to block light emitted by an optical sensor 330 (Figure 11 ) that is mounted to base plate 302 over an angular displacement that is equal to the total angular movement of upper swing arm 128a between the wdrkpiece column and its corresponding process station. A third, idler pulley arrangement 350 includes a pulley 352, configured for receiving belts 366 and 368, which is itself rotationally supported by an idler pulley mount 356 that adjustably engages base plate 302 such that pulley 352 rotates on an idler pulley shaft 358. In this regard, both gear drive 306 and pulley mount 356 are mounted in a way which provides for a degree of pivotal rotation, generally in the manner described above with respect to lift motor 152 of Figure 5a, for example, using fasteners which pass through slotted holes in a manner that is known in the useful arts. Such pivotal rotation is useful for purposes of adjusting belt tension, as will be described immediately hereinafter.
Still referring primarily to Figure 12, four belts are rotated by driven pulley 308. A first pair of lower swing arm timing belts includes a lower arm leading belt 360 and a lower arm lagging belt 362 that engage pulleys 314 and 316, respectively. A second pair of upper swing arm timing belts includes an upper arm leading belt 366 and an upper arm lagging belt 368. The reason for the use of "lagging" and "leading" nomenclature applied in naming these belts will be made apparent below. Suitable belts for use in this application, including lift belt 156 of Figure 5a, should be formed from materials resistant stretching such as, for example, polyurethane and/or Kevlar reinforced neoprene. A pair of bolts 369 (Figure 12) is illustrated for holding pulleys 322 and 324 in a fixed rotational offset. Turning now to Figures 13 and 14 in conjunction with Figure 12, attention is now directed to the arrangement of the belt drive shown in Figure 12, as it appears in diagrammatic perspective views, taken from below, for purposes of generally illustrating the paths taken by the belts. To that end, Figure 13 illustrates pulley arrangements 320 and 350 in relation to driven pulley 308, as engaged by belts 366 and 368. It is noted that teeth have been illustrated on only a portion of the pulleys for purposes of simplicity, although it is to be understood that each pulley includes an essentially identical toothed configuration that is matched by all of the belts in use. Each of pulley arrangements 320 and 312 includes a pattern of elongated slots for receiving threaded fasteners (see bolts 369 of Figure 12) in order to fixedly offset the tooth pattern of each pair of pulleys, for reasons which will be made apparent. It should be appreciated that belts 366 and 368 are configured having teeth on both opposing major surfaces of the belts. Therefore, the "front side" of each belt engages pulley arrangements 320 and 350 while the "back side" of each belt engages driven pulley 308. Accordingly, in the instance where driven pulley 308 rotates clockwise as indicated by an arrow 380, pulley arrangements 320 and 350 will rotate counterclockwise, as indicated by an arrow 382.
Figure 14 illustrates pulley arrangement 312 in relation to driven pulley 308, as engaged by belts 360 and 362. In this case, clockwise rotation of driven pulley 308 produces clockwise rotation of pulley arrangement 312. Therefore, pulley arrangements 312 and 320 coaxially counterrotate with respect to one another, since all of the pulley arrangements are driven by a common driven pulley 308. Therefore, because pulley arrangement 312 is supported by outer swing arm shaft 210, while pulley arrangement 320 is supported by inner swing arm shaft 226, the inner and outer swing arm shafts, likewise, counterrotate with respect to one another responsive to any rotation of driven pulley 308.
Referring briefly to Figures 5a and 6, the reader will recall that outer swing arm shaft 210 supports one of lower swing arms 130, while inner swing arm shaft 226 supports one of upper swing arms 128. The upper and lower swing arms of each swing arm pair 124, therefore, counterrotate with respect to one another by an equiangular amount for any given rotation of pulley 308. In this regard, it is noted that flag plate 326 (Figure 11 ) co-rotates with inner swing arm shaft 226. As a result of the counter rotation configuration that is used, after initial alignment, identification of the position of the inner swing arm shaft also causes the position of the outer swing arm shaft to be known. As should be evident in the context of this application for driving swing arms, no more than one full revolution of each swing arm is required and, generally, significantly less than one revolution is often the requirement. In the present example, each swing arm rotates approximately +/-60 degrees from a center or home position, thereby exhibiting a total rotation of approximately twice that value. The swing arm arrangement of the present invention advantageously provides for adjustment of the overall angular displacement in view of a particular installation, as will be further described in detail at appropriate point hereinafter.
Referring now to Figure 15, a simplified example will now be provided for purposes of explaining the backlash compensation concept of the present invention using a diagrammatic perspective view of a pulley arrangement that is generally indicated by the reference number 400. The latter is made up of pulley A, pulley B and pulley C. Pulley A is driven by a suitable arrangement such as, for example, a motor (not shown) and functions in a manner that is similar to that described above with respect to pulley 308 of Figure 12, wherein the pulley is sufficiently elongated so as to support a plurality of spaced apart toothed belts. All of these pulleys include an identical tooth receiving pattern. Referring to Figures 16a and 16b, in conjunction with Figure 15, pulleys B and C are mounted on a common shaft, which has not been shown for purposes of illustrative clarity, such that the tooth receiving pattern of pulley B is offset with respect to that of pulley C which may be accomplished for example using a elongated slot aperture configuration, as described above. This offset may be on the order of the backlash value that is present between one of the pulleys and its engaging belt. It is noted that the backlash value has been exaggerated in the figures for illustrative purposes. Such a value may be specified, for example, by a manufacturer. In the present example, a backlash value of approximately 0.02 inch is seen. Therefore, the offset between the pulleys may be set to this value or slightly less. Depending on a particular direction of rotation, one of the belts or pulleys may be described as leading or lagging the other belt, as mentioned . above. Of course, the relative leading/lagging phase of the respective belts may be reversed by simply rotationally offsetting the pulleys in an opposite direction with respect to one another.
Still referring to Figures 15, 16a and 16b, in the present example, a belt 402 engages pulleys A and B, while a belt 404 engages pulleys A and C. Pulley A is being rotated in a counterclockwise direction as is indicated by an arrow 406. For purposes of simplicity, only a limited number of teeth 410 have been illustrated on belts 402 and 404. It is noted that the present figures illustrate the pulley arrangement at a given point in time such that pulley A is in the same rotational position in all of the figures. Pulleys B and C are understood to be coaxially mounted in a way which provides for adjustment of an angular offset therebetween. It is considered that one of ordinary skill in the art is capable of implementing such an offset arrangement in view of this overall disclosure. The angular offset is indicated by an offset angle α that is shown in Figure 16a. In this example, pulley C leads pulley B by angle α. The backlash value is illustrated by an angle β in Figure 16a. In the present example, the offset angle has been shown as approximately double the backlash value to compensate for backlash that is introduced by belts 402 and 404.
Still considering pulley arrangement 400, teeth 410a and 410b of belt 402 are engaged by pulley A (Figure 16a) thereby causing belt 402 to move in a direction indicated by an arrow 414. Responsive to movement of belt 402, teeth 410c and 41Od engage pulley B to cause it to rotate in counterclockwise direction 406. Pulley C (Figure 16b) co-rotates with pulley B such that it engages belt teeth 41Oe and 41Of. This action, in turn, causes teeth 41Og and 41Oh of belt 404 to engage pulley A so that a leading edge of each belt tooth rotates pulley A. In this way, backlash angle β trails belt teeth 41Og and 41Oh, as illustrated in Figure 16b with respect to belt tooth 41Og. Subsequently, when pulley A reverses to clockwise rotation, belt teeth 41Og and 41Oh will immediately be engaged by pulley teeth 414a and 414b, respectively, of pulley A. Responsive thereto, belt teeth 41Oe and 41Of will immediately engage pulley teeth 414c and 414d of pulley C in a clockwise direction such that backlash is eliminated, at least from a practical standpoint. At the same time, tension transfers from belt 402 to belt 404. It is to be understood that this highly advantageous configuration, while being described in the context of driving counter rotating swing arms, is not limited to the applications described herein but may enjoy a wide range of applicability in virtually any situation where it is desired to eliminate backlash arising from the use of toothed pulleys and flexible drive members.
Referring generally to Figures 17a through 17d, attention is now directed to details with regard to slit door valve arrangement 80, which was previously shown in Figures 3 and 4. Figure 17 provides a prospective view of slit door arrangement 80, while Figure 17b is a diagrammatic cross-sectional view taken along a line
17b-17b shown in Figure 17a. Figure 17c is a further enlarged view of a portion of the slit door valve arrangement within an area 500, indicated by a dashed line in Figure 17b. Figure 17d is a perspective view, looking angularly downward on an upper portion of arrangement 80. Referring to Figures 17a and 17b, slit door valve arrangement 80 includes a linear actuator 502 such as, for example, a pneumatic linear actuator. This actuator includes a drive shaft 504 that is capable of vertical movement in the view of these figures. Shaft 504 is connected to a linkage arrangement 506 that is comprised of a first link 508 and a second link 510. One end of first link 508 is pivotally attached to a slide bracket 512 while its opposing end is pivotally attached to shaft 504. Link 510 includes one end that is pivotally attached to a blade lever 514 and an opposing end that is pivotally attached to shaft 504. Blade lever 514 is supported at an axle 516 within a pivot shaft 518 such that lever 514 can be rotated about axle 516 within pivot shaft 518 responsive to movement of the lowermost end of the lever produced by linkage arrangement 506, as will be described. Pivot shaft 518 is supported by linear slide 512 which, in turn, slidingly engages a fixed bracket 520. Bracket 520 also supports actuator 502 in a suitable manner such as through the use of an appropriate fastener 522, so that the actuator is positionally fixed for applying movement forces to blade lever 514 via linkage 506. Accordingly, lever 514 can be moved upward and downward responsive to actuator 502. Movement forces are then transferred to pivot axle 516 through the length of the blade lever which, in turn, causes pivot shaft 518 to move in concert with the blade lever. An uppermost end of pivot shaft 518 sealingly receives a ball flange 530. Sealing can be accomplished, for example, using an O-ring received within an annular groove 532. Ball flange 530 can be fixedly attached to pivot shaft 518 in any suitable manner such as, for example, by threaded engagement. A sealing and guiding arrangement 540 includes an annular bushing 542 which serves to constrain nonvertical movements of pivot shaft 518. A sealing arrangement 546 is positioned immediately above bushing 542 for sealing against pivot shaft 518. Any suitable sealing arrangement may be utilized including, for example, the quad seal arrangement described above with regard to Figure 7. During operation, upward movement initially causes the blade lever to move upward, without rotation, until a peripheral cover hard stop 548a (Figure 17b), encounters a pivot shaft stop step 548b, and limits any further vertical rise. At this point, links 506 and 508 pivot in a way which rotates the lower end of blade lever 514 clockwise in the view of Figure 17b. A sealing blade 549 responsively advances to contact a confronting chamber sealing surface (see Figure 3). The sealing blade and other components may be formed from any suitable material such as, for example, the particular material that the engaged chamber body is formed and aluminum. Downward motion of pivot shaft 518, of course, results in an opposite behavior of the mechanism.
Referring again to Figures 17a-17d, sealing and guiding arrangement 540 (Figure 17b) is received in an uppermost opening that is defined by an upper end 550 (Figure 17a) of bracket 520. In this regard, it is noted that bracket 520 includes a general inverted L shape. Upper end 550 of bracket 520 is attached to an adapter plate 552 in any suitable manner such as, for example, using threaded fasteners (not shown). It should be appreciated that an uppermost end 560 of lever 514 can move laterally, in the view of the figure, with pivotal motion of the lever in relation to ball flange 530. Therefore, an appropriate sealing arrangement must be provided between uppermost lever end 560 and ball flange 530. To this end, a socket cap 562 is received around upper lever end 560 against an annular step 564 defined thereby. Socket cap 562 is sealed against uppermost lever end 560, for example, using an O-ring that is received in an annular groove 566. An outermost annular periphery of socket cap 562 is sealed against ball flange 530 using an O-ring 570 (Figure 17c) that is received within an annular groove 572. A jam nut 574, or other suitable mechanical expedient, is used to retain socket cap 562 against ball flange 530 while capturing an alignment yoke 576 therebetween. Jam nut 574 can be threadingly received on an enlarged diameter, threaded portion 578 of uppermost end 560 of lever 514. In the current embodiment, jam nut 574 is tightened until it reaches hardstop. This ensures that the position of socket cap 562 is held in toleranced proximity to ball flange 530. Ideally, the spherical surfaces exhibited by both the socket cap and ball flange share a common center point. The ball and socket sealing configuration provided by this configuration is considered to be advantageous with respect to accommodation of significant lateral movement, while maintaining a seal between ball flange 530 and socket cap 560.
As compared to the prior art, embodied, for example, by slit door 1500 of Figure 29, slit door arrangement 80 accommodates more pivotal movement which allows for increased movement away from sealing surface which, in turn, reduces the possibility of rubbing contact during the vertical motion phase. Still further advantages are provided with dual degree of motion capability so as to avoid a need for precise installation adjustments.
Referring primarily to Figures 17a, 17c and 17d, uppermost lever end 560 includes a distal end 580 (Figure 17c) which supports a blade suspension member 582, in turn, for supporting sealing blade 549. Blade suspension member 582 is itself pivotally supported on distal end 580 using first and second bearings 588a and 588b, respectively. These bearings are configured for providing rotational movement of the suspension stage. First bearing 588a, in the present example, is a ball bearing, while second bearing 588b is a needle bearing. It is contemplated that any number of alternative bearing arrangements may be used for supporting blade suspension member 582, so long as appropriate pivotal motion is achieved in conjunction with the capability to transfer sufficient radial force. The suspension member and bearing 588 are held to distal end 580, for example, by using a shoulder screw 590 which threadingly engages the distal end and retains bearings 588a and 588b. Suspension member 582 includes a pair of laterally extending suspension arms 592 (Figures 17a and 17d). Distal ends of arms 592 are pivotally received in pivot blocks 594 that are fixedly attached to a backside surface of sealing blade 549, for example, using threaded fasteners (not shown) that are received in a pair of openings 596 and extend into sealing blade 549 in a familiar manner. A pitch biasing spring 598 is attached at one end using fasteners 600 to blade 549. The pitch biasing spring, as best seen in Figure 17d, then wraps around suspension member 582 for attachment to a surface thereof which is opposite sealing blade 549, using another pair of fasteners 600. A cut-out area 602 (Figure 17d) of the biasing spring provides an access margin for shoulder screw 590. While spring 598 is shown attached to a rearward facing surface of blade member 549 in Figures 17a-c and 17e, it can be designed for attachment to an upper surface of the blade member, as is shown in Figure 17d, depending on the sealing blade geometry and clearance requirements in a particular application. It is noted that pitch biasing spring 598 maintains a desired rotational position of blade 549 with respect to rotation about an axis 599 (indicated by a dashed line in Figure 17a) of blade suspension member 582 when valve arrangement 80 is in its open position. That is, this desired rotational position is invoked when blade member 549 is not contacting or draws away from a chamber wall sealing surface surrounding a slit opening (see Figure 3). On the other hand, when blade member 549 contacts such a chamber wall sealing surface, pitch biasing spring 598 allows pivotal rotation about axis 599 of suspension member 582 such that the blade member rotates to accommodate a vertical tolerance between the blade member and the chamber wall, in order to provide an acceptable seal without a need for precision tolerance adjustments.
Referring to Figures 17a and 17d, yoke 576 includes opposing arms 608 (Figure 17d) having vertically extending distal ends 610, each of which defines a through opening for receiving a threaded fastener 612 which threadingly engages arms 592 of suspension member 582.
As seen in Figure 17e, which is a cross-sectional view that is taken along a line 17e-17e in Figure 17d, biasing springs 614 are captured by fasteners 612 between each distal end 610 of yoke 576 and each one of the suspension arms to resiliently bias each distal end 610 away from its associated suspension arm 592. Springs 614 thereby serve in an advantageous manner so as to center blade member 549 with respect to rotation about an axis 616 (indicated using a dashed line in Figure 17a) of lever 514 when the blade member is not contacting a chamber sealing surface. When the chamber sealing surface is contacted by the blade member, however, springs 614 accommodate limited rotation of the blade member about lever 514 in order to compensate for a lateral or horizontal tolerance between blade member 549 and a chamber sealing surface by rotating about axis 616. Thus, the configuration of valve arrangement 80 advantageously provides for two degrees of freedom for blade member 549, as it engages a chamber sealing surface so as to avoid a need for high precision alignment, since a significant range of tolerance range can be compensated with respect to the vertical and horizontal axes of rotation. For example, assembly variations of approximately 0.100 inch are permissible. Moreover, it should be appreciated that the "ball and socket" configuration provided by ball flange 530 and socket cap 562 accommodates substantial lateral movement of blade member 549 toward and away from the chamber sealing surface. In this way, substantial lateral movement, prior to vertical movement of the sealing blade, allows increased rotational tolerances and/or relatively larger sealing blades, provided by significantly greater clearance between the chamber wall and sealing blade during vertical movement so as to avoid rubbing contact which can generate particles.
Having described the various components of system 10 in detail above, attention is now directed to the operation of the system, with particular regard to the use of the swing arm arrangement of the present invention. A first series of Figures 18a-e diagrammatically illustrate system 10 in a plan view, sequentially showing transfer of workpieces with ongoing processing. This first series of figures is supplemented by a second series of Figures 19a-l which diagrammatically illustrate sequential movements of the workpieces in an elevational view with ongoing processing. For purposes of simplicity, the present description may refer to workpieces as wafers. Most of the subject figures are limited to illustrating the combination of one loadlock 20, interfaced with one transfer chamber 22 which is, in turn, interfaced with one process chamber 24 having dual process stations 26a and 26b. Components of front end 12 will be illustrated as necessary. A workpiece or wafer column 700 is positioned in loadlock 20, as defined by shelf arrangement 64 of Figures 2 and 4. As seen in Figure 19a, workpiece column 700 includes a pair of preprocess shelves 702 and a pair of postprocess shelves 704. In this regard, it should be appreciated that preprocess wafers are always moved from the front end to preprocess shelves 702 and postprocess wafers are always moved from postprocess shelves 704 back into the front end. Slit doors are indicated as being closed between the various chambers, as needed, using rectangles in the Figure 18 series and using cross-hatching in the Figure 19 series. For example, slit doors 706 and 708 are open in Figures 18a-d and Figures 19-a-g and 191, while being shown as closed in Figure 18e and Figures 19h-k. Figures 18b, 18d and 18e, as well as Figures 19c, 19d and 19g-l further illustrate the swing arm arrangement in a home or parked position at some point during ongoing operation of the system, as will be further described.
Turning to Figure 18a in conjunction with Figure 19a, the latter figure, as is the case with all of the figures in the Figure 19 series, is an elevational view of system 10 having workpiece column 700 shown at the left and process stations 26 at the right in the view of the figure. An upper swing arm pair, as previously described with regard to Figure 5a, includes swing arms 128a and 128b, for use in moving preprocess wafers while a lower swing arm pair includes swing arms 130a and 130b, for use in moving postprocess wafers. Upper swing arms 128 are rotated to workpiece column 700 while lower swing arms 130 are rotated to process stations 26. In Figure 19a, upper swing arms 128 are poised to lift a pair of preprocess wafers 710 from preprocess shelves 702 while swing arms 130 are concurrently poised to lift a pair of postprocess wafers 712 at process stations 26a and 26b. It is noted that elevation 4 in Figures 8 and 9 produces this swing arm height. Postprocess wafers 712 are supported at different, spaced apart heights hi and h2, respectively, above the process stations by first and second sets of lift pins 716 and 718 such that lower swing arms 130 are poised to pick postprocess wafers 712 from the lift pins.
Referring to Figure 18a, it should be appreciated that the preprocess and postprocess wafers are moved along first and second arcuate, semicircular transfer paths 720 and 722, indicated by dashed lines, between workpiece column 700 and process stations 26. It is of interest that paths 720 intersect at workpiece column 700, but cross one another, thereby intersecting again, near the process stations. An angle γ represents the rotation of each swing arm from a home position, corresponding to the position of a dashed line 724, along paths 720 and 722. Thus, the full travel of each swing arm between workpiece column 700 and its associated process station 26 is 2γ. It is of further interest that the wafer column, pivot axes of the two swing arm arrangements and the two process stations cooperatively define a pentagonal shape. An uppermost shelf of shelf arrangement 64 is partially visible, comprising one long blade 66 and one short blade 68 (also see Figure 2). These blades are arranged in a way that accommodates a particular angle of entry by the swing arm that services a particular shelf so as to avoid interference therebetween. In the present example, upper swing arm 128a accesses the uppermost shelf. Short blade 68 is therefore positioned on the left side of the shelf arrangement, in the view of the figure to prevent interference with end effector 142a of upper swing arm 128a. Since upper swing arm 128b swings-in from an opposite direction with respect to upper swing arm 128a, the shelf blades are reversed for its associated shelf, as can best be observed in Figure 2. Thus, the shelf blade configuration is customized in view of the approach angle of each accessing swing arm. In Figure 19b, swing arm pairs 124a and 124b have executed an upward vertical motion, using lift motor 152 of Figure 5a, so as to use upper swing arms 128 to lift preprocess wafers 710 off of preprocess shelves 702, while using lower swing arms 130 to lift postprocess wafers 712 off of lift pins 716 and 718. It is noted that rotation of cam plates 242a and 242b of Figures 8 and 9, respectively, from elevation 4 to elevation 1 produces this upward vertical movement.
Turning to Figures 18b and 19c, swing arms 128a, 128b, 130a and 130b all rotate simultaneously to the home position such that preprocess wafers 710 and postprocess wafers 712 are in a spaced apart vertical relationship (Figure 19c), but only the preprocess wafers are visible in the view of Figure 18b. Cam plates 242a and 242b of Figures 8 and 9, respectively, remain at elevation 1.
Referring to Figure 19d in conjunction with Figure 18b, while the swing arms remain in the parked position, a downward vertical movement in a direction indicated by an arrow 730 is executed, responsive to lift motor 152 of Figure 5a. It is noted that lift pins 716 and 718 can remain in their "up" position, as also shown in Figure 19c. It is noted that rotation of cam plates 242a and 242b of Figures 8 and 9, respectively, from elevation 1 to elevation 2 produces this downward vertical movement. Figures 18c and 19e, cooperatively illustrate the result of rotation of lower swing arms 130a and 130b to wafer column 700 to deliver post process wafers 712 while upper swing arms 128a and 128b each deliver one preprocess wafer 710 to one of process stations 26a and 26b. Lift pins 716 and 718 can remain in their up positions, while cam plates 242a and 242b of Figures 8 and 9 remain oriented at elevation 2.
In Figure 19f, the swing arm arrangement is moved downward in a direction indicated by an arrow 740 to place preprocess wafers 710 on lift pins 716 and 718 while postprocess wafers 712 are placed on postprocess shelves 704. It is noted that rotation of cam plates 242a and 242b of Figures 8 and 9, respectively, from elevation 2 to elevation 3 produces this downward vertical movement. Further, returning post-process workpieces entails a reversal of rotation of cam plates 242a and 242b, as will be evident to one having ordinary skill in the art in view of the foregoing disclosure.
Figures 18d and 19g illustrate swing arms 128a, 128b, 130a and 130b, then rotated to the home position. At this point, the swing arms are not carrying wafers and lift pins 716 and 718 remain raised to support preprocess wafers 710.
Referring to Figures 18e and 19h, it is noted that the former figure illustrates a front end robot 750 that is configured for moving wafers between loadlock 20, FOUPs 18 and intermediate station 21 (Figure 1b) in the front end. It is noted that intermediate station 21 can be used for a variety of different functions including a cooling station, a wafer alignment station, a pre- and/or post process metrology station or two or more functions can be incorporated into this space. The front end robot arm supports a pair of wafers, using an over/under pair of paddles, and is configured for placing on preprocess shelves 702 and picking from post process shelves 704. Of course, the front end robot arm can pick and place from any pair of adjacent positions or from any individual position in any FOUP or any position in cooling station 21 (Figure 1 b). In the present example, front end robot 750 is poised to deliver a new pair of preprocess wafers 710' (Figure 8e) at atmospheric pressure to preprocess shelves 702. In this regard, a suitable door configuration is used between front end 12 and loadlock 20, which is not shown since such door configurations are known. It is sufficient to say that this door must be in an open position before the front end robot can enter loadlock 20. Figure 19h illustrates that lift pins 716 and 718 have been lowered to place preprocess wafers 710 on their respective process stations. Both Figures 18e and 19h illustrate slit doors 706 and 708 as closed for the processing mode. It should be appreciated that the relationship between these various events, as well as the actual initiation of processing, may be changed in many suitable ways in timed relation to one another. Processing then proceeds so as to transform the preprocess wafers into postprocess wafers 712 at process stations 26a and 26b.
Referring briefly to Figures 1a and 1b, with regard to front end robot 750, it is noted that, while two wafers can be transferred at the same time, the robot readily accommodates the transfer of the 25th wafer in a 25 wafer FOUP singularly by using independent motion of its over/under paddles. Moreover, this robot is inherently flexible in readily accommodating a variety of wafer positions within the FOUPs and cooling station 21 , for example, when not all FOUP's come in fully loaded, since one or two wafers are selectively transferred at a time. That is, robot 750 may readily pick one wafer from one FOUP and another wafer from another FOUP, if necessary, using independent paddle motion, in order to enhance system throughput. The converse is likewise true for placing wafers in the FOUPs.
Referring to Figure 19i, during processing, front end robot 750 places a new pair of preprocess wafers 710 onto preprocess shelves 702. At this time, the postprocess and preprocess shelves of wafer column 700 are all filled. Referring to Figure 19j, immediately after placing the new preprocess wafers, front end robot 750 picks postprocess wafers 712 from postprocess shelves 704. It should be appreciated that this movement from dropping off the new preprocess wafers to immediately picking up the postprocess wafers can be executed very quickly, if mandated by a relatively short process time and, therefore, may be referred to as a "fast wafer swap."
In Figure 19k, the system is ready for the conclusion of processing with empty postprocess shelves 704 and with the new pair of preprocess wafers 710' waiting on preprocess shelves 702. Wafers at the process stations are indicated as transformed to post process wafers.
Figure 191, illustrates the conclusion of processing, with the slit doors opened and newly processed wafers 712 raised by lift pins 716 and 718. The next step is essentially identical to that of previously described Figure 19a such that the processing cycle may repeat as necessary.
Having described system 10 in detail above, as well as its method of operation, at this juncture, is appropriate to discuss certain advantages that it provides, particularly with respect to system throughput in the instance of relatively short processing times. When processing times are short, it is important to effectuate transfer of workpieces in a way that does not add overhead time to the overall time which is required to process a workpiece. That is, overhead time during which workpieces are being transferred without concurrent exposure of workpieces to the treatment process. In this regard, it should be appreciated that system 10 transfers processed workpieces out of the processed chambers simultaneous with transferring new preprocess workpieces to the process chamber. When the processed workpieces arrive at the loadlock, preprocess workpieces simultaneously arrive at the process chamber. Moreover, this transfer is accomplished in a rapid manner. For example, transfer times on the order of less than approximately 8 seconds are contemplated. At the same time, it should be appreciated that the use of a workpiece column in the loadlock provides for what may be referred to as a mini loadlock. That is, the loadlock volume is so limited as to provide for rapid pump down from atmospheric pressure to an intermediate pressure or to the treatment pressure itself. For example, a loadlock volume of approximately 20 liters is contemplated. Loadlock pumpdown times of approximately 10 seconds or less are contemplated.
Referring again to Figure 3, as mentioned previously, pump down of loadlock 20 is accomplished through ports 87, only one of which is visible in the view of Figure 3. Since such a rapid pump down is facilitated, at least in part, due to the small volume of the loadlock, is recommended to use as dry an ambient as possible when the loadlock is in communication with the front end. In this way, flash condensation of water vapor may be avoided. Moreover, purge ports 89, only one of which is visible, may be used to present a constant curtain of gas flow when the loadlock is in communication with the front end, to prevent mixing of ambient front end gasses with those gasses that are present in the loadlock. Thus, a pump and purge routine may be used to avoid such gas mixing at any time the door is open between the loadlock and front end, whereby gasses entering through purge ports 89 flow through the loadlock and are immediately evacuated through pump ports 87. This is attended by the further advantage, briefly described above, that contaminants will flow into trough 87 and are evacuated, as a result of pumping from this low lying region of the loadlock.
Referring to Figure 20, system 10 is diagrammatically illustrated without front end 12, in a plan view for purposes of describing a feature which is advantageous with respect to process station spacing. That is, the distance between the center of one process station to the center of the other process station. For purposes of clarity, only swing arm pair 124b has been illustrated, although it is to be understood that the present discussion is equally applicable with respect to the other swing arm pair. It is noted that Figure 20 diagrammatically illustrates swing arm arrangement 120 with respect to counterrotation, however, its full symmetric movement capabilities are seen, for example, in Figures 18a-e. In the present example, process stations 26a and 26b are shown spaced apart by a distance S1. It may be desired, however, to change this spacing, for example, by increasing the spacing such that the spaced apart distance between processing stations 26a1 and 26b1 is increased to a distance S2. This change is readily accommodated by system 10, as will be described immediately hereinafter.
Turning to Figure 5a in conjunction with Figure 20, as described above, it is noted that upper swing arm 128a is clamped to the inner swing arm drive shaft while lower swing arm 130a is pinned or fixedly attached to the outer swing arm drive shaft. In order to accommodate any given process station to process station spacing or change thereof, lower swing arm 130a is initially fully rotated in the direction of the process stations, using motor 310. Housing 176, shown in Figure 5a, can then be rotated in a way which allows for positioning lowermost swing arm 130a at an associated one of processing stations such as 26a1. Housing 176 is then fixed in position. Having accomplished this positioning and with upper swing arm 128a undamped from the inner swing arm drive shaft, upper swing arm 128a is freely rotated to its desired position at wafer column 700. The upper swing arm is then clamped to the inner swing arm shaft. As a result of the counter rotation of the upper and lower swing arms, the home position will be angularly displaced by an amount that is equal to one half of the additional rotation that is introduced in the swing arm paths between the wafer column and the respective process stations. In Figure 20, if the increased rotation is given as an angle δ the home position of workpiece column 700 will be rotationally displaced by one half δ toward the process stations. Of course, if the swing arm length is changed, the wafer column position will change accordingly. Shelf arrangement 64 can accommodate minor changes in swing arm length, as is. Greater changes, however, will necessitate movement of the shelf position within loadlock 20 along a line 802 that bisects and is normal to a process station to process station 804. As another advantage of system 10, dual wafer delivery capabilities are provided using only a single wafer load/unload lock style architecture. This provides significantly reduced transfer chamber size and simplifies the mechanics associated with wafer exchange. The loadlock design allows for rapid atmospheric wafer exchanges which are facilitated through the described independent over/under robot paddles of the front end robot. This, in turn, is inherently flexible with small lots often confronted in FOUP based processing. A small volume loadlock allows for fast venting and pumping; imperative to high system throughput capability. Vacuum based transfer couples both the loadlock and process module wafer exchanges into common motions; eliminating the need for additional delays due to sequencing, and minimizing wafer exchange times. A "mini-batch" processing technology can be employed (side-by-side wafer processing), while reducing the physical size and costs associated with wafer handling technology. In this regard, the transfer chamber is also of a relatively small size. As a further advantage, during atmospheric loadlock exchange, two new wafers are placed simultaneously by the front end robot, which then removes the previously processed wafers. This exchange of wafers happens very quickly and, when coupled with fast vent and pump times associated with the reduced loadlock volume, allows for nearly invisible handling overhead. Indeed, the platform's main goal for high throughput capability is to mask all time associated with wafer replenishment entirely within the time required to process the other wafers. The result is thought to be a truly continuous processing capable system. As still a further advantage, the arrangement of opposing dual swing arms provide a trajectory which allows single wafer type load/unload lock architecture to efficiently accommodate side by side wafer processing geometry with a significantly smaller footprint than that embodied by prior designs. As will be brought to light with reference to a number of specific examples to be described immediately hereinafter, the concepts taught herein may be embodied by a wide variety of alternative system configurations and arrangements, all of which are considered to fall within the scope of the present invention. Attention is immediately directed to Figure 21 which diagrammatically illustrates a processing arrangement that is generally indicated by the reference number 800. It is noted that Figure 21 diagrammatically illustrates swing arm arrangement 120 with respect to counterrotation, however, its full symmetric movement capabilities are seen, for example, in Figures 18a-e. Processing arrangement 800 includes first and second processing chambers 802 and 804, respectively. This system further includes swing arm arrangement 120 with dual swing arm assemblies 124a and 124b. A loadlock 810 is provided which houses wafer column 700. Processing chambers 802 and 804, along with loadlock 810 are housed within an overall chamber 812. It is noted that any number of valve arrangements may be utilized for interfacing the various chambers utilized by processing arrangement 800 including, for example, one described in Figures 3 and 4 of U.S. Patent No. 6,429,139 that is used in conjunction with arcuate chamber walls. Accordingly, such descriptions will not be repeated herein for purposes of brevity. Still referring to Figure 21 , it should be appreciated that swing arm arrangements 124a and 124b can move synchronously, as described above, while processing chambers 802 and 804 are both in use. As an alternative, however, one swing arm arrangement can be disabled with respect to its rotational motion, for example, by turning off its rotational drive motor such that the swing arm assembly remains in its home position, while the other swing arm assembly remains fully operational. The disengaged swing arm assembly will continue to move vertically, as it normally would, with the operating swing arm assembly such that there is no interference between the two swing arm assemblies. The particular processing chamber that is associated with the disengaged swing arm assembly may be configured so that its utilities can be isolated from the rest of the system (i.e., turned off) such that the particular processing chamber can be serviced while the other processing chamber remains completely operational. This feature is considered to be highly advantageous, in and by itself.
Referring to Figure 22, another embodiment of a system, produced in accordance with the present invention, is generally indicated by the reference number 1000. System 1000 shares the advantages of system 10 while providing still further advantages. This system uses wafer handling section 15 and processing section 16 in conjunction with a front end 1002. The latter includes an elongated transport chamber 1004 which houses a transport mechanism 1006 in the form of a linear drive for moving workpieces as indicated by arrow 1007. One suitable form of linear drive comprises a magnetic levitation linear drive, although any suitable type may be employed. A loadlock 1010 is stationed at one end of transport chamber 1004 for communication with the interior of the transport chamber through a door 1111. In this regard, it should be appreciated that transport chamber 1004 can operate at process pressure. Loadlock 1010 is, in turn, configured for communication with an atmospheric mini environment 1012 through a door 1114. Mini environment 1012 is not shown in detail, since its general details of construction will be evident to those having ordinary skill in the art in view of the foregoing discussions, but which may include, for example, a front end robot and ports for any suitable number of FOUPs. Door 1111 and door 1114 may be of any suitable type including, but not limited to slot valves of the type previously described with respect to the Figure 17 series, depending on the configuration provided for the transport of workpieces therethrough, as will be further described.
Still referring to Figure 22, in one embodiment, transport mechanism 1006 is configured for moving a workpiece carrier 1118 therealong which supports one or more workpiece columns. Carrier 1118 is shown stationed for access by swing arm arrangement 120b, designated as a workpiece column 700a supported by transport 1006 and, in phantom, designated as a workpiece column 700b. Each of these workpiece columns resembles previously described workpiece column 700 with the difference that each workpiece column is portable, as will be further described. It should be appreciated that carrier 1118 supports previously described shelf arrangement 64 for access by swing arm arrangements 120a and 120b.
Still referring to Figure 22, when using a portable workpiece carrier, door 1111 may comprise any suitable door arrangement. A front end robot (which may be identical to front end robot 750 of Figure 18e), forming part of front end 1012, may access the portable workpiece carrier at 700b' through door 1114 in a manner that is essentially identical to that described with respect to system 10 by moving the workpiece carrier to location 700b'. Specifically, the front end robot may have independent over/under paddles that can be used for a four position workpiece column. This location may also include a rotatable shelf arrangement for confronting either door 1114 for front end access or door 1111 for linear transport mechanism 1006 access. Alternatively, door 1114 may be configured for moving an entire workpiece column or workpiece carrier therethrough with the use of appropriate front end robotics. In this way, a fresh, preprocess workpiece column can enter through loadlock 1010 while another loadlock (not shown but at an opposing end of transport 1006) can be used by the front end to retrieve a postprocess workpiece column. Workpiece columns 700a and 700b are shown selectively aligned with transfer chambers 22b and 22a, respectively. It should be appreciated that more than one portable workpiece carrier can be used at a time so that, with workpiece columns 700a and 700b positioned as shown, transfer of workpieces to and from these columns can proceed as described above with respect to system 10. For descriptive purposes, one transfer chamber in combination with one process chamber may be referred to as a process platform. Accordingly, in the present example, process platforms 1120 and 1122 are provided. A workpiece column 700a1 comprises a location to which the portable workpiece carrier can be moved, serving, for example, as a cooling and/or buffer station. The buffer/cooling station can be configured to rotate 180 degrees, depending on requirements, for access from linear transport 1006 and wafer carrier 1118. It is noted that this may comprise another loadlock location, as mentioned above, and with appropriate valves, so as to appear essentially the same as loadlock 1010, in order to increase system throughput, if the reduced system overhead time coupled with process time requirements warrants such a feature. Accordingly, the advantages that are attributable to the use of a stationary workpiece column in the loadlock are also provided by system 1000, while still further advantages are provided through making this workpiece column portable. Moreover, when process chamber 24a is used to practice a different process than process chamber 24b, the configuration of system 1000 provides the further advantage of allowing for sequential processing, without the need to break vacuum.
Turning now to Figure 23, another embodiment of a system, produced in accordance with the present invention is generally indicated by the reference number 1200. It is noted that, throughout appropriate ones of the remaining figures, swing arm arrangement 120 is illustrated with respect to counterrotation, however, its full symmetric movement capabilities are described in detail above and can be seen, for example, in Figures 18a-e. System 1200 includes a modified front end 1012' having a loadlock access door 1114 centered on one side thereof. A modified transport chamber 10041 includes a modified loadlock 1010' having doors 1114 and 1111 arranged on opposing sides thereof so as to confront front end 1012' and transport chamber 1004', respectively. Workpiece column 700a is illustrated in loadlock 1010' such'that it can be accessed from the front end using the front end robot, or it can be moved into transport chamber 1004'. Workpiece column 700b and carrier 1118 are illustrated in an aligned position with process platforms 1120 and 1122. In this configuration, either process platform can move workpieces to and from this workpiece column using swing arm arrangements 120a and 120b. A cooling and/or buffer station (see Figure 22, can readily be provided. In one implementation, a suitable arrangement may be provided for elevating a workpiece column, for example, from loadlock 1010' or from a cooling/buffer station such that multiple workpiece columns can be arranged in a stacked relationship. In this regard, a "second story" can be added to transport chamber 1004' and to loadlock 1010' to provide for a high degree of flexibility with respect to movement of workpiece column carriers in this system. It should be noted that system 1200 is also advantageous with respect to providing the capability for performing sequential processing steps without the need to break vacuum. That is, as is also the case with system 1000 and other systems yet to be described, platform 1120 may be used to execute a first process step. After having been exposed to this first process step, workpieces may then be transported to platform 1122 for exposure to a second process step.
Referring to Figures 24a-d in conjunction with Figure 23, further details will now be provided with respect to linear transport 1006, as shown in Figure 23, although it is to be understood that these concepts can apply to any linear transport and/or rotatable wafer column used herein. Figure 24a illustrates workpiece carrier 1118, which may itself be a robot with rotation and extension capability, supported by linear transport 1006 rotated to confront platform 1122 to receive/handoff workpieces with this platform. Figure 24b illustrates workpiece carrier 1118 rotated to a "neutral" position, in preparation for exchanging workpieces with loadlock 1010'.
In Figure 24c, workpiece carrier 1118 is moving wafer column 700b into loadlock 1010' for access by front end 1012' of Figure 23 with door 1111 in an open position. It is noted that linear movement is facilitated, as indicated by arrows 1123.
Figure 24d illustrates workpiece carrier 1118 rotated to confront platform 1120 (Figure 23) to receive/handoff workpieces with this platform.
Turning now to Figure 25, attention is directed to still another alternative system configuration that is generally indicated by the reference number 1300. It should be appreciated that much of the foregoing discussion with respect to alternative embodiments is equally applicable with respect to system 1300. For this reason, some details will not be repeated for purposes of brevity. System 1300 places processing platforms 1120 and 1122 in a side-by-side relationship for access using a transport chamber 1004", in a manner that is similar to that of previously described system 1000. In this case, however, front end 1012' has been rotated 90° and arranged for communication with loadlock 1010' through a door 1114. As illustrated, workpiece columns 700a-700d can be used in the system. Workpiece column 700a is stationed in loadlock 1010', workpiece column 700b is stationed for access by platform 1120, workpiece column 700c is stationed for access by platform 1122 and workpiece column 70Od is positioned outward of workpiece column 700c at what may be a cooling and/or buffer station. Workpiece carrier 1118 is shown supporting workpiece column 700c and, in phantom, supporting workpiece column 700a. Again, sequential processing may be performed without the need to break vacuum.
Referring to Figure 26, yet another alternative system configuration is generally indicated by the reference number 1400. System 1400 represents a combination of previously described systems 1200 and 1300. Specifically, transport chamber 1004", of Figure 25, has been utilized with platforms 1120 and 1122 positioned side-by-side on one side of the transport chamber, while, on the other side of the transport chamber, platforms 1120' and 1122' are stationed side- by-side in a confronting relationship with the platforms on the opposite side of the transport chamber. Accordingly, system 1400 shares all of the advantages of systems 1200 and 1300 so as to provide for robust workpiece processing capabilities.
With reference to Figure 27, an additional alternative system configuration is generally indicated by the reference number 1500. System 1500 shares a number of aspects of its configuration with system 1400 of figure 26, with exceptions to be noted. In the present example, a transport chamber 1502 houses a linear drive 1504 in the form of a mini robot. The latter includes a paddle assembly 1506 which may be configured with over/under paddles, as described above, for purposes of transporting one or two workpieces at a time. A paddle assembly of linear drive 1504 is shown at a lower position, in the present view, such that its paddle blades are positioned within loadlock 1010'. A buffer station 1510 is located at the uppermost end of the linear drive, in the present example. The buffer station may include, for example, from 1-30 workpiece positions. Some of the workpiece buffer positions can be used to store test workpieces for process set up and/or calibration. It is of interest to note that the pivot axes of swing arm arrangements 120a-120d are now located in transport chamber 1502. Further, the transport chamber may be held at process pressure, if so desired. Slit doors 1512 (only one of which is identified) are provided which may utilize any suitable valve arrangement such as, for example, valve arrangement 80, as described above. Accordingly, as is the case with other systems described above, sequential or parallel processing can be accomplished using this system.
Still referring to Figure 27, in one modification of system 1500, loadlock 1010' is not required. That is, door 1111 can be eliminated such that the illustrated loadlock volume becomes part of the transport chamber. Thus, this lower illustrated position of mini robot 1506 can server as a buffer station or for other appropriate purposes. It should be appreciated that the present invention contemplates system configurations that are driven by process parameters. In particular, a small volume loadlock is highly advantageous in the instance of a fast process time, wherein a fast process time would be less than or on the order of a given overhead time required to transport one or more workpieces, including pumping times. On the other hand, slow process times may serve to eliminate the need for a loadlock, whereby configurations such as is illustrated in Figure 27 become useful. That is, a slow process time is of a length which is generally longer than the time period that is required for wafer transport. In this sense, there is no overhead time, if the latter is viewed as time devoted to wafer transport while a processing station is inactive.
Turning now to Figure 28, a further embodiment of a system that is configured in accordance with the present invention is generally indicated by the reference number 1600. It is noted that system 1600 includes an overall configuration which resembles system 1200 of Figure 23, described above. Accordingly, the present discussion will be limited to certain differences between these two systems. In particular, the side-by-side common processing environment of Figure 23 has been replaced by a pair of separate processing chambers 1602 and 1604, designated with "a" and "b" appended in the Figure. Each of these chambers is capable of performing a process that is isolated from the other chamber. Thus, a first process can be performed in chamber 1602 while a second process is performed in chamber 1604 in a sequential processing environment, although this is not a requirement. Accordingly, each of the process chambers is located within the transfer chamber and is isolatable therefrom, for example, using a vertically movable process chamber slit door 1606, as described in above incorporated U.S. Patent No. 6,429,139. It should be noted that this embodiment shares advantages with the embodiment of Figure 21. In particular, one process chamber, and associated, swing arm arrangement can continue to operate while the other process chamber undergoes servicing or maintenance. Turning to Figure 30 another embodiment of a swing arm arrangement, manufactured in accordance with the present invention, is generally indicated by the reference number 1800 and shown in a perspective view. It is noted that swing arm arrangement 1800 can be used with previously described chamber arrangements, such as installed with aforedescribed transfer chamber 22, or with alternative chamber embodiments to be described below. Further, swing arm arrangement 1800 shares many components with previously described swing arm arrangement 120. Hence, descriptions of these components will not be repeated for purposes of brevity and like reference numbers have been applied in the various figures. It is noted that the term "wafer" should be interpreted broadly to include not only semiconductor wafers, but any suitable substrate.
Referring to Figure 31 in conjunction with Figure 30, swing arm arrangement 1800 differs from previously described swing arm arrangement 120 since overall baseplate 122 (see Figure 5a) is not needed. Figure 30 illustrates both swing arm arrangements, including the swing arms, while Figure 31 illustrates one swing arm actuation arrangement without swing arms attached, for purposes of revealing additional details with respect to its structure. Thus, in Figure 30, a first swing arm pair 1802a and a second swing arm pair 1802b each incorporate a mounting plate 1804 such that each swing arm pair is individually mountable. Like previously described swing arm arrangement 120, the upper and lower swing arm that makes up each swing arm pair in swing arm arrangement 1800, is coaxially mounted for rotational movement in planes that are spaced apart from one another by a fixed distance. As seen in Figure 30, The upper and lower swing arms of swing arm pair 1802a are designated by the reference numbers 1806-1 and 1806- 2, respectively, while the upper and lower swing arms of swing arm pair 1802b are designated by the reference numbers 1808-1 and 1808-2, respectively. Each swing arm includes a distal end supporting a wafer paddle 1810 such that the wafer paddle defines the widest point along the overall length of the swing arm. Inner swing arm shaft 1812 and outer swing arm shaft 1814 for supporting the upper and lower swing arm, respectively, can include identical mounting features for receiving the swing arms, since rotational alignment considerations are readily accommodated using separate drive motors 310-1 and 310-2. It is noted that swing arms 1810 include a wafer guide 1816 for assisting in retaining a wafer on the swing arm. In this regard, it is noted that the configuration of the wafer guide is a result of the fact that each swing arm, as described above, moves wafers in one direction between the loadlock and processing chamber.
Still referring to Figures 30 and 31 , swing arm arrangement 1800 also differs from swing arm arrangement 120 with respect to the location of its vertical motion stage, as well as certain details with respect to the configuration of the vertical motion stage. Specifically, a bracket 1820 is attached to bracket 170b for supporting lift motor 152. The lift motor is attached to bracket 1820 via a gear box 1822. Pulley 158 is attached directly to cam 166b and is driven by lift motor 152 using belt 156. A shaft arrangement 1824 includes a pair of couplers, each of which is indicated by the reference number 1825, and rotationally couples pulley 158 to cam 166a. Rotation of shaft arrangement 1824, for determining vertical height of the swing arms responsive to lift motor 152 is sensed using a sensor arrangement 1826 including, for example, a transmitter/detector pair 1827a, to be described in further detail below, but arranged on opposing sides of a flange 1827b for purposes of detecting a through-hole that is defined by the flange which denotes a vertical home position. Of course, an offset from this fixed vertical home position can readily be designated through appropriate control of lift motor 152. Referring to Figures 30-32, aforedescribed pulley arrangements 312 and 320 are configured for purposes of rotating outer swing arm shaft 1814 and inner swing arm shaft 1812, respectively. In this case, however, a first motor 310-1 uses belts 360-1 and 362-1 while a second motor 310-2 uses belts 360-2 and 362-2 so as to provide a separate drive motor for each pulley arrangement and, thereby, for each swing arm. The motors are supported using gear drives 306-1 and 306-2 which are, in turn, supported by brackets 304-1 and 304-2. It is considered that one having ordinary skill in the art is capable of programming computer 40 of Figure 1a in order to achieve the requisite functionality in view of this overall disclosure. Since swing arm arrangement 1800, unlike previously described swing arm arrangement 120, does not employ counterrotation, a separate position sensor arrangement is needed for the upper and lower swing arm of each swing arm pair, as will be described immediately hereinafter. Referring primarily to Figures 30 and 32, an upper swing arm position sensor plate 1830 has been fixedly positioned between the offset pulleys which make up second pulley arrangement 320 and a lower swing arm position plate 1832 has been fixedly positioned between the pulleys which make up first pulley arrangement 312. The first and second pulley arrangements are described in detail above with respect to Figure 12. In one embodiment, the upper and lower swing arm position plates are identical with respect to one another, except that they are angularly offset, as best seen in Figure 32. Each position plate may include an overall disk-like configuration (not shown) and a slotted aperture arrangement (not shown) which cooperates with the elongated slots defined by the pulleys of each split pulley arrangement, as will be apparent to one of ordinary skill in the art in view of Figures 12 and 32, for purposes of capturing the position sensor plate between the pulleys which make up each split pulley pair. Alternatively, a sensor interrupter flange may be attached to a side margin of either pulley of the split pulley pairs so as to function in an equivalent manner. A lower sensor arrangement bracket 1834 supports a lower pulley position sensor arrangement 1836a having a transmitter 1838 and a detector 1840, that are positionally interchangeable, for purposes of detecting the edges of upper swing arm position plate 1830. In one embodiment, one of the transitions indicates the home position of the associated swing arm. If so desired, calibration of this home position may be accomplished by rotation of the swing arm in a desired direction using precision control of the associated motor in a manner that will be familiar to those of ordinary skill in the art in view of this overall disclosure. It is noted that electrical cabling to transmitter 1838 and detector 1840 have not been shown for purposes of illustrative clarity. An upper pulley position sensor arrangement 1836b (Figure 30) is essentially identical to the lower pulley position sensor arrangement with the exception that an upper sensor arrangement bracket 1842 is used to appropriately position its transmitter/detector pair. Thus, the upper and lower sensor arrangements are positioned on opposing sides of the swing arm drive pulleys. Further, transmitter 1838 and detector 1840 are useful as transmitter/detector pair 1827a of Figure 30.
Applicants have recognized that a number of advantages are associated with the use of a separate drive motor for each swing arm. Of course, counterrotation is readily achieved, if so desired, in a way which emulates the motion provided by previously described swing arm arrangement 120. Swing arm arrangement 1800, surprisingly, has been found to enable what are considered as remarkable modifications and advantages with respect to the chamber arrangement in which it is used, as will be further described.
Referring now to Figure 33, swing arm arrangement 1800 is illustrated in a plan view as installed in a chamber arrangement 1900 including aforedescribed loadlock 20 and process chamber 24. It is noted that the lids are not shown on the chambers for illustrative purposes. Chamber arrangement 1900 includes a transfer chamber 1920 arranged between loadlock 20 and process chamber 24 such that wafers can be moved therebetween, via the transfer chamber. Slit door 706 is used to selectively seal loadlock 20 from transfer chamber 1920 and slit door 708 is used to selectively seal process chamber 24 from transfer chamber 1920. Accordingly, transfer chamber 1920 is selectively pressure isolatable from the process chamber and/or the loadlock.
Still referring to Figure 33, wafers are moved through transfer chamber 1920 along first and second wafer transfer paths 1930 and 1932, respectively, each of which is shown as a semicircular dashed line and is defined by the path taken by the center of the wafer through the transfer chamber. In the present example, slit doors 706 and 708 are shown in their closed positions with each of the first and second swing arm pairs illustrated at what may be referred to as a home position, without supporting wafers, for reasons yet to be described. For the illustrated home position of the swing arms, is noted that the upper and lower swing arms of each swing arm pair are vertically aligned and the width of wafer paddles 1810 is received entirely within the pressure isolatable volume defined by the transfer chamber. Stated in a slightly different way, the transfer chamber defines a configuration of lateral extents in which the transfer arrangement is receivable in pressure isolation from the loadlock and the process chamber. In this regard, portions 1934 of the wafer paddles associated with swing arm arrangement 1802b are shown in phantom using dashed lines as they extend into the slit door opening leading into loadlock 20. Thus, these portions of the wafer paddles are adjacent to closed slit door 706. It is to be understood that any home position can be used within the transfer chamber so long as the swing arms do not interfere with the slit door valves that are arranged its opposing sides. Moreover, the home position can employ a slight rotational offset between the upper and lower swing arm of each swing arm pair which may facilitate individually sensing or detecting the presence or absence of a wafer on each wafer paddle. With respect to vertical or "Z" motion using lift motor 152 (shown in Figure
30), such movement is not limited to the home position, but may be performed at any appropriate position or during rotational movement of the swing arms such that the vertical movement occurs over a range of rotation of the swing arms. Consideration should be given to the vertical height or width of the slit doors, since at least the wafer will undergo vertical movement within the confined vertical extents of at least one of the slit doors, as will be further described.
An outline of a wafer 1950 is illustrated using a dashed line in Figure 33. Based on the latter, it is evident that the lateral extents of transfer chamber 1920 with respect to the distance between slit door 706 and slit door 708, while being capable of receiving the width of paddles 1810 therebetween, is less than the diameter of the wafer, as will be further described.
Referring to Figure 34 in conjunction with Figure 33, the former is a diagrammatic plan view that illustrates swing arm arrangement 1802a engaged in a transfer operation. It is noted that slit doors 706 and 708 have not been shown for purposes of clarity in the figure, but are necessarily open during a transfer operation. While swing arm arrangement 1802b could be used to simultaneously perform a similar operation, the present example serves to illustrate the independent nature of the two swing arm arrangements. Swing arm arrangement 1802a is shown with swing arm 1806-1 positioned at processing station 26b and swing arm 1806-2 positioned at wafer column 700. While wafers have not been illustrated at the wafer column or the process station, it is to be understood that, with respect to picking up and placing wafers, this embodiment operates in essentially the same manner as the embodiment described above. Swing arm arrangement 1802a is also illustrated in phantom at its home position, supporting wafer 1950. Rotation from the home position to/from wafer column 700 requires movement through an angle α while rotation to/from the home position to process station 26a requires movement through an angle β. It is noted that these angular values do not change with respect to whether a swing arm is the upper or lower one of each swing arm pair. Unlike the aforedescribed embodiment of Figure 20, these two angular values, as is clearly seen in Figure 34, are different from one other. Specifically, angle α is less than angle β.. As mentioned above, accommodation of the use of different angular offset values is achieved through the use of separate and independently controlled swing arm drive motors.
Having established that angular offset α from the home position to the wafer column in the loadlock is different from angular offset β from the home position to the process station, it should be appreciated that a number of alternative approaches may be used with respect to rotational movement of the upper and lower swing arm of a particular swing arm pair to and from the home position using separate drive motors. For example, the swing arms can be rotated at differing angular velocities so as to arrive at their destinations at approximately the same time. Alternatively, the swing arms can be rotated at least approximately at the same angular velocity so that the swing that is traveling through angle α arrives at its destination prior to the swing arm that is traveling through angle β. Of course, it is contemplated that many bidirectional and counter-rotational motions of the swing arms will take place between the loadlock and one of the process stations (i.e., an angular value of α+β). In this case, both swing arms will rotate by the same total angle of α+β and, hence, both swing arms will arrive at their destinations at approximately the same time when rotated at approximately the same angular velocity. .
Referring to Figure 34, it is clearly seen that wafer 1950, which is shown as if supported by swing arm arrangement 1802a in its home position, extends partially into loadlock 20. It should be appreciated, in this regard, that the lateral extents of the loadlock are insufficient to house a wafer. Thus, for purposes of the illustration of this figure, at least the slit door leading into the loadlock must be in its opened position when a wafer is supported by a wafer paddle in its home position. Moreover, if a vertical movement is performed in the home position, wafer 1950 extends into loadlock 20 through the associated slit door such that the vertical extents of this slit door must be sufficient to accommodate the vertical movement. In accordance with this embodiment, wafers are never present on the transport arrangement when both slit doors are closed. That is, wafers are transferred through the loadlock such that the wafer paddles are always empty when the transfer chamber is in vacuum isolation from the loadlock and process chamber. For any given position of a wafer along wafer transfer paths 1930 and 1932, during transport between the loadlock and process chamber, the wafer would interfere with at least one of the loadlock and the process chamber in a way which would not provide for pressure isolation of the transfer chamber from the loadlock and transfer chamber. For this reason, a highly advantageous sensing arrangement is described below for confirming that the wafer paddles are empty prior to closing the slit doors.
Referring again to Figures 33 and 34, the concept of using differing angular offsets to the processing station and wafer station/column from the home position has been recognized by Applicants with respect to providing for a number of advantages with respect to the chamber arrangement that is employed. For purposes of the present Application, this concept may be referred to below as an "asymmetric offset configuration." For example, the asymmetric offset configuration allows transfer chamber 1920 to be significantly smaller than aforedescribed transfer chamber 22 (see, for example, Figure 20). It is readily apparent that the distance, which may be referred to as the transfer chamber length, between the opposing walls of transfer chamber 1920 which define slit doors 706 and 708 is reduced. As another example, because of the transfer chamber length reduction, the swing arms are also reduced in length. In an actual implementation, the swing arm length has been reduced by approximately 28%.
A number of advantages flow from the use of relatively shorter swing arms as part of the asymmetric offset configuration. For example, shorter swing arms provide for reducing the width of transfer chamber 1920. As another example, the tendency of the swing arms to droop is reduced. As still example, vibration of the distal end of each swing arm may be reduced dramatically since such vibration is generally a function of multiple powers of the length of the swing arm. As yet another example, wafer transfer times are reduced based on at least two factors. As a first factor, the distance between processing stations 26 and wafer columns 700 is actually reduced. As a second factor, the use of a shorter radius swing arm reduces rotation related forces to which a wafer is subjected during a transfer. Therefore, relatively higher rates of rotation can be employed. In combination, these factors cooperate to provide for markedly improved performance. Turning to Figures 33 and 35, as mentioned above, it is important that the wafer paddles are empty prior to closing swing arm doors 706 and 708. Accordingly, a sensing arrangement is employed whereby the presence of a wafer is independently sensed for each swing arm paddle 1810. This is accomplished using a "through the beam" sensor configuration that is illustrated in the figures under discussion wherein four sensors are arranged in a highly advantageous way. Each sensor is comprised of a transmitter mounted to the bottom of the loadlock and transfer chamber proximate to ports that are defined by the respective chambers. The transmitters are designated in Figure 33 as T1-T4. Figure 35 illustrates loadlock 20 and transfer chamber 1920 including lids 1960 and 1962, respectively, installed thereon which support detectors D1-D4 in a confronting relationship with respective ones of transmitters T-T4 such that the signal path between any transmitter/detector pair is disrupted when a wafer passes therethrough. Any suitable type of transmitter/detector pairs may be used and are readily commercially available for this purpose. It is noted that the transmitter/detector pairs may be referred to as S1-S4 below.
Referring to Figures 36a and 36b, system 1900 is diagrammatically illustrated, including sensors S1-S4. In Figure 36a, swing arm arrangements 1802a and 1802b are rotationally positioned such that the upper and lower swing arms of each swing arm pair are, at least approximately, vertically aligned. While this position may be a home position, it is not a requirement. However, it is considered that this position is highly advantageous, in cooperation with the positions of sensor pair S3 and S4, for purposes of confirming that the paddles of all of the swing arms are empty. Such a confirmation is useful prior to closing the slit doors, described above, in order to avoid interference between a door and an unexpected wafer.
In Figure 36b, all swing arm paddles are illustrated as carrying wafers, designated as 1950-1 through 1950-4, and the sensors are shown as if the wafers are transparent for purposes of the present discussion. Upper swing arms 1806-1 and 1808-1 are shown as rotated downward, in the view of the figure, so that these swing arms align with sensors S2 and S1 , respectively, for purposes of sensing for the presence of wafers 1950-2 and 1950-1. Thus, the presence or absence of a wafer with respect to individual ones of the swing arms can be confirmed, for example, when all of the paddles are expected to be supporting wafers. Accordingly, this sensor arrangement is considered to be highly advantageous with respect to confirming an anticipated status of each wafer paddle. At any point that the detected wafer status is inconsistent with the anticipated status, an alarm can be sounded in order to correct the detected problem.
Another embodiment of a system, produced in accordance with the present invention, is illustrated in Figure 37 and generally indicated by the reference number 2000. System 2000 includes previously described swing arm arrangement 1800 installed in a loadlock 2002. In this embodiment, no transfer chamber is used so as to provide for a slighter broader range of positions that may be used as a home position as well as eliminating the slit door between the transfer chamber and loadlock (as shown in Figure 33). Embodiments which do not use a transfer chamber are useful in circumstances such as, for example, when long process times are employed wherein the wafer transfer time is a relatively small fraction of the process time.
Referring to Figure 30, it is noted that all embodiments contemplated herein using lift motor 152, or any equivalent vertical lift stage, are advantageous with respect to an ability to tune the motion profile experienced by the associated swing arms. That is, when motor 152 is activated to change the height of the swing arms, at some time during the period when the swing arms move between the process chamber and the loadlock, the swing arms will react based on the motion profile, as well as their mechanical characteristics. Of concern with respect to the motion profile is its acceleration component and, more particularly, its vertical component of acceleration induced using the vertical lift stage, which can cause bouncing and/or oscillation that may be implicated in particle generation through producing relative motion between a paddle and wafer supported thereby. Accordingly, motor 152 can be driven in accordance with motion profiles, in conjunction with the mechanical characteristics of the swing arms, that result in minimal bounce and/or oscillation of the swing arms and paddles. It is considered that one having ordinary skill in the art is capable of developing appropriate motion profiles in view of the recognition brought to light herein.
Although each of the aforedescribed physical embodiments have been illustrated with various components having particular respective orientations, it should be understood that the present invention may take on a variety of specific configurations with the various components being located in a wide variety of positions and mutual orientations. Furthermore, the methods described herein may be modified in an unlimited number of ways, for example, by reordering, modifying and recombining the various steps. Accordingly, it should be apparent that the arrangements and associated methods disclosed herein may be provided in a variety of different configurations and modified in an unlimited number of different ways, and that the present invention may be embodied in many other specific forms without departing from the spirit or scope of the invention. Therefore, the present examples and methods are to be considered as illustrative and not restrictive, and the invention is not to be limited to the details given herein.

Claims

WHAT IS CLAIMED IS:
1. In a wafer processing system in which at least one wafer is movable between a loadlock and a processing chamber, said wafer including a wafer diameter, an apparatus comprising: a transfer chamber arranged for selective pressure communication with said loadlock and said processing chamber, said transfer chamber having a configuration of lateral extents such that said wafer is movable through said transfer chamber between the loadlock and processing chamber along a wafer transfer path and said configuration of lateral extents causes said wafer, having said wafer diameter and moving along the wafer transfer path, to interfere with at least one of the loadlock and the processing chamber for any given position along the wafer transfer path.
2. The apparatus of claim 1 wherein said wafer includes a wafer center and the wafer transfer path is defined by movement of said wafer center through the transfer chamber.
3. The apparatus of claim 1 , further comprising: a transfer arrangement supported in said transfer chamber for moving the wafer between the loadlock and the processing chamber along the wafer transfer path and including a transfer arrangement configuration which cooperates with the configuration of lateral extents of the transfer-chamber such that the transfer arrangement, without supporting said wafer, can be in pressure isolation in the transfer chamber from both the loadlock and the processing chamber at a home position.
4. The apparatus of claim 3 wherein said system includes a first door between the loadlock and the transfer chamber and a second door between the processing chamber and the transfer chamber, each of the first and second doors being movable between an open position and a closed position such that the transfer chamber is selectively pressure isolatable from each of the loadlock and the processing chamber and wherein said transfer arrangement, in said home position and without supporting said wafer, is configured to be received between said first door and said second door with both doors in the closed position.
5. The apparatus of claim 4 wherein the wafer supported by said transfer arrangement in the home position interferes with at least one of the first and second doors when the doors are in said closed position.
6. The apparatus of claim 5 wherein said transfer arrangement includes at least one swing arm having an elongated length that extends to a distal end which defines a paddle for receiving said wafer thereon and said swing arm, including said paddle, is entirely receivable within a pressure isolatable volume that is defined by the transfer chamber when the swing arm is in said home position.
7. The apparatus of claim 4 further comprising a sensing arrangement for detecting the presence of said wafer on said transfer arrangement for use in aborting the closing of at least one of the first door and the second door responsive to detecting the presence of the wafer.
8. A system for processing wafers comprising: at least one loadlock; a transfer chamber in selective pressure communication with said loadlock; a processing chamber including at least one processing station such that the processing chamber is in selective communication with said transfer chamber and said wafers can be transferred between the loadlock and the processing chamber through the transfer chamber; and a swing arm arrangement including at least one swing arm that is pivotally supported in said transfer chamber and having a distal end that is configured for moving said wafers between the loadlock and the processing chamber, said swing arm being positionable in a home position within said transfer chamber, when said loadlock and said transfer chamber are in isolation from one another, and configured for swinging said distal end a first angular displacement in one direction from the home position to said loadlock and for swinging said distal end a second angular displacement in an opposite direction from said home position to said processing station such the first angular displacement is different from said second angular displacement.
9. The system of claim 8 wherein said first angular displacement is less than said second angular displacement.
10. In a system for processing wafers at least including a loadlock having a wafer station and a processing chamber having a processing station, an improvement comprising: a transfer arrangement including a swing arm arrangement having at least a first swing arm and a second swing arm configured for coaxial rotation about a common axis of rotation for use in transporting the wafers between the wafer station in the loadlock and the processing station in the processing chamber, said first and second swing arms being configured so that one of the swing arms can rotate toward the processing station while the other one of the swing arms independently rotates toward the wafer station.
11. The improvement of claim 10 wherein each of the first and second swing arms moves through a home position in rotating between the wafer station and the processing station, and the wafer station is reached by rotating through a first angular offset from the home position with the processing station being reached by rotating through a second angular offset from the home position such that the first angular offset is different from the second angular offset.
12. The improvement of claim 11 wherein the first angular offset is less than the second angular offset.
13. The improvement of claim 11 wherein the first and second swing arms, in said home position, support a first one and a second one of said wafers, respectively, in a way which provides for sensing the presence of at least one of the first and second ones of the wafers.
14. The improvement of claim 13 further comprising: a sensor arrangement for sensing the presence of at least one of the first and second wafers when supported in a side-by-side generally spaced apart relationship.
15. The improvement of claim 10 wherein the first and second swing arms, in moving between said wafer station and said processing station, support a first one and a second one of said wafers, respectively, in a way which provides for individually sensing the presence of each one of the first and second ones of the wafers at intermediate and angularly displaced positions of the first and second swing arms.
16. The improvement of claim 10 wherein said swing arm arrangement includes a drive arrangement at least for selectively rotating the first swing arm and the second swing arm at different angular velocities.
17. The improvement of claim 10 wherein said swing arm arrangement includes a drive arrangement at least for selectively rotating the first swing arm and the second swing arm in opposite directions by different angular amounts.
18. The improvement of claim 17 wherein said first swing arm and said second swing arm each rotate at least approximately at the same given angular velocity in said opposite directions such that one of the swing arms rotates for a first length of time from the home position to reach the wafer station and the other one of the swing arms rotates for a second length of time, that is different from the first length of time, from the home position to reach the processing station.
19. The improvement of claim 16 wherein said drive arrangement includes a first motor for selectively rotating said first swing arm and a second motor for selectively rotating said second swing arm, independent of the rotation of the first swing arm.
20. In a system for processing wafers at least including a loadlock having a wafer station and a processing chamber having a processing station, an improvement comprising: a transfer arrangement including a swing arm configured for rotation about an axis of rotation for use in transporting the wafers between the wafer station and the processing station, said swing arm being configured to rotate in one direction by a first angular value from a home position to the processing station and to rotate in an opposite direction by a second angular value from the home position to reach the wafer station, and said first angular value is different from said second angular value.
21. The improvement of claim 20 wherein said loadlock and said processing chamber form portions of an overall chamber arrangement which cooperates with the transfer arrangement in a way which serves, at least in part, to define said home position of the swing arm.
22. The improvement of claim 21 wherein said loadlock and said processing chamber are pressure isolatable from one another substantially only when the swing arm is in the home position.
23. The improvement of claim 21 wherein said overall chamber arrangement includes a transfer chamber that is in selective communication with each of the loadlock and the processing chamber and said transfer arrangement is supported in the transfer chamber such that the home position is defined within the transfer chamber.
24. The improvement of claim 21 wherein said loadlock is in direct communication with said processing chamber and said transfer arrangement is supported in the loadlock such that the home position is defined within the loadlock.
25. In a wafer processing system in which at least one wafer is movable between a loadlock and a processing chamber, said wafer including a wafer diameter, a method comprising: arranging a transfer chamber for selective pressure communication with said loadlock and said processing chamber; and configuring said transfer chamber to include a configuration of lateral extents such that said wafer is movable through said transfer chamber between the loadlock and processing chamber along a wafer transfer path and said configuration of lateral extents causes said wafer, having said wafer diameter and moving along the wafer transfer path, to interfere with at least one of the loadlock and the processing chamber for any given position along the wafer transfer path.
26. The method of claim 25 wherein said wafer includes a wafer center and the wafer transfer path is defined by movement of said wafer center through the transfer chamber.
27. The method of claim 25, further comprising: supporting a transfer arrangement in said transfer chamber for moving the wafer between the loadlock and the processing chamber along the wafer transfer path having a transfer arrangement configuration which cooperates with the configuration of lateral extents of the transfer chamber such that the transfer arrangement, without supporting said wafer, can be in pressure isolation in the transfer chamber from both the loadlock and the processing chamber at a home position.
28. The method of claim 27 including providing, as part of the system, a first door between the loadlock and the transfer chamber and a second door between the processing chamber and the transfer chamber, each of the first and second doors being movable between an open position and a closed position such that the transfer chamber is selectively pressure isolatable from each of the loadlock and the processing chamber and wherein said transfer arrangement, in said home position, without supporting said wafer thereon, is configured to be received between said first door and said second door with both doors in the closed position.
29. The method of claim 28 wherein the wafer supported by said transfer arrangement in the home position interferes with at least one of the first and second doors when the doors are moved to said closed position.
30. A method for configuring a system for processing wafers, said method comprising: providing at least one loadlock; arranging a transfer chamber in selective pressure communication with said loadlock; configuring a processing chamber to include at least one processing station such that the processing chamber is in selective pressure communication with said transfer chamber and said wafers can be transferred between the loadlock and the processing chamber through the transfer chamber; and positioning a swing arm arrangement in said transfer chamber, including at least one swing arm that is pivotally supported, and said swing arm having a distal end that is configured for moving said wafers between the loadlock and the processing chamber, said swing arm being positionable in a home position within said transfer chamber, at least when said loadlock and said transfer chamber are in isolation from one another, and configured for swinging said distal end a first angular displacement in one direction from the home position to said loadlock and for swinging said distal end a second angular displacement in an opposite direction from said home position to said processing station such the first angular displacement is different from said second angular displacement.
31. The method system of claim 30 wherein said first angular displacement is less than said second angular displacement.
32. In a system for processing wafers at least including a loadlock having a wafer station and a processing chamber having a processing station, a method comprising: configuring a transfer arrangement to include a swing arm arrangement having at least a first swing arm and a second swing arm configured for coaxial rotation about a common axis of rotation for use in transporting the wafers between the wafer station in the loadlock and the processing station in the processing chamber, said first and second swing arms being configured so that one of the swing arms can rotate toward the processing station while the other one of the swing arms independently rotates toward the wafer station.
33. The method of claim 32 wherein said swing arm arrangement is configured such that each of the first and second swing arms moves through a home position in rotating between the wafer station and the processing station, and the wafer station is reached by rotating through a first angular offset from the home position with the processing station being reached by rotating through a second angular offset from the home position such that the first angular offset is different from the second angular offset.
34. The method of claim 33 wherein the first angular offset is less than the second angular offset.
35. The method of claim 32 wherein configuring said swing arm arrangement includes arranging a drive arrangement at least for selectively rotating the first swing arm and the second swing arm at different angular velocities.
36. In a system for processing wafers at least including a loadlock having a wafer station and a processing chamber having a processing station, a method comprising: configuring a transfer arrangement including a swing arm for rotation about an axis of rotation for use in transporting the wafers between the wafer station and the processing station, said swing arm being configured to rotate in one direction by a first angular value from a home position to the processing station and to rotate in an opposite direction by a second angular value from the home position to reach the wafer station, and said first angular value is different from said second angular value.
37. The method of claim 36 wherein said loadlock and said processing chamber form portions of an overall chamber arrangement which cooperates with the transfer arrangement in a way which serves, at least in part, to define said home position of the swing arm.
38. The method of claim 36 wherein said overall chamber arrangement includes a transfer chamber that is in selective communication with each of the loadlock and the processing chamber and supporting said transfer arrangement in the transfer chamber such that the home position is defined within the transfer chamber.
39. The method of claim 36 wherein said loadlock is in direct communication with said processing chamber and supporting said transfer arrangement in the loadlock such that the home position is defined within the loadlock.
PCT/US2005/028260 2004-08-17 2005-08-08 Advanced low cost high throughput processing platform WO2006023326A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN2005800268870A CN101019220B (en) 2004-08-17 2005-08-08 Advanced low cost high throughput processing platform
DE112005001989T DE112005001989T5 (en) 2004-08-17 2005-08-08 Cost-effective processing platform with high throughput
JP2007527868A JP4599405B2 (en) 2004-08-17 2005-08-08 Wafer transfer apparatus and wafer transfer method for wafer processing system

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/919,582 US8668422B2 (en) 2004-08-17 2004-08-17 Low cost high throughput processing platform
US10/919,582 2004-08-17
US11/097,412 2005-04-01
US11/097,412 US7658586B2 (en) 2004-08-17 2005-04-01 Advanced low cost high throughput processing platform

Publications (1)

Publication Number Publication Date
WO2006023326A1 true WO2006023326A1 (en) 2006-03-02

Family

ID=35967853

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2005/028260 WO2006023326A1 (en) 2004-08-17 2005-08-08 Advanced low cost high throughput processing platform

Country Status (4)

Country Link
JP (1) JP4599405B2 (en)
KR (1) KR100805397B1 (en)
DE (1) DE112005001989T5 (en)
WO (1) WO2006023326A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110634781A (en) * 2018-06-25 2019-12-31 东京毅力科创株式会社 Conveying device and conveying method
WO2021055762A1 (en) * 2019-09-19 2021-03-25 Applied Materials, Inc. Dithering or dynamic offsets for improved uniformity
US11701785B2 (en) 2020-05-21 2023-07-18 Kabushiki Kaisha Yaskawa Denki Substrate transport with mobile buffer

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101416780B1 (en) * 2007-01-23 2014-07-09 위순임 High speed substrate process system
KR100731924B1 (en) * 2006-12-04 2007-06-28 주식회사 나노트론 Process control method using apparatus for measuring substrate warpage, recording medium in which the process method is recorded and process apparatus for the process method
JP5670277B2 (en) * 2011-07-29 2015-02-18 東京エレクトロン株式会社 Substrate processing apparatus, program, computer storage medium, alarm display method, and substrate processing apparatus inspection method
WO2013151146A1 (en) 2012-04-06 2013-10-10 Nskテクノロジー株式会社 Exposure device and exposure method
JP6260461B2 (en) * 2014-06-06 2018-01-17 トヨタ自動車株式会社 Semiconductor manufacturing equipment
KR102483600B1 (en) 2020-02-05 2022-12-30 가부시키가이샤 야스카와덴키 Conveying system, conveying method and conveying device
JP7156332B2 (en) * 2020-05-21 2022-10-19 株式会社安川電機 Conveying Device, Conveying Method and Conveying System

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6318945B1 (en) * 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6350097B1 (en) * 1999-04-19 2002-02-26 Applied Materials, Inc. Method and apparatus for processing wafers
US6464448B1 (en) * 1998-09-01 2002-10-15 Brooks Automation, Inc. Substrate transport apparatus
US6585470B2 (en) * 2001-06-19 2003-07-01 Brooks Automation, Inc. System for transporting substrates
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
JP2000133689A (en) * 1998-10-22 2000-05-12 Hitachi Ltd Semiconductor device
US6347919B1 (en) * 1999-12-17 2002-02-19 Eaton Corporation Wafer processing chamber having separable upper and lower halves
US6429139B1 (en) * 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
JP4880941B2 (en) * 2005-08-02 2012-02-22 株式会社日立ハイテクノロジーズ Vacuum transfer apparatus and charged particle beam inspection apparatus provided with the same

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6464448B1 (en) * 1998-09-01 2002-10-15 Brooks Automation, Inc. Substrate transport apparatus
US6350097B1 (en) * 1999-04-19 2002-02-26 Applied Materials, Inc. Method and apparatus for processing wafers
US6318945B1 (en) * 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6585470B2 (en) * 2001-06-19 2003-07-01 Brooks Automation, Inc. System for transporting substrates
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110634781A (en) * 2018-06-25 2019-12-31 东京毅力科创株式会社 Conveying device and conveying method
CN110634781B (en) * 2018-06-25 2023-05-05 东京毅力科创株式会社 Conveying device and conveying method
WO2021055762A1 (en) * 2019-09-19 2021-03-25 Applied Materials, Inc. Dithering or dynamic offsets for improved uniformity
US11701785B2 (en) 2020-05-21 2023-07-18 Kabushiki Kaisha Yaskawa Denki Substrate transport with mobile buffer

Also Published As

Publication number Publication date
JP4599405B2 (en) 2010-12-15
KR100805397B1 (en) 2008-02-20
DE112005001989T5 (en) 2007-08-02
KR20060058086A (en) 2006-05-29
JP2008510317A (en) 2008-04-03

Similar Documents

Publication Publication Date Title
US7658586B2 (en) Advanced low cost high throughput processing platform
WO2006023326A1 (en) Advanced low cost high throughput processing platform
US5404894A (en) Conveyor apparatus
US8382088B2 (en) Substrate processing apparatus
JP2020188285A (en) Substrate transfer device with multiple movable arms utilizing mechanical switch mechanism
US6071055A (en) Front end vacuum processing environment
US6270306B1 (en) Wafer aligner in center of front end frame of vacuum system
EP1195795A2 (en) Vacuum apparatus and method
US20060251499A1 (en) Linear substrate delivery system with intermediate carousel
US10403528B2 (en) Substrate-processing apparatus and method of manufacturing semiconductor device
EP1052681B1 (en) Apparatus for processing wafers
US11610796B2 (en) Equipment front end modules including multiple aligners, assemblies, and methods
US20200395232A1 (en) Substrate process apparatus
KR102058985B1 (en) Load station
JP2545591B2 (en) Wafer processing equipment
US10896835B2 (en) Processing system
US11511950B2 (en) Substrate flipping device
KR19980024442A (en) A relay device for conveying an object to be processed
WO2023023179A1 (en) Factory interface with redundancy
JP2023105587A (en) Pusher, conveyance device and substrate processing device
WO2024035614A1 (en) Multi-size wafer handling frame
JPWO2020252476A5 (en)

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 1020067000664

Country of ref document: KR

AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KM KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NG NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU LV MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

WWP Wipo information: published in national office

Ref document number: 1020067000664

Country of ref document: KR

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 200580026887.0

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 2007527868

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1120050019896

Country of ref document: DE

RET De translation (de og part 6b)

Ref document number: 112005001989

Country of ref document: DE

Date of ref document: 20070802

Kind code of ref document: P

122 Ep: pct application non-entry in european phase