WO2006033836A2 - Treating agent materials - Google Patents

Treating agent materials Download PDF

Info

Publication number
WO2006033836A2
WO2006033836A2 PCT/US2005/031936 US2005031936W WO2006033836A2 WO 2006033836 A2 WO2006033836 A2 WO 2006033836A2 US 2005031936 W US2005031936 W US 2005031936W WO 2006033836 A2 WO2006033836 A2 WO 2006033836A2
Authority
WO
WIPO (PCT)
Prior art keywords
solvent
dielectric film
organosilicate glass
composition
glass dielectric
Prior art date
Application number
PCT/US2005/031936
Other languages
French (fr)
Other versions
WO2006033836A3 (en
Inventor
Anil S. Bhanap
Boris A. Korolev
Roger Y. Leung
Beth C. Munoz
Teresa A. Ramos
Robert R. Roth
Paul G. Apen
Denis H. Endisch
Brian J. Daniels
Ananth Naman
Nancy Iwamoto
Original Assignee
Honeywell International Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/940,686 external-priority patent/US8475666B2/en
Application filed by Honeywell International Inc. filed Critical Honeywell International Inc.
Priority to JP2007531317A priority Critical patent/JP5161571B2/en
Priority to EP05806419A priority patent/EP1803149A2/en
Publication of WO2006033836A2 publication Critical patent/WO2006033836A2/en
Publication of WO2006033836A3 publication Critical patent/WO2006033836A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer

Definitions

  • the invention concerns a treating agent composition for organosilicate glass dielectric films. More particularly, the invention pertains to a method for restoring hydrophobicity to the surfaces of organosilicate glass dielectric films which have been subjected to an etching or ashing treatment in such a way as to remove at least a portion of previously existing carbon containing moieties, resulting in a film having reduced hydrophobicity.
  • These treated films are used as insulating materials in the manufacture of semiconductor devices such as integrated circuits ("ICs"), in order to ensure low dielectric constant and stable dielectric properties in these films.
  • compositions include a silane based monomer with reactive leaving groups, an activating agent which may be an acid, a base, an onium compound, a dehydrating agent, and combinations thereof and a solvent or mixture of a main solvent and a co-solvent.
  • an activating agent which may be an acid, a base, an onium compound, a dehydrating agent, and combinations thereof and a solvent or mixture of a main solvent and a co-solvent.
  • Porous low k's have even more catastrophic effects from reactive etch and ash gases due to diffusion through the film, which causes a greater extent of damage at the internal pore walls.
  • the films rehydroxylate and hydrogen bond with water. Because water has a dielectric constant of 70, small amounts that are absorbed for porous materials and adsorbed for dense materials cause the dielectric constant to go up significantly. Also, porous materials tend to void after copper annealing due to the high tensile stress fields which will destroy device yields. None of these are acceptable and lead to unviable materials.
  • low dielectric constant materials for interlevel dielectric (ILD) and intermetal dielectric (IMD) applications will help to solve these problems. While there have been previous efforts to apply low dielectric constant materials to integrated circuits, there remains a longstanding need in the art for further improvements in processing methods and in the optimization of both the dielectric and mechanical properties of such materials. Device scaling in future integrated circuits clearly requires the use of low dielectric constant materials as a part of the interconnect structure. Most candidates for low dielectric constant materials for use in sub-lOOnm generation ICs are carbon containing SiO 2 films formed by either CVD or spin-on methods.
  • Treating agents react with the damaged re-hydroxylated surfaces and re-alkylate or re-arylate them which in- turn restores the dielectric constant.
  • the following reaction describes the an exemplary -re-methylation process: SiOH (damaged surface) + RxSi(OCOCH 3 )y (TA) yields SiOSiRx (repaired surface) + (CH 3 COOH)y (acetic acid).
  • the re-methylation prevents void formation.
  • a treating agent allows for conventional etch and ash processes to be utilized with low and ultra low dielectric constant materials.
  • the treatment could result in replenishment of carbon to the low-k film, thereby restoring hydrophobicity and resistance to further damage during a wet cleaning operation.
  • Silylating agents can methylate the surface of SiO 2 based materials. Contemplated exposure includes vapor exposure (with or without plasma), spin coating and supercritical CO 2 .
  • SiCOH based porous low- k materials are susceptible to void formation in ILD during Cu damascene processing. After a treating agent treatment, the resulting structure is significantly more resistant to void formation. Without being bound to any specific theory or mechanism, it is believed that plasma damage causes carbon depletion in the dielectric, by replacing Si-CH 3 bonds with Si-OH bonds. In damaged porous dielectrics, the pore surface is now covered with Si-OH bonds, hi the presence of tensile stress (such as after Cu annealing), adjacent Si-OH groups can condense, thus causing local densification. The evolving reaction products and the stretching of the molecules due to the new links formed, causes voids to occur near the center of the ILD space. Treating agents prevent void formation by replacing most Si-OH bonds by Si-O-Si-Rx bonds, which avoid condensation reactions. Therefore void formation does not occur.
  • the treating agent composition treatment performed after dielectric trench and via formation and etching and ashing steps repairs carbon depletion and damage to the low-k materials.
  • voids are deterred and the later can withstand internal stresses caused by annealing treatments to the metal filling the trenches and vias.
  • the treating agent composition treatment is conducted by exposing the wafer surface to the silylating agent in liquid or gas form for a period sufficient to complete the reaction with the damaged low-K region.
  • a high temperature bake can be performed to remove remaining solvent and excess treating agent.
  • a wet cleaning operation can be performed immediately after the treating agent application, or after the baking step, using a commercially available chemical compatible with the low-k dielectric.
  • a dehydration bake may be performed before the treating agent treatment, to increase effectiveness of the treating agent treatment.
  • the effectiveness of the treating agent treatment can be verified using unpatterned low-k dielectric films subjected to etching and ashing processing followed by the treating agent treatment.
  • a successful treating agent treatment results in increased carbon concentration that can be measured by FTIR, EDX, or XPS techniques. Additionally, a water contact angle increase is seen, which demonstrates the hydrophobic nature of the post-treatment surface.
  • the treating agent treated film also shows a lower dielectric constant extracted from C-V measurements, compared to an etched/ashed film that is not treated with treating agent.
  • the effectiveness of the treating agent treatment is demonstrated by reduction or elimination of voids in the low-k dielectric in narrow spaces between Cu trenches after a copper anneal treatment following electroplating of copper, and also by lower profile change in trenches or vias after exposure to reactive solvents.
  • treating agents are made by using silane based monomers with reactive leaving groups together with an activating agent which may be an amine, an onium compound, an alkali metal hydroxide, or combinations thereof.
  • the composition further comprises a solvent which comprises ethylacetoacetate, methyl acetoacetate, t-butyl acetoacetate, 2- methoxyethyl acetoacetate, allyl acetoacetate, benzyl acetoacetate, nonyl acetate, 2-(2-butoxyethoxy)ethyl acetate, phenthyl acetate, 2-butoxyethyl acetate, 2- ethylhexyl acetate, alpha-methylbenzyl acetate, dimethylsulfoxide, N-methyl-N- methoxyacetamide, N,N-diethyl-2-phenylacetamide, N,N-dimethylacetamide, N,N-diethylacetamide, N,N-diphenylacetamide, N,N-dimethypropionamide, N 5 N- dimethylisobutyramide, 1,2-dichlorobenzene, chlorotolu
  • the composition further comprises a mixture, preferably a miscible mixture of a main solvent and a co-solvent, which mixture is capable of solubilizing the component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation and the activating agent; which co-solvent has a higher vapor pressure and/or boiling point than the main solvent.
  • the invention provides a composition for treating an organosilicate glass dielectric film which comprises: a) a component capable of alkylating or arylating silanol moieties of an organosilicate glass dielectric film via silylation, and b) an activating agent.
  • the invention also provides a method which comprises: a) forming an organosilicate glass dielectric film; b) contacting the organosilicate glass dielectric film with a composition which comprises a component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation; and an activating agent.
  • the invention further provides a method for deterring the formation of stress- induced voids in an organosilicate glass dielectric film on a substrate, which organosilicate glass dielectric film has been subjected to at least one step which removes at least a portion of previously existing carbon containing moieties or reduces hydrophobicity of said organosilicate glass dielectric film, comprising contacting the organosilicate glass dielectric film, after being subjected to at least one step which removes at least a portion of previously existing carbon containing moieties or reduces hydrophobicity of said organosilicate glass dielectric film, with a composition at a concentration and for a time period effective to restore at least some of the carbon containing moieties hydrophobicity or increase the hydrophobicity of the organosilicate glass dielectric film, wherein the composition comprises: a) a component capable of alkylating or arylating silanol moieties of a organosilicate glass dielectric film via silylation, and
  • the invention still further provides a method for forming a microelectronic device which comprises: a) forming an organosilicate glass dielectric film on a substrate; b) subjecting the organosilicate glass dielectric film to at least one step which removes at least a portion of previously existing carbon containing moieties or reduces hydrophobicity of said organosilicate glass dielectric film; c) contacting the organosilicate glass dielectric film with a composition at a concentration and for a time period effective to restore at least a portion of previously existing carbon containing moieties or increase the hydrophobicity of the organosilicate glass dielectric film, wherein the composition comprises a component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation; and an activating agent.
  • the invention still further provides a method for forming a microelectronic device which comprises: a) applying an organosilicate glass dielectric film onto a substrate; b) forming a pattern of vias and trenches in the organosilicate glass dielectric film, and subjecting the organosilicate glass dielectric film to at least one treatment which removes at least a portion of previously existing carbon containing moieties or reduces hydrophobicity of said organosilicate glass dielectric film; c) contacting the organosilicate glass dielectric film with a treating agent composition at a concentration and for a time period effective to increase the hydrophobicity of the organosilicate glass dielectric film, wherein the treating agent composition comprises a component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation, and an activating agent.
  • dielectric materials having low dielectric constants typically below 3 are especially desirable because they typically allow faster signal propagation, reduce capacitive effects and cross talk between conductor lines, and lower voltages to drive integrated circuits.
  • This invention relates to both porous and non-porous dielectric materials.
  • One material with a low dielectric constant is silica which can be applied as a foamed dielectric material.
  • air is introduced into silica dielectric materials. Air has a dielectric constant of 1, and when air is introduced into a silica dielectric material in the form of nanoporous or nanometer-scale pore structures, relatively low dielectric constants ("k") are achieved.
  • the term "silica” as used herein, for example, with reference to porous and non-porous dielectric films, is intended to refer to dielectric films prepared by the inventive methods from an organic or inorganic glass base material, e.g., any suitable starting material containing one or more silicon-based dielectric precursors. It should also be understood that the use of singular terms herein is not intended to be so limited, but, where appropriate, also encompasses the plural, e.g., exemplary processes of the invention may be described as applying to and producing a "film” but it is intended that multiple films can be produced by the described, exemplified and claimed processes, as desired.
  • nanoporous silica is attractive because it employs similar precursors, including organic-substituted silanes, e.g., tetramethoxysilane ("TMOS”) and/or tetraethoxysilane (“TEOS”), as are used for the currently employed spin-on-glasses (“SOG”) and chemical vapor disposition (“CVD”) silica SiO 2 .
  • TMOS tetramethoxysilane
  • TEOS tetraethoxysilane
  • SOG spin-on-glasses
  • CVD chemical vapor disposition
  • the composition of the gas is generally not critical, and appropriate gases include relatively pure gases and mixtures thereof, including air.
  • the nanoporous polymer may comprise a plurality of pores. Pores are typically spherical, but may alternatively or additionally have any suitable shape, including tubular, lamellar, discoidal, or other shapes. The pores may be uniformly or randomly dispersed within the porous polymer. It is also contemplated that the pores may have any appropriate diameter. It is further contemplated that at least some pores may connect with adjacent pores to create a structure with a significant amount of connected or "open" porosity.
  • Nanoporous silica films have previously been fabricated by a number of methods. Suitable silicon-based precursor compositions and methods for forming nanoporous silica dielectric films, are described, for example, by the following co-owned U.S. patents 6,048,804, 6,022,812; 6,410,149; 6,372,666; 6,509,259; 6,218,497; 6,143,855, 6,037,275; 6,042,994; 6,048,804; 6,090,448; 6,126,733; 6,140,254; 6,204,202; 6,208,041; 6,318,124 and 6,319,855 all incorporated herein by reference herein.
  • dielectric and low dielectric materials comprise inorganic-based compounds, such as the silicon-based disclosed in commonly assigned pending U.S. patent application serial No. 10/078919 filed February 19, 2002; (for example NANOGLASS® and HOSP® products commercially available from Honeywell International Inc.).
  • the dielectric and low dielectric materials may be applied by spin coating the material on to the surface, dip coating, spray coating, chemical vapor deposition (CVD), rolling the material onto the surface, dripping the material on to the surface, and/or spreading the material onto the surface.
  • CVD chemical vapor deposition
  • Dielectrics useful for this invention include CVD deposited materials, such as carbon doped oxides for example, Black Diamond, commercially available from Applied Materials, Inc., Coral, commercially available from Novellus, Aurora, which is commercially available from ASM, and Orion, which is commercially available from Trikon.
  • CVD deposited materials such as carbon doped oxides for example, Black Diamond, commercially available from Applied Materials, Inc., Coral, commercially available from Novellus, Aurora, which is commercially available from ASM, and Orion, which is commercially available from Trikon.
  • spin-on material As used herein, the phrases "spin-on material”, “spin-on organic material”, “spin- on composition” and “spin-on inorganic composition” may be used interchangeable and refer to those solutions and compositions that can be spun-on to a substrate or surface using the spin coating application process.
  • silicon-based compounds comprise siloxane compounds, such as methylsiloxane, methylsilsesquioxane, phenylsiloxane, phenylsilsesquioxane, methylphenylsiloxane, methylphenylsilsesquioxane, silazane polymers, silicate polymers and mixtures thereof.
  • a contemplated silazane polymer is perhydrosilazane, which has a "transparent" polymer backbone where chromophores can be attached.
  • Spin-on-glass materials also includes siloxane polymers and block polymers, hydrogensiloxane polymers of the general formula (Ho-i.oSiOi.5.2.o)x and hydrogensilsesquioxane polymers, which have the formula (HSiOLs) x , where x is greater than about four. Also included are copolymers of hydrogensilsesquioxane and an alkoxyhydridosiloxane or hydroxyhydridosiloxane.
  • Spin-on glass materials additionally include organohydridosiloxane polymers of the general formula (Ho-i.oSiOi.5.2.o)n(Ro-i.oSiOi.5..2.o)inj and organohydridosilsesquioxane polymers of the general formula (HSiOLs) n (RSiOLs) n I 5 where m is greater than zero and the sum of n and m is greater than about four and R is alkyl or aryl.
  • organohydridosiloxane polymers have the sum of n and m from about four to about 5000 where R is a C 1 -C 20 alkyl group or a C 6 -C 12 aryl group.
  • organohydridosiloxane and organohydridosilsesquioxane polymers are alternatively denoted spin-on-polymers.
  • Some specific examples include alkylhydridosiloxanes, such as methylhydridosiloxanes, ethylhydridosiloxanes, propylhydridosiloxanes, t-butylhydridosiloxanes, phenylhydridosiloxanes; and alkylhydridosilsesquioxanes, such as methylhydridosilsesquioxanes, ethylhydridosilsesquioxanes, propylhydridosilsesquioxanes, t-butylhydridosilsequioxanes, phenylhydridosilsesquioxanes, and combinations thereof.
  • organohydridosiloxane and organosiloxane resins can be utilized for forming caged siloxane polymer films that are useful in the fabrication of a variety of electronic devices, micro-electronic devices, particularly semiconductor integrated circuits and various layered materials for electronic and semiconductor components, including hard mask layers, dielectric layers, etch stop layers and buried etch stop layers.
  • These organohydridosiloxane resin layers are compatible with other materials that might be used for layered materials and devices, such as adamantane-based compounds, diamantane-based compounds, silicon-core compounds, organic dielectrics, and nanoporous dielectrics.
  • Compounds that are considerably compatible with the organohydridosiloxane resin layers contemplated herein are disclosed in U.S.
  • Suitable organohydridosiloxane resins utilized herein have the following general formulas:
  • R is selected from substituted and unsubstituted, normal and branched alkyls (methyl, ethyl, butyl, propyl, pentyl), alkenyl groups (vinyl, allyl, isopropenyl), cycloalkyls, cycloalkenyl groups, aryls (phenyl groups, benzyl groups, naphthalenyl groups, anthracenyl groups and phenanthrenyl groups), and mixtures thereof; and wherein the specific mole percent of carbon containing substituents is a function of the ratio of the amounts of starting materials.
  • LOSP embodiments particularly favorable results are obtained with the mole percent of carbon containing substituents being in the range of between about 15 mole percent to about 25 mole percent. In some HOSP embodiments, favorable results are obtained with the mole percent of carbon containing substituents are in the range of between about 55 mole percent to about 75 mole percent.
  • Nanoporous silica dielectric films with dielectric constants ranging from about 1.5 to about 4 can also be used as one of the layers. Nanoporous silica films are laid down as a silicon-based precursor, aged or condensed in the presence of water and heated sufficiently to remove substantially all of the porogen and to form voids in the film.
  • the silicon-based precursor composition comprises monomers or prepolymers that have the formula: R x -Si-Ly, wherein R is independently selected from alkyl groups, aryl groups, hydrogen and combinations thereof, L is an electronegative moiety, such as alkoxy, carboxy, amino, amido, halide, isocyanato and combinations thereof, x is an integer ranging from 0 to about 2, and y is an integer ranging from about 2 to about 4.
  • R is independently selected from alkyl groups, aryl groups, hydrogen and combinations thereof
  • L is an electronegative moiety, such as alkoxy, carboxy, amino, amido, halide, isocyanato and combinations thereof
  • x is an integer ranging from 0 to about 2
  • y is an integer ranging from about 2 to about 4.
  • Other nanoporous compounds and methods can be found in U.S. patents 6,171,687; 6,172,128; 6,214,746; 6,313,185; 6,380,347; and 6,380,
  • cage structure refers to a molecule having at least 10 atoms arranged such that at least one bridge covalently connects two or more atoms of a ring system.
  • a cage structure, cage molecule or cage compound comprises a plurality of rings formed by covalently bound atoms, wherein the structure, molecule or compound defines a volume, such that a point located with the volume can not leave the volume without passing through the ring.
  • the bridge and/or the ring system may comprise one or more heteroatoms, and may be aromatic, partially saturated, or unsaturated.
  • Further contemplated cage structures include fullerenes, and crown ethers having at least one bridge.
  • an adamantane or diamantane is considered a cage structure, while a naphthalene compound or an aromatic spiro compound are not considered a cage structure under the scope of this definition, because a naphthalene compound or an aromatic spiro compound do not have one, or more than one bridge.
  • Contemplated cage compounds need not necessarily be limited to being comprised solely of carbon atoms, but may also include heteroatoms such as N, S, O, P, etc. Heteroatoms may advantageously introduce non-tetragonal bond angle configurations. With respect to substituents and derivatizations of contemplated cage compounds, it should be recognized that many substituents and derivatizations are appropriate.
  • hydrophilic substituents may be introduced to increase solubility in hydrophilic solvents, or vice versa.
  • polar side groups may be added to the cage compound.
  • appropriate substituents may also include thermolabile groups, nucleophilic and electrophilic groups.
  • Cage molecules or compounds can also be groups that are attached to a polymer backbone, and therefore, can form nanoporous materials where the cage compound forms one type of void (intramolecular) and where the crosslinking of at least one part of the backbone with itself or another backbone can form another type of void (intermolecular). Additional cage molecules, cage compounds and variations of these molecules and compounds are described in detail in PCT/USO 1/32569 filed on October 18, 2001, which is herein incorporated by reference in its entirety.
  • Contemplated polymers may also comprise a wide range of functional or structural moieties, including aromatic systems, and halogenated groups.
  • appropriate polymers may have many configurations, including a homopolymer, and a heteropolymer.
  • alternative polymers may have various forms, such as linear, branched, super-branched, or three- dimensional.
  • the molecular weight of contemplated polymers spans a wide range, typically between 400 Dalton and 400000 Dalton or more.
  • Additives can also be used to enhance or impart particular properties, as is conventionally known in the polymer art, including stabilizers, flame retardants, pigments, plasticizers, surfactants, and the like.
  • Compatible or non-compatible polymers can be blended in to give a desired property.
  • Adhesion promoters can also be used. Such promoters are typified by hexamethyldisilazane, which can be used to interact with available hydroxyl functionality that may be present on a surface, such as silicon dioxide, that was exposed to moisture or humidity. Polymers for microelectronic applications desirably contain low levels (generally less than 1 ppm, preferably less than 10 ppb) of ionic impurities, particularly for dielectric interlayers.
  • the materials, precursors and layers described herein can be and in many ways are designed to be solvated or dissolved in any suitable solvent, so long as the resulting solutions can be applied to a substrate, a surface, a wafer or layered material.
  • Typical solvents are also those solvents that are able to solvate the monomers, isomeric monomer mixtures and polymers.
  • Contemplated solvents include any suitable pure or mixture of organic or inorganic molecules that are volatilized at a desired temperature, such as the critical temperature, or that can facilitate any of the above-mentioned design goals or needs.
  • the solvent may also comprise any suitable single polar and non-polar compounds or mixture thereof.
  • the term “polar” means that characteristic of a molecule or compound that creates an unequal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound.
  • the term “non-polar” means that characteristic of a molecule or compound that creates an equal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound.
  • the solvent or solvent mixture (comprising at least two solvents) comprises those solvents that are considered part of the hydrocarbon family of solvents.
  • Hydrocarbon solvents are those solvents that comprise carbon and hydrogen. It should be understood that a majority of hydrocarbon solvents are non-polar; however, there are a few hydrocarbon solvents that could be considered polar.
  • Hydrocarbon solvents are generally broken down into three classes: aliphatic, cyclic and aromatic.
  • Aliphatic hydrocarbon solvents may comprise both straight-chain compounds and compounds that are branched and possibly crosslinked, however, aliphatic hydrocarbon solvents are not considered cyclic.
  • Cyclic hydrocarbon solvents are those solvents that comprise at least three carbon atoms oriented in a ring structure with properties similar to aliphatic hydrocarbon solvents.
  • Aromatic hydrocarbon solvents are those solvents that comprise generally three or more unsaturated bonds with a single ring or multiple rings attached by a common bond and/or multiple rings fused together.
  • Contemplated hydrocarbon solvents include toluene, xylene, p-xylene, m-xylene, mesitylene, solvent naphtha H, solvent naphtha A, alkanes, such as pentane, hexane, isohexane, heptane, nonane, octane, dodecane, 2-methylbutane, hexadecane, tridecane, pentadecane, cyclopentane, 2,2,4-trimethylpentane, petroleum ethers, halogenated hydrocarbons, such as chlorinated hydrocarbons, nitrated hydrocarbons, benzene, 1,2-dimethylbenzene, 1,2,4-trimethylbenzene, mineral spirits, kerosene, isobutylbenzene, methylnaphthalene, ethyltoluene, ligroine. Particularly contemplated solvents include, but are not limited to
  • the solvent or solvent mixture may comprise those solvents that are not considered part of the hydrocarbon solvent family of compounds, such as ketones, such as acetone, 3-pentanone, diethyl ketone, methyl ethyl ketone and the like, alcohols, ketones, esters, ethers and amines.
  • the solvent or solvent mixture may comprise a combination of any of the solvents mentioned herein.
  • the solvent comprises water, ethanol, propanol, acetone, ethylene oxide, benzene, toluene, ethers, cyclohexanone, butyrolactone, methylethylketone, and anisole.
  • alternative low dielectric constant material may also comprise additional components.
  • softeners or other protective agents may be added.
  • adhesion promoters may advantageously employed.
  • detergents or antifoam agents may be desirable.
  • a precursor in the form of, e.g., a spin-on-glass composition that includes one or more removable solvents, is applied to a substrate, and then polymerized and subjected to solvent removal in such a way as to form a dielectric film comprising nanometer-scale pores.
  • the film coating is typically catalyzed with an acid or base catalyst and water to cause polymerization/gelation ("aging") during an initial heating step.
  • the film is then cured, e.g., by subjecting the film to one or more higher temperature heating steps to, inter alia, remove any remaining solvent and complete the polymerization process, as needed.
  • Other curing methods include subjecting the film to radiant energy, e.g., ultraviolet, electron beam, microwave energy, and the like.
  • Co-owned U.S. patents 6,204,202 and 6,413,882, incorporated by reference herein, provide silicon-based precursor compositions and methods for forming nanoporous silica dielectric films by degrading or vaporizing one or more polymers or oligomers present in the precursor composition.
  • Co-owned U.S. patent 6,495,479 provides silicon-based precursor compositions and methods for forming nanoporous silica dielectric films by degrading or vaporizing one or more compounds or polymers present in the precursor composition.
  • patent 5,895,263 describes forming a nanoporous silica dielectric film on a substrate, e.g., a wafer, by applying a composition comprising decomposable polymer and organic polysilica i.e., including condensed or polymerized silicon polymer, heating the composition to further condense the polysilica, and decomposing the decomposable polymer to form a porous dielectric layer.
  • a composition comprising decomposable polymer and organic polysilica i.e., including condensed or polymerized silicon polymer
  • Substrates and wafers contemplated herein may comprise any desirable substantially solid material.
  • Particularly desirable substrate layers would comprise films, glass, ceramic, plastic, metal or coated metal, or composite material.
  • the substrate comprises a silicon or germanium arsenide die or wafer surface, a packaging surface such as found in a copper, silver, nickel or gold plated leadframe, a copper surface such as found in a circuit board or package interconnect trace, a via-wall or stiffener interface ("copper” includes considerations of bare copper and it's oxides), a polymer-based packaging or board interface such as found in a polyimide-based flex package, lead or other metal alloy solder ball surface, glass and polymers such as polyimide.
  • the "substrate” may even be defined as another polymer chain when considering cohesive interfaces.
  • the substrate comprises a material common in the packaging and circuit board industries such as silicon, copper, glass, and another polymer.
  • Subsequent semiconductor manufacturing processes such as deposition of cap film by PECVD techniques, and via and trench formation by patterning by means of etching and ashing, atomic layer deposition , physical vapor deposition, and a chemical vapor deposition treatment tend to remove carbon containing moieties which are hydrophobic groups from the organosilicate glass dielectric films and replace them with silanol groups.
  • Undesirable properties result when the organosilicate glass dielectric films contain silanol groups.
  • Silanols, and the water that they can adsorb from the air are highly polarizable in an electric field, and thus will raise the dielectric constant of the film, and will lower resistance to wet cleaning chemistries and increase volatile evolution.
  • metal shrinkage induces a stress on the via and trench walls and cause undesirable voids to form inside the dielectric material between the vias and/or trenches.
  • the organosilicate glass dielectric films are made substantially free of silanols and water by treatment with a treating agent to restore carbon containing moieties or increase the hydrophobicity of the organosilicate glass dielectric film.
  • This makes the film resistant to stresses on the via and trench walls, such as induced by metal shrinkage during annealing, stress from other dielectric layers, and stress during packaging, thus deters undesirable voids from forming inside the dielectric material between the vias and/or trenches.
  • Etching and plasma remove hydrophobic functional groups. Damage to organosilicate glass dielectric films during semiconductor manufacturing processes results from the application of aggressive plasmas and/or etching reagents to etch trenches and vias into dielectric films. Plasmas are also used to remove photoresist films during fabrication of semiconductor devices. The plasmas used are typically composed of the elements oxygen, fluorine, hydrogen, carbon, argon, helium or nitrogen (in the form of free atoms, compounds, ions and/or radicals).
  • Dielectric films which are exposed to these plasmas during trench, via, etch and/or photoresist removal are easily degraded or damaged.
  • Porous dielectric films have a very high surface area and are therefore particularly vulnerable to plasmas damage.
  • silica based dielectric films which have organic content (such as methyl groups bonded to Si atoms) are readily degraded by oxygen plasmas. The organic group is oxidized into CO 2 and a silanol or Si-OH group remains on the dielectric surface where the organic group formerly resided.
  • Porous and non-porous low dielectric constant silica films depend on such organic groups (on surfaces) to remain hydrophobic. Loss of the hydrophobicity makes the dielectric constant rise (the low dielectric constant of such films is the key desired property of such materials) .
  • wet chemical etchants include, for example, amides, such as N-methylpyrrolidinone, dimethylformamide, dimethylacetamide; alcohols such as ethanol and 2-propanol; alcoholamines such as ethanolamine; amines such as triethylamine; diamines such as ethylenediamine and N 5 N- diethylethylenediamine; triamines such as diethylenetriamine, diamine acids such as ethylenediaminetetracetic acid "EDTA”; organic acids such as acetic acid and formic acid; the ammonium salts of organic acids such as tetramethylammonium acetate; inorganic acids such as sulfuric acid, phosphoric acid, hydrofluoric acid; fluoride salts such as ammonium fluoride; and bases such as ammonium hydroxide and tetramethyl ammonium hydroxide; and hydroxyl amine; commercial formulations developed for post etch wet cleaning such as EKC 505, 525, 450, 265, 270,
  • the invention provides methods of imparting hydrophobic properties to organosilicate glass dielectric films present on a substrate during the process of fabricating a semiconductor or IC device.
  • the methods of the invention include the steps of contacting the organosilicate glass dielectric film, after being subjected to at least one etchant or ashing reagent, but before said metal has been subjected to an annealing treatment, with a treating agent composition at a concentration and for a time period effective to restore at least some of the carbon containing moieties to the organosilicate glass dielectric film and increase the hydrophobicity of the organosilicate glass dielectric film; and (b) removing unreacted treating agent composition, reaction products and mixtures thereof.
  • the treating agent composition includes at least one treating agent, i.e., a compound or charged derivative thereof, suitable for removing silanol moieties from the damaged silica dielectric film.
  • the etchant-damaged silica dielectric film is then subjected to wet cleaning step.
  • the overall treating agent composition comprises a component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation, an activating agent which may be an acid, a base, an onium compound, a dehydrating agent, and combinations thereof.
  • the composition optionally, but preferably also contains a selected solvent, or mixture of a main solvent and a co-solvent capable of solubilizing with the component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation, and the activating agent.
  • a suitable treating agent composition includes one or more treating agents able to remove silanol groups from the surface of an etched and/or ashed organosilicate glass dielectric film that it is desired to render hydrophobic. These may be silane, silazane, silanols, or carboxysilyl.
  • a treating agent is a compound having a Formula:
  • each R is an independently selected from hydrogen and a hydrophobic organic moiety.
  • the R groups are preferably independently organic moieties consisting of alkyl, aryl and combinations thereof.
  • the R 5 group may be H 5 alkyl, aryl, or carbonyl such as COR, CONR 3 CO 2 R.
  • the R" may be alkyl or carbonyl such as COR, CONR, CO 2 R
  • hydrolysis may occur spontaneously with moisture present during the treating agent application and process, or pre-hydrolysis may be forced during the formulation process.
  • the alkyl moiety is either functionalized or non-functionalized and is derived from groups of straight alkyl, branched alkyl, cyclic alkyl and combinations thereof, and wherein said alkyl moiety ranges in size from C 1 to about C 18 .
  • the functionalization may be a carbonyl, a halide, an amine, an alcohol, an ether, a sulfonyl or sulfide.
  • the treating agent is an acetoxysilane, or, for example, a monomer compound such as acetoxysilane, diacetoxysilane, triacetoxysilane, acetoxytrimethylsilane, diacetoxydimethylsilane, methyltriacetoxysilane, phenyltriacetoxysilane, diphenyldiacetoxysilane, methyltriethoxysilane, dimethyldiethoxysilane, trimethylethoxysilane, methyltrimethoxysilane, dimethyldimethoxysilane, trimethylmethoxysilane, methyltrichlorosilane, dimethyldichlorosilane, trimethylchlorsilane, methylsilane, dimethylsilane, trimethylsilane, hexamethyldisilazane, he
  • Additional treating agents include multifunctional surface modification agents as described in detail in U.S. patent 6,208,014, incorporated by reference herein, as described above. Such multifunctional surface modification agents can be applied in either vapor or liquid form, optionally with or without co-solvents.
  • certain preferred surface modification agents will have two or more functional groups and react with surface silanol functional groups while minimizing mass present outside the structural framework of the film, and include, e.g., surface silanols may condense with suitable silanols such as R x Si(OH 2 ) 4 - x
  • each R is independently selected moieties, such as H and/or an organic moiety such as an alkyl, aryl or derivatives of these.
  • R is an alkyl
  • the alkyl moiety is optionally substituted or unsubstituted, and may be straight, branched or cyclic, and preferably ranges in size from C 1 to about C 18 , or greater, and more preferably from C 1 to about C 8 .
  • R is aryl
  • the aryl moiety preferably consists of a single aromatic ring that is optionally substituted or unsubstituted, and ranges in size from C 5 to about C 18 , or greater, and more preferably from C 5 to about Cg.
  • the aryl moiety is a heteroaryl.
  • alkoxy silanes may be used as the treating agent, e.g. suitable alkoxy silanes such as wherein R are independently selected moieties, such as H and/or an organic moiety such as an alkyl, aryl or derivatives of these; R' are independently selected alkyl or aryl moieties.
  • R or R' is an alkyl
  • the alkyl moiety is optionally substituted or unsubstituted, and may be straight, branched or cyclic, and preferably ranges in size from C 1 to about C 18 , or greater, and more preferably from C 1 to about C 8 .
  • the aryl moiety preferably consists of a single aromatic ring that is optionally substituted or unsubstituted, and ranges in size from C 5 to about C 18 , or greater, and more preferably from C 5 to about C 8 .
  • the aryl moiety is a heteroaryl.
  • the R groups independently selected from H, methyl, ethyl, propyl, phenyl, and/or derivatives thereof, provided that at least one R is organic.
  • both R groups are methyl
  • a tri-functional surface modification agent is methyltrimethoxysilane.
  • a suitable silane according to the invention has the general formula of RxSi(NR 2 ) 4- ⁇
  • tri-functional treating agents include, e.g., tris(dimethylamino)methylsilane, tris(dimethylamino)phenylsilane, and/or tris(dimethylamino)silane.
  • disubstituted silanes may be used such as hexamethylcyclotrisilazane, bisdimethylaminodimethylsilane, and bisdiethylaminodimethylsilane.
  • a suitable silane according to the invention has the general formula of
  • modification agents include, e ⁇ g., methyltris(methylethylketoxime)silane or 2- trimethylsiloxypent-2-ene-4-one respectively .
  • a suitable silane according to the invention has the general formula of
  • surface modification agents include, e.g., dimethylsilyldiformamide, dimethylsilyldiacetamide, dimethylsilyldiisocyante, trimethylsilyltriisocyante .
  • a suitable silane according to the invention has the general formula of
  • tri-functional surface modification agents include, e.g., methyltrichlorosilane.
  • the treating agent includes one or more organoacetoxysilanes which have the following general formula, (RO x Si(OCOR 2 ) J ,
  • x is an integer ranging in value from 1 to 2
  • x and y can be the same or different and y is an integer ranging from about 2 to about 3, or greater.
  • organoacetoxysilanes including multifunctional alkylacetoxysilane and/or arylacetoxysilane compounds, include, simply by way of example and without limitation, methyltriacetoxysilane ("MTAS"), dimethyldiacetoxysilane (DMDAS) 3 phenyltriacetoxysilane and diphenyldiacetoxysilane and combinations thereof.
  • MTAS methyltriacetoxysilane
  • DDAS dimethyldiacetoxysilane
  • the component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation is usually present in the treating agent composition in an amount of from about 0.1 weight percent to about 100 weight percent, more usually from about 1 weight percent to about 50 weight percent, and most usually from about 3 weight percent to about 30 weight percent.
  • the treating agent composition then contains an activating agent may be an activating agent which may be an acid, a base, an onium compound, a dehydrating agent, a hydroxide, or combinations thereof.
  • activating agents include amines, ammonium compounds, phosphonium compounds, sulfonium compounds, iodonium compounds, hydroxides, alkoxides, acid halides, silanolates, amine salts, and combinations thereof. Included are activating agents which may be alkyl amines, aryl amines, alcohol amines and mixtures thereof which suitably have a boiling point of about 100 0 C or higher, usually about 125 0 C or higher and more usually about 150 0 C or higher.
  • Useful acid activating agents non-exclusively include hydrochloric acid, sulfuric acid, nitric acid, boric acid, ethylsulfuric acid, chlorosulfuric acid, phosphonitrile chloride, iron chloride, zinc chloride, tin chloride, aluminum chloride, boron trifluoride, methanesulfonic acid, trifluoromethanesulfonic acid, iron chloride hexahydrate or combinations thereof.
  • Useful activating agents which are dehydrating agents non-exclusively include phosphorous halides, phosphorous pentoxide, phenylphosphonic dichloride, and phenyl phosphorodichloridate, and combinations thereof.
  • Useful amine activating agent include primary amines, secondary amines, tertiary amines, ammonia, and quaternary ammonium salts.
  • Useful amines are monoethanolamine, diethanolamine, triethanolamine, monoisopropanolamine, tetraethylenepentatnine, 2-(2-aminoethoxy)ethanol; 2-(2- aminoethylamino)ethanol and mixtures thereof.
  • the activating agent comprises tetramethylammonium acetate, tetrabutylammonium acetate or combinations thereof.
  • Other activating agents include sodium hydroxide, cesium hydroxide, potassium hydroxide, lithium hydroxide and ammonium hydroxide.
  • the activating agent is usually present in the treating agent composition in an amount of from about 0.0001 weight percent to about 10 weight percent, more usually from about 0.001 weight percent to about 1 weight percent, and most usually from about 0.01 weight percent to about 0.1 weight percent.
  • the treating agent composition includes a solvent capable of solubilizing with the component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation, and the activating agent.
  • the solvent comprises a solvent or a mixture of a main solvent and a co-solvent, which mixture is capable of solubilizing the component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation and the activating agent; and which co-solvent has a higher vapor pressure and/or boiling point than the main solvent.
  • the main solvent has a boiling point of from about 100 0 C to about 300 0 C, preferably from about 110 0 C to about 250 0 C, and more preferably from about 130 0 C to about 180 0 C.
  • the co-solvent has a boiling point of from about 1 0 C to about 100 0 C higher than the main solvent.
  • the co-solvent has a boiling point of from about 10 0 C to about 70 0 C higher than the main solvent. In another embodiment, the co-solvent has a boiling point of from about 20 0 C to about 50 0 C higher than the main solvent.
  • the solvents and main solvent may be one or more ketones, ethers, esters, hydrocarbons, alcohols, carboxylic acids, amines, amides, and combinations thereof.
  • Useful main solvents non-exclusively include 3-pentanone, 2- heptanone, gammabutyrolactone, propylene glycol methyl ether acetate, acetic acid, and combinations thereof.
  • Solvents and co-solvents may be ethylacetoacetate, methyl acetoacetate, t-butyl acetoacetate, 2-methoxyethyl acetoacetate, allyl acetoacetate, benzyl acetoacetate, nonyl acetate, 2-(2-butoxyethoxy)ethyl acetate, phenthyl acetate, 2-butoxyethyl acetate, 2-ethylhexyl acetate, alpha-methylbenzyl acetate, dimethylsulfoxide, N- methyl-N-methoxyacetamide, N,N-diethyl-2-phenylacetamide, N 5 N- dimethylacetamide, N,N-diethylacetamide, N,N-diphenylacetamide, N 5 N- dimethypropionamide, N,N-dimethylisobutyramide, 1,2-dichlorobenzene, chlorotoluene,
  • the co-solvent comprises ethylacetoacetate, dimethylsulfoxide, 1-hexanol, or combinations thereof.
  • the main solvent is present in the mixture in an amount of from about 0.1 to about 99.9 percent by weight of the miscible mixture, more preferably from about 50 to about 99 percent by weight of the miscible mixture, and still more preferably from about 70 to about 97 percent by weight of the miscible mixture.
  • the co-solvent is present in the mixture in an amount of from about 0.1 to about 99.9 based on the percent by weight of the miscible mixture, more preferably from about 0.5 to about 50 percent by weight of the miscible mixture, and still more preferably from about 1 to about 30 percent by weight of the miscible mixture.
  • the total amount of solvent present in the treating agent composition may be an amount of from about 0.1 weight percent to about 99.9 weight percent, more usually from about 50 weight percent to about 99 weight percent, and most usually from about 70 weight percent to about 97 weight percent.
  • the treating agent composition includes a supercritical solvent, such as supercritical carbon dioxide.
  • the treating agent composition includes a corrosion inhibitor, such as a corrosion inhibitor which chelates with copper.
  • a corrosion inhibitor such as a corrosion inhibitor which chelates with copper.
  • Such may include benzotriazole, tolyltriazole, and combinations thereof.
  • the corrosion inhibitor when employed, is usually present in the treating agent composition in an amount of from about 0.001 weight percent to about 10 weight percent, more usually from about 0.01 weight percent to abo ' ut 5 weight percent, and most usually from about 0.2 weight percent to about 1 weight percent.
  • the treating agent composition is formed by blending the selected components into a mixture.
  • the treating agent composition contacts the damaged silica dielectric film as a liquid, vapor or gas, and/or plasma. If in the form of a plasma, the plasma can be derived from a silane compound, a hydrocarbon, an aldehyde, an ester, an ether, and/or combinations thereof.
  • the terms, "agent” or “agents” herein should be considered to be synonymous with the terms, “reagent” or “reagents,” unless otherwise indicated.
  • the treatment further comprises the subsequent step of removing unreacted treating agent composition, reaction products and mixtures thereof and/or the subsequent step of heating the increased hydrophobicity organosilicate glass dielectric film.
  • a wet clean using chemicals such as AP395 or dilute HF is performed after the bake step in the above-mentioned embodiments.
  • the wet clean is useful to remove any resist residues remaining after the ash.
  • Untreated low-k dielectric materials after etch and ash are prone to attack by the wet clean agents.
  • the treating agent treatment significantly improves resistance of the low-k dielectric to attack by wet clean.
  • a copper surface may be exposed during the treating agent treatment, especially at the bottom of via.
  • the wet clean can also remove any reaction product between treating agent and an exposed copper surface.
  • a wet clean using AP395 can clean a copper (or any suitable metal or metal alloy) surface that is previously exposed to a treating agent treatment using DMDAS.
  • metal means those elements that are in the d-block and f-block of the Periodic Chart of the Elements, along with those elements that have metal-like properties, such as silicon and germanium.
  • d-block means those elements that have electrons filling the 3d, 4d, 5d, and 6d orbitals surrounding the nucleus of the element.
  • f- block means those elements that have electrons filling the 4f and 5f orbitals surrounding the nucleus of the element, including the lanthanides and the actinides.
  • Preferred metals include indium, silver, copper, aluminum, tin, bismuth, gallium and alloys thereof, silver coated copper, and silver coated aluminum.
  • metal also includes alloys, metal/metal composites, metal ceramic composites, metal polymer composites, as well as other metal composites.
  • the wet clean can be performed before the bake process in the first contemplated embodiment.
  • the high temperature bake step is performed after the wet clean.
  • an additional dehydration bake at 100 - 400 0 C from 1 min to 120 min is performed before the treating agent (TA) treatment.
  • the dehydration bake removes any moisture adsorbed in the damaged low-k dielectric. Removal of moisture from the dielectric prior to treating agent treatment renders the treatment more effective.
  • the treating agent composition is provided by exposing the etchant-damaged organosilicate glass dielectric film to a plasma which is derived from any of the above mentioned treating agent.
  • a plasma generating chamber such as a plasma enhanced chemical vapor deposition (PECVD) system
  • the vapor of a treating agent composition and argon vapor are passed through the plasma generating chamber; then an RF energy source is activated to create a plasma; the argon gas is included to help promote the formation of plasma.
  • the plasma is composed of ionic fragments derived from the treating agent composition; for example, the ion fragment CH 3 Si + is generated from methylsilane (CH 3 SiH 3 ). This fragment reacts with silanol groups to form hydrophobic Si-CH 3 moieties. Any of the above mentioned treating agent compositions can be used for this plasma induced surface treatment.
  • suitable treating agent compositions for a plasma induced surface treatment include C 1 - C 12 alkyl and aromatic hydrocarbons. The most preferred hydrocarbon is methane.
  • Other reagents for plasma induced treating agent compositions include aldehydes, esters, acid chlorides, and ethers. Suitable aldehydes include acetaldehyde and benzaldehyde; suitable esters include ethyl acetate and methyl benzoate; suitable acid chlorides include acetyl chloride and benzyl chloride; and suitable ethers include diethyl ether and anisole.
  • a wide variety of single wafer or multiple wafer (batch) plasma systems can be used for this process; these systems include so called downstream ashers, such as the Gasonics L3510 photoresist asher, PECVD dielectric deposition systems such as the Applied Materials P5000, or reactive ion etch ("RIE") systems.
  • downstream ashers such as the Gasonics L3510 photoresist asher, PECVD dielectric deposition systems such as the Applied Materials P5000, or reactive ion etch ("RIE") systems.
  • the conditions for the plasma process are within the following ranges: chamber temperature, 2OC to 45O 0 C; RF power, 5OW to 100OW; chamber pressure, 0.05 to 100 torr; plasma treatment time, 5 seconds to 5 minutes; and surface modification flow rate, 100 - 2000 seem; inert gas flow rate (typically argon), 100- 2000sccm.
  • the invention is also contemplated to encompass methods of imparting a hydrophobic surface to silica dielectric films, porous and/or nonporous, whether damaged or not, by application of the above-described plasma surface treatments.
  • Microelectronic devices such as semiconductor devices or ICs manufactured using these methods are also a part of the present invention.
  • a microelectronic device may be produced by a process comprising: a) applying an organosilicate glass dielectric film onto a substrate; b) forming a pattern of vias and/or trenches in the organosilicate glass dielectric film, and subjecting the organosilicate glass dielectric film to at least one treatment which removes at least a portion of previously existing carbon containing moieties or reduces hydrophobicity of said organosilicate glass dielectric film; c) contacting the organosilicate glass dielectric film with a treating agent composition at a concentration and for a time period effective to increase the hydrophobicity of the organosilicate glass dielectric film, wherein the treating agent composition comprises a component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation, an activating agent which may be an amine, an onium compound, an alkali metal hydroxide, and combinations thereof; and either a miscible mixture of a
  • baking may be done by heating at temperatures of from about 90 0 C to about 450 0 C. In another embodiment, heating may be done at temperatures of from about 100 0 C to about 400 0 C, in yet another embodiment, heating may be done at temperatures of from about 125 0 C to about 350 0 C. Such heating may be done for from about 10 seconds or more, preferably for from about 10 seconds to about 60 minutes.
  • the next step is d) filling the vias and/or trenches with a metal by any method known in the art; and then e) optionally subjecting the metal to an annealing treatment. In one embodiment, annealing may be done by heating the device at temperatures of from about 150 0 C to about 350 0 C. In another embodiment, annealing may be done by heating the device at temperatures of from about 200 0 C to about 250 0 C, Annealing may be done for from about 10 seconds to about 60 minutes.
  • microelectronic devices, dielectric layers and materials may be utilized or incorporated into any suitable electronic component.
  • Electronic components are generally thought to comprise any dielectric component or layered dielectric component that can be utilized in an electronic-based product.
  • Contemplated electronic components comprise circuit boards, chip packaging, dielectric components of circuit boards, printed- wiring boards, and other components of circuit boards, such as capacitors, inductors, and resistors.
  • Electronic-based products can be "finished” in the sense that they are ready to be used in industry or by other consumers.
  • finished consumer products are a television, a computer, a cell phone, a pager, a palm-type organizer, a portable radio, a car stereo, and a remote control.
  • intermediate products such as circuit boards, chip packaging, and keyboards that are potentially utilized in finished products.
  • Electronic products may also comprise a prototype component, at any stage of development from conceptual model to final scale-up mock-up.
  • a prototype may or may not contain all of the actual components intended in a finished product, and a prototype may have some components that are constructed out of composite material in order to negate their initial effects on other components while being initially tested.
  • Electronic products and components may comprise layered materials, layered components, and components that are laminated in preparation for use in the component or product.
  • 0.0126g tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), were added to 1.247g 1-Hexanol, the mix was stirred until dissolution, then 44.24 g of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012), and 4.49 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007) were added in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.1 ⁇ m using a teflon filter.
  • 0.0126g tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), were added to 1.247g dimethylsulfoxide, the mix was stirred until dissolution, then 44.24 g of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012), and 4.49 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007) were added in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.1 ⁇ m using a teflon filter.
  • 0.0126g tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), were added to 45.49 g ethyl acetoacetate, the mix was stirred until dissolution, then 4.49 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007) were added in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.1 ⁇ m using a teflon filter.
  • 0.0126g tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), were added to 1.247g dimethylsulfoxide, the mix was stirred until dissolution, then 44.24 g of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012), and 4.49 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007) were added in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.1 ⁇ m using a teflon filter.
  • 0.0126g tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), were added to 1.247g ethyl acetoacetate, the mix was stirred until dissolution, then 44.24 g of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012), and 4.49 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007) were added in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.1 ⁇ m using a teflon filter.
  • the solution was mixed vigorously for one minute. After mixing, the diluted precursor was subjected to 2-pass filtration using 0.04 micron filter (Meissner CSPMO.04-442). Approximately 2.0-3.0 ml of The formulation was deposited onto an 8" etched (C 4 Fg; 20s.) & ashed (plasma O 2 ; 20s.) carbon depleted porous SiCOH film (-4000A thick NANOGLASS-E ® ). After deposition, the wafer was spun at 2500 rpm for 30 seconds to form a film. The films were heated at elevated temperatures for 1 min. each at 125 0 C, 200 0 C and 350 0 C in N 2 . The following results were observed:
  • a precursor was made by adding 9.72 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g of 3- ⁇ entanone (Ultra Pure Solutions Inc., Castroville, CA 85012), 280 ppm of tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), together in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing the diluted precursor was hand filtered to 0.2 ⁇ m using a teflon filter.
  • a precursor was made by adding 9.72 g of dimethyldiacetoxysilane (Gelest,
  • a precursor was made by adding 9.72 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g of propylene glycol methyl ether acetate (General Chemical., Hollister, CA 95023), 280 ppm of tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), together in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.2 ⁇ m using a teflon filter.
  • the precursor was made by adding 9.72 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012), 2800 ppm of tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), together in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.2 ⁇ m using a teflon filter.
  • the precursor was made by adding 2.65 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012), 2800 ppm of tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), together in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.2 ⁇ m using a teflon filter.
  • the precursor was made by adding 2.65 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g of 2-he ⁇ tanone (Ultra Pure Solutions Inc., Castro ville, CA 85012), 4200 ppm of tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), together in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.2 ⁇ m using a teflon filter.
  • the precursor was made by adding 0.810 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012), 4200 ppm of tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), together in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.2 ⁇ m using a teflon filter.
  • the precursor was made by adding 9.72 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g of 2-heptanone (Ultra Pure Solutions Inc., Castro ville, CA 85012), 4200 ppm of tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), together in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.2 ⁇ m using a teflon filter.
  • Two precursors were made to compare the effects of treating agent with and without tetramethylamrnonium acetate.
  • One precursor was made by adding 9.72 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g of 3- pentanone (Ultra Pure Solutions Inc., Castroville, CA 85012), 280 ppm of tetramethylammonium acetate (TMAA) (Aldrich Chemical Company, Milwaukee, WI 53201), together in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.2 ⁇ m using a teflon filter.
  • TMAA tetramethylammonium acetate
  • the second precursor was made by adding 9.72 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), and 26.0 g of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012), together in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.2 ⁇ m using a teflon filter. Approximately 2.0-3.0 mis of each precursor were deposited onto separate 8" etched (C 4 F 8; 20s.) & ashed (plasma O 2 ; 20s.) carbon depleted porous films ( ⁇ 4OO ⁇ A thick). After deposition, each film was spun at 2500 rpm for 30 seconds to remove all volatile species. Each films were heated at elevated temperatures for 1 min. each at 125 0 C, 200 0 C and 350 0 C in air. The following results were observed:
  • the precursor was made by adding 3.25g of hexamethylcyclotrisilazane (Gelest, Tullytone, PA 19007) and 25.0 g of 2-heptanone (Ultra Pure Solutions Inc., Castro ville, CA 85012) together in a 60 ml particle free high-density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.2 ⁇ m using a teflon filter. Approximately 2.0-3.0 ml of the precursor was deposited onto an 8" etched (C 4 F 8; 20s.) & ashed (plasma O 2 ; 20s.) carbon depleted porous film ( ⁇ 4000A thick). After deposition, the film was spun at 2500 rpm for 30 seconds to remove all volatile species. The films were heated at elevated temperatures for 1 min. each at 125 0 C, 200 0 C and 350 0 C in air. The following results were observed:
  • the precursor was made by adding 6.5g of bis(dimethylamino)dimethylsilane (Gelest, Tullytone, PA 19007) and 22.Og of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012) together in a 60 ml particle free high-density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.2 ⁇ m using a teflon filter. Approximately 2.0-3.0 ml of the precursor was deposited onto an 8" etched (C 4 F 8 ; 20s.) & ashed (plasma O 2 ; 20s.) carbon depleted porous film (-4000A thick). After deposition, the film was spun at 2500 rpm for 30 seconds to remove all volatile species. The films were heated at elevated temperatures for 1 min. each at 125 0 C, 200 0 C and 350 0 C in air. The following results were observed:

Abstract

A treating agent composition for increasing the hydrophobicity of an organosilicate glass dielectric film when applied to said film. It includes a component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation, and an activating agent which may be an acid, a base, an onium compound, a dehydrating agent, and combinations thereof, and an optional solvent or mixture of a main solvent and a co-solvent.

Description

TREATING AGENT MATERIALS
BACKGROUND OF THE INVENTION
Field Of The Invention
The invention concerns a treating agent composition for organosilicate glass dielectric films. More particularly, the invention pertains to a method for restoring hydrophobicity to the surfaces of organosilicate glass dielectric films which have been subjected to an etching or ashing treatment in such a way as to remove at least a portion of previously existing carbon containing moieties, resulting in a film having reduced hydrophobicity. These treated films are used as insulating materials in the manufacture of semiconductor devices such as integrated circuits ("ICs"), in order to ensure low dielectric constant and stable dielectric properties in these films. The compositions include a silane based monomer with reactive leaving groups, an activating agent which may be an acid, a base, an onium compound, a dehydrating agent, and combinations thereof and a solvent or mixture of a main solvent and a co-solvent.
Description of the Related Art
As semiconductor devices scale to lower technology nodes, the requirement for lower and lower dielectric constant (k) has been identified to mitigate RC delay. Similarly, as feature sizes in integrated circuits are reduced, problems with power consumption and signal cross-talk have become increasingly difficult to resolve. To achieve lower k (2.6-3.0) in dense inorganic materials, carbon has been added to reduce the polarizability thus reducing k. To achieve ultra low k (<2.4) materials, porosity is added to the carbon-rich dense matrix. While the introduction of carbon and porosity have reduced k, new challenges during back end of the line processing have also been identified. Specifically during etching and ashing, reactive gases have been found to damage the carbon at the surface of dense materials. Porous low k's have even more catastrophic effects from reactive etch and ash gases due to diffusion through the film, which causes a greater extent of damage at the internal pore walls. Once the carbon has been damaged, the films rehydroxylate and hydrogen bond with water. Because water has a dielectric constant of 70, small amounts that are absorbed for porous materials and adsorbed for dense materials cause the dielectric constant to go up significantly. Also, porous materials tend to void after copper annealing due to the high tensile stress fields which will destroy device yields. None of these are acceptable and lead to unviable materials.
It is believed that the integration of low dielectric constant materials for interlevel dielectric (ILD) and intermetal dielectric (IMD) applications will help to solve these problems. While there have been previous efforts to apply low dielectric constant materials to integrated circuits, there remains a longstanding need in the art for further improvements in processing methods and in the optimization of both the dielectric and mechanical properties of such materials. Device scaling in future integrated circuits clearly requires the use of low dielectric constant materials as a part of the interconnect structure. Most candidates for low dielectric constant materials for use in sub-lOOnm generation ICs are carbon containing SiO2 films formed by either CVD or spin-on methods. During subsequent processing steps, such as plasma etching and photoresist removal using plasma or wet strip methods, significant damage occurs to these low-k materials, that causes fluorine addition and carbon depletion from the low-k material adjacent to the etched surface. In addition to a higher effective k, the resultant structures are susceptible to void formation, outgassing and blister formation. The voids in turn may cause an increase in leakage current at elevated voltages and reduction in breakdown voltage. The present invention describes a way to reduce the damage and resulting issues by treating the wafers with silylating agents after the damage is caused. The use of non-damaging ash chemistry, such as H2ZHe has been reported to reduce carbon depletion and associated problems. In this regard, see I. Berry, A. Shiota, Q. Han, C. Waldfried, M. Sekiguchi, and O. Escorcia, Proceedings - Electrochemical Society, 22, 202 (2002); and A. Matsushita, N. Ohashi, K. Inukai, H. J. Shin, S. Sone, K. Sudou, K. Misawa, I. Matsumoto, and N. Kobayashi, Proceedings of IEEE International Interconnect Technology
Conference, 2003, 147 (2003). Alternatively, post-ash treatments that replenish carbon have also been shown to restore hydrophobicity and lower the dielectric constant. Post-ashing treatments that replenish carbon have also been shown to restore hydrophobicity and lower dielectric constant. In this regard, see Y. S. Mor, T. C Chang, P. T. Liu, T. M. Tsai, C. W. Chen, S. T. Yan, C. J. Chu, W. F. Wu, F. M. Pan, W. Lur; and S. M. Sze, Journal of Vacuum Science & Technology, B, 2 (4), 1334 (2002); and P. G. Clark, B. D. Schwab, and J. W. Butterbaugh, Semiconductor International, 26 (9), 46 (2003). An advantage of the later approach is that it allows the use of well-established etching and ashing processes. To this end, it would be desirable to repair damage caused to a porous SiCOH- based low-k material using a post-ash treatment.
One way to approach this challenge is to repair the damaged area on dense surfaces, or in the case of porous materials on the internal pore walls with a re- methylating compound called a treating agent (TA). Treating agents react with the damaged re-hydroxylated surfaces and re-alkylate or re-arylate them which in- turn restores the dielectric constant. The following reaction describes the an exemplary -re-methylation process: SiOH (damaged surface) + RxSi(OCOCH3)y (TA) yields SiOSiRx (repaired surface) + (CH3COOH)y (acetic acid). In the case of porous damaged internal pore wall surfaces, the re-methylation prevents void formation. Many times, the use of a treating agent allows for conventional etch and ash processes to be utilized with low and ultra low dielectric constant materials. The treatment could result in replenishment of carbon to the low-k film, thereby restoring hydrophobicity and resistance to further damage during a wet cleaning operation. Additionally, it would be desirable if the repaired low-k material was found to be resistant to void formation, which generally occurs in untreated porous low-k inter-level dielectric regions during copper annealing processes. Silylating agents ("treating agents") can methylate the surface of SiO2 based materials. Contemplated exposure includes vapor exposure (with or without plasma), spin coating and supercritical CO2. Normally, SiCOH based porous low- k materials are susceptible to void formation in ILD during Cu damascene processing. After a treating agent treatment, the resulting structure is significantly more resistant to void formation. Without being bound to any specific theory or mechanism, it is believed that plasma damage causes carbon depletion in the dielectric, by replacing Si-CH3 bonds with Si-OH bonds. In damaged porous dielectrics, the pore surface is now covered with Si-OH bonds, hi the presence of tensile stress (such as after Cu annealing), adjacent Si-OH groups can condense, thus causing local densification. The evolving reaction products and the stretching of the molecules due to the new links formed, causes voids to occur near the center of the ILD space. Treating agents prevent void formation by replacing most Si-OH bonds by Si-O-Si-Rx bonds, which avoid condensation reactions. Therefore void formation does not occur.
hi addition, it is also known that existence of the SiO-SiR2-OSi linkage (where the SiR2 is one example of a treating functionality within the matrix), that the modulus of the porous material should improve. Modulus retention and improvement is required for most porous materials to withstand imposed stresses. The treating linkage studied, a dimethylsilyl linkage, clearly improves the modulus. If applied to weakened areas of the silicate, an improvement of the material to external stress is expected.
The treating agent composition treatment performed after dielectric trench and via formation and etching and ashing steps repairs carbon depletion and damage to the low-k materials. By this means, voids are deterred and the later can withstand internal stresses caused by annealing treatments to the metal filling the trenches and vias.
The treating agent composition treatment is conducted by exposing the wafer surface to the silylating agent in liquid or gas form for a period sufficient to complete the reaction with the damaged low-K region. Optionally, a high temperature bake can be performed to remove remaining solvent and excess treating agent. Also, optionally, a wet cleaning operation can be performed immediately after the treating agent application, or after the baking step, using a commercially available chemical compatible with the low-k dielectric. Additionally a dehydration bake may be performed before the treating agent treatment, to increase effectiveness of the treating agent treatment.
The effectiveness of the treating agent treatment can be verified using unpatterned low-k dielectric films subjected to etching and ashing processing followed by the treating agent treatment. A successful treating agent treatment results in increased carbon concentration that can be measured by FTIR, EDX, or XPS techniques. Additionally, a water contact angle increase is seen, which demonstrates the hydrophobic nature of the post-treatment surface. The treating agent treated film also shows a lower dielectric constant extracted from C-V measurements, compared to an etched/ashed film that is not treated with treating agent. In patterned wafers, the effectiveness of the treating agent treatment is demonstrated by reduction or elimination of voids in the low-k dielectric in narrow spaces between Cu trenches after a copper anneal treatment following electroplating of copper, and also by lower profile change in trenches or vias after exposure to reactive solvents.
It has been found that treating agents are made by using silane based monomers with reactive leaving groups together with an activating agent which may be an amine, an onium compound, an alkali metal hydroxide, or combinations thereof.
In one embodiment of the invention the composition further comprises a solvent which comprises ethylacetoacetate, methyl acetoacetate, t-butyl acetoacetate, 2- methoxyethyl acetoacetate, allyl acetoacetate, benzyl acetoacetate, nonyl acetate, 2-(2-butoxyethoxy)ethyl acetate, phenthyl acetate, 2-butoxyethyl acetate, 2- ethylhexyl acetate, alpha-methylbenzyl acetate, dimethylsulfoxide, N-methyl-N- methoxyacetamide, N,N-diethyl-2-phenylacetamide, N,N-dimethylacetamide, N,N-diethylacetamide, N,N-diphenylacetamide, N,N-dimethypropionamide, N5N- dimethylisobutyramide, 1,2-dichlorobenzene, chlorotoluene, 1-hexanol, 2-ethyl-l- hexanol, 5-methyl- 1-hexanol, 6-phenyl- 1-hexanol, 1-heptanol, 2-heptanol, 4- heptanol, 4-methyl-3-heptanol, 6-methyl-2-heptanol, 2,6-dimethylheptanol, 1- octanol, or combinations thereof.
In another embodiment of the invention the composition further comprises a mixture, preferably a miscible mixture of a main solvent and a co-solvent, which mixture is capable of solubilizing the component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation and the activating agent; which co-solvent has a higher vapor pressure and/or boiling point than the main solvent. SUMMARY OF THE INVENTION
The invention provides a composition for treating an organosilicate glass dielectric film which comprises: a) a component capable of alkylating or arylating silanol moieties of an organosilicate glass dielectric film via silylation, and b) an activating agent.
The invention also provides a method which comprises: a) forming an organosilicate glass dielectric film; b) contacting the organosilicate glass dielectric film with a composition which comprises a component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation; and an activating agent.
The invention further provides a method for deterring the formation of stress- induced voids in an organosilicate glass dielectric film on a substrate, which organosilicate glass dielectric film has been subjected to at least one step which removes at least a portion of previously existing carbon containing moieties or reduces hydrophobicity of said organosilicate glass dielectric film, comprising contacting the organosilicate glass dielectric film, after being subjected to at least one step which removes at least a portion of previously existing carbon containing moieties or reduces hydrophobicity of said organosilicate glass dielectric film, with a composition at a concentration and for a time period effective to restore at least some of the carbon containing moieties hydrophobicity or increase the hydrophobicity of the organosilicate glass dielectric film, wherein the composition comprises: a) a component capable of alkylating or arylating silanol moieties of a organosilicate glass dielectric film via silylation, and b) an activating agent.
The invention still further provides a method for forming a microelectronic device which comprises: a) forming an organosilicate glass dielectric film on a substrate; b) subjecting the organosilicate glass dielectric film to at least one step which removes at least a portion of previously existing carbon containing moieties or reduces hydrophobicity of said organosilicate glass dielectric film; c) contacting the organosilicate glass dielectric film with a composition at a concentration and for a time period effective to restore at least a portion of previously existing carbon containing moieties or increase the hydrophobicity of the organosilicate glass dielectric film, wherein the composition comprises a component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation; and an activating agent.
The invention still further provides a method for forming a microelectronic device which comprises: a) applying an organosilicate glass dielectric film onto a substrate; b) forming a pattern of vias and trenches in the organosilicate glass dielectric film, and subjecting the organosilicate glass dielectric film to at least one treatment which removes at least a portion of previously existing carbon containing moieties or reduces hydrophobicity of said organosilicate glass dielectric film; c) contacting the organosilicate glass dielectric film with a treating agent composition at a concentration and for a time period effective to increase the hydrophobicity of the organosilicate glass dielectric film, wherein the treating agent composition comprises a component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation, and an activating agent.
DETAILED DESCRIPTION OF THE INVENTION
In the context of the present invention, dielectric materials having low dielectric constants, typically below 3 are especially desirable because they typically allow faster signal propagation, reduce capacitive effects and cross talk between conductor lines, and lower voltages to drive integrated circuits. This invention relates to both porous and non-porous dielectric materials. One material with a low dielectric constant is silica which can be applied as a foamed dielectric material. For the lowest possible dielectric values, air is introduced into silica dielectric materials. Air has a dielectric constant of 1, and when air is introduced into a silica dielectric material in the form of nanoporous or nanometer-scale pore structures, relatively low dielectric constants ("k") are achieved. It should be understood that unless the "Siθ2" functional group is specifically mentioned when the term "silica" is employed, the term "silica" as used herein, for example, with reference to porous and non-porous dielectric films, is intended to refer to dielectric films prepared by the inventive methods from an organic or inorganic glass base material, e.g., any suitable starting material containing one or more silicon-based dielectric precursors. It should also be understood that the use of singular terms herein is not intended to be so limited, but, where appropriate, also encompasses the plural, e.g., exemplary processes of the invention may be described as applying to and producing a "film" but it is intended that multiple films can be produced by the described, exemplified and claimed processes, as desired. The term, "film" as used herein with regard to silica dielectric materials is intended to encompass any other suitable form or shape in which such silica dielectric materials are optionally employed. Nanoporous silica is attractive because it employs similar precursors, including organic-substituted silanes, e.g., tetramethoxysilane ("TMOS") and/or tetraethoxysilane ("TEOS"), as are used for the currently employed spin-on-glasses ("SOG") and chemical vapor disposition ("CVD") silica SiO2. As used herein, the terms "void" and "pore" mean a free volume in which a mass is replaced with a gas or where a vacuum is generated. The composition of the gas is generally not critical, and appropriate gases include relatively pure gases and mixtures thereof, including air. The nanoporous polymer may comprise a plurality of pores. Pores are typically spherical, but may alternatively or additionally have any suitable shape, including tubular, lamellar, discoidal, or other shapes. The pores may be uniformly or randomly dispersed within the porous polymer. It is also contemplated that the pores may have any appropriate diameter. It is further contemplated that at least some pores may connect with adjacent pores to create a structure with a significant amount of connected or "open" porosity.
Nanoporous silica films have previously been fabricated by a number of methods. Suitable silicon-based precursor compositions and methods for forming nanoporous silica dielectric films, are described, for example, by the following co-owned U.S. patents 6,048,804, 6,022,812; 6,410,149; 6,372,666; 6,509,259; 6,218,497; 6,143,855, 6,037,275; 6,042,994; 6,048,804; 6,090,448; 6,126,733; 6,140,254; 6,204,202; 6,208,041; 6,318,124 and 6,319,855 all incorporated herein by reference herein.
Other dielectric and low dielectric materials comprise inorganic-based compounds, such as the silicon-based disclosed in commonly assigned pending U.S. patent application serial No. 10/078919 filed February 19, 2002; (for example NANOGLASS® and HOSP® products commercially available from Honeywell International Inc.). The dielectric and low dielectric materials may be applied by spin coating the material on to the surface, dip coating, spray coating, chemical vapor deposition (CVD), rolling the material onto the surface, dripping the material on to the surface, and/or spreading the material onto the surface. Dielectrics useful for this invention include CVD deposited materials, such as carbon doped oxides for example, Black Diamond, commercially available from Applied Materials, Inc., Coral, commercially available from Novellus, Aurora, which is commercially available from ASM, and Orion, which is commercially available from Trikon.
As used herein, the phrases "spin-on material", "spin-on organic material", "spin- on composition" and "spin-on inorganic composition" may be used interchangeable and refer to those solutions and compositions that can be spun-on to a substrate or surface using the spin coating application process. Examples of silicon-based compounds comprise siloxane compounds, such as methylsiloxane, methylsilsesquioxane, phenylsiloxane, phenylsilsesquioxane, methylphenylsiloxane, methylphenylsilsesquioxane, silazane polymers, silicate polymers and mixtures thereof. A contemplated silazane polymer is perhydrosilazane, which has a "transparent" polymer backbone where chromophores can be attached. Spin-on-glass materials also includes siloxane polymers and block polymers, hydrogensiloxane polymers of the general formula (Ho-i.oSiOi.5.2.o)x and hydrogensilsesquioxane polymers, which have the formula (HSiOLs)x, where x is greater than about four. Also included are copolymers of hydrogensilsesquioxane and an alkoxyhydridosiloxane or hydroxyhydridosiloxane. Spin-on glass materials additionally include organohydridosiloxane polymers of the general formula (Ho-i.oSiOi.5.2.o)n(Ro-i.oSiOi.5..2.o)inj and organohydridosilsesquioxane polymers of the general formula (HSiOLs)n(RSiOLs)nI5 where m is greater than zero and the sum of n and m is greater than about four and R is alkyl or aryl. Some useful organohydridosiloxane polymers have the sum of n and m from about four to about 5000 where R is a C1-C20 alkyl group or a C6-C12 aryl group. The organohydridosiloxane and organohydridosilsesquioxane polymers are alternatively denoted spin-on-polymers. Some specific examples include alkylhydridosiloxanes, such as methylhydridosiloxanes, ethylhydridosiloxanes, propylhydridosiloxanes, t-butylhydridosiloxanes, phenylhydridosiloxanes; and alkylhydridosilsesquioxanes, such as methylhydridosilsesquioxanes, ethylhydridosilsesquioxanes, propylhydridosilsesquioxanes, t-butylhydridosilsequioxanes, phenylhydridosilsesquioxanes, and combinations thereof. Several of the contemplated spin-on materials are described in the following issued patents and pending applications, which are herein incorporated by reference in their entirety: U.S. patents 6,506,497; 6,365,765; 6,268,457; 6,177,199; 6,358,559; 6,218,020; 6,361,820; 6,218,497; 6,359,099; 6,143,855; 6,512,071, U.S. patent application serial no. 10/001143 filed November 10, 2001; PCT/USOO/15772 filed June 8, 2000, and PCT/USOO/00523 filed January 7, 1999.
Solutions of organohydridosiloxane and organosiloxane resins can be utilized for forming caged siloxane polymer films that are useful in the fabrication of a variety of electronic devices, micro-electronic devices, particularly semiconductor integrated circuits and various layered materials for electronic and semiconductor components, including hard mask layers, dielectric layers, etch stop layers and buried etch stop layers. These organohydridosiloxane resin layers are compatible with other materials that might be used for layered materials and devices, such as adamantane-based compounds, diamantane-based compounds, silicon-core compounds, organic dielectrics, and nanoporous dielectrics. Compounds that are considerably compatible with the organohydridosiloxane resin layers contemplated herein are disclosed in U.S. patents 6,214,746; 6,171,687; 6,172,128; 6,156,812, US Application Serial No. 60/350187 filed January 15, 2002; U.S. patent application serial no. 09/538276; U.S. patent application serial no. 09/544504; U.S. patent application serial no. 09/587851; and U.S. 60/347195 filed January 8, 2002; PCT Application PCT/USOl/32569 filed October 17, 2001; PCT Application PCT/US01/50812 filed December 31, 2001, which are all incorporated herein by reference.
Suitable organohydridosiloxane resins utilized herein have the following general formulas:
[H-Si1.5]n[R-Si01.5]m Formula (1)
[Ho.s-Sii.5 - 1.S]n[Rc5-LO-SiOL5. Ls]m Formula (2)
|Ηo-i.o-Sii.5]n[R-SiOi.5]m Formula (3)
[H-Si1.5]x[R-Si01.5]y[Si02]z Formula (4)
wherein:
the sum of n and m, or the sum or x, y and z is from about 8 to about 5000, and m or y is selected such that carbon containing constituents are present in either an amount of less than about 40 percent (Low Organic Content = LOSP) or in an amount greater than about 40 percent (High Organic Content = HOSP); R is selected from substituted and unsubstituted, normal and branched alkyls (methyl, ethyl, butyl, propyl, pentyl), alkenyl groups (vinyl, allyl, isopropenyl), cycloalkyls, cycloalkenyl groups, aryls (phenyl groups, benzyl groups, naphthalenyl groups, anthracenyl groups and phenanthrenyl groups), and mixtures thereof; and wherein the specific mole percent of carbon containing substituents is a function of the ratio of the amounts of starting materials. In some LOSP embodiments, particularly favorable results are obtained with the mole percent of carbon containing substituents being in the range of between about 15 mole percent to about 25 mole percent. In some HOSP embodiments, favorable results are obtained with the mole percent of carbon containing substituents are in the range of between about 55 mole percent to about 75 mole percent.
Nanoporous silica dielectric films with dielectric constants ranging from about 1.5 to about 4 can also be used as one of the layers. Nanoporous silica films are laid down as a silicon-based precursor, aged or condensed in the presence of water and heated sufficiently to remove substantially all of the porogen and to form voids in the film. The silicon-based precursor composition comprises monomers or prepolymers that have the formula: Rx-Si-Ly, wherein R is independently selected from alkyl groups, aryl groups, hydrogen and combinations thereof, L is an electronegative moiety, such as alkoxy, carboxy, amino, amido, halide, isocyanato and combinations thereof, x is an integer ranging from 0 to about 2, and y is an integer ranging from about 2 to about 4. Other nanoporous compounds and methods can be found in U.S. patents 6,171,687; 6,172,128; 6,214,746; 6,313,185; 6,380,347; and 6,380,270, which are incorporated herein in their entirety.
The phrases "cage structure", "cage molecule", and "cage compound" are intended to be used interchangeably and refer to a molecule having at least 10 atoms arranged such that at least one bridge covalently connects two or more atoms of a ring system. In other words, a cage structure, cage molecule or cage compound comprises a plurality of rings formed by covalently bound atoms, wherein the structure, molecule or compound defines a volume, such that a point located with the volume can not leave the volume without passing through the ring. The bridge and/or the ring system may comprise one or more heteroatoms, and may be aromatic, partially saturated, or unsaturated. Further contemplated cage structures include fullerenes, and crown ethers having at least one bridge. For example, an adamantane or diamantane is considered a cage structure, while a naphthalene compound or an aromatic spiro compound are not considered a cage structure under the scope of this definition, because a naphthalene compound or an aromatic spiro compound do not have one, or more than one bridge. Contemplated cage compounds need not necessarily be limited to being comprised solely of carbon atoms, but may also include heteroatoms such as N, S, O, P, etc. Heteroatoms may advantageously introduce non-tetragonal bond angle configurations. With respect to substituents and derivatizations of contemplated cage compounds, it should be recognized that many substituents and derivatizations are appropriate. For example, where the cage compounds are relatively hydrophobic, hydrophilic substituents may be introduced to increase solubility in hydrophilic solvents, or vice versa. Alternatively, in cases where polarity is desired, polar side groups may be added to the cage compound. It is further contemplated that appropriate substituents may also include thermolabile groups, nucleophilic and electrophilic groups. It should also be appreciated that functional groups may be utilized in the cage compound {e.g., to facilitate crosslinking reactions, derivatization reactions, etc.) Cage molecules or compounds, as described in detail herein, can also be groups that are attached to a polymer backbone, and therefore, can form nanoporous materials where the cage compound forms one type of void (intramolecular) and where the crosslinking of at least one part of the backbone with itself or another backbone can form another type of void (intermolecular). Additional cage molecules, cage compounds and variations of these molecules and compounds are described in detail in PCT/USO 1/32569 filed on October 18, 2001, which is herein incorporated by reference in its entirety. Contemplated polymers may also comprise a wide range of functional or structural moieties, including aromatic systems, and halogenated groups. Furthermore, appropriate polymers may have many configurations, including a homopolymer, and a heteropolymer. Moreover, alternative polymers may have various forms, such as linear, branched, super-branched, or three- dimensional. The molecular weight of contemplated polymers spans a wide range, typically between 400 Dalton and 400000 Dalton or more. Additives can also be used to enhance or impart particular properties, as is conventionally known in the polymer art, including stabilizers, flame retardants, pigments, plasticizers, surfactants, and the like. Compatible or non-compatible polymers can be blended in to give a desired property. Adhesion promoters can also be used. Such promoters are typified by hexamethyldisilazane, which can be used to interact with available hydroxyl functionality that may be present on a surface, such as silicon dioxide, that was exposed to moisture or humidity. Polymers for microelectronic applications desirably contain low levels (generally less than 1 ppm, preferably less than 10 ppb) of ionic impurities, particularly for dielectric interlayers.
The materials, precursors and layers described herein can be and in many ways are designed to be solvated or dissolved in any suitable solvent, so long as the resulting solutions can be applied to a substrate, a surface, a wafer or layered material. Typical solvents are also those solvents that are able to solvate the monomers, isomeric monomer mixtures and polymers. Contemplated solvents include any suitable pure or mixture of organic or inorganic molecules that are volatilized at a desired temperature, such as the critical temperature, or that can facilitate any of the above-mentioned design goals or needs. The solvent may also comprise any suitable single polar and non-polar compounds or mixture thereof. As used herein, the term "polar" means that characteristic of a molecule or compound that creates an unequal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound. As used herein, the term "non-polar" means that characteristic of a molecule or compound that creates an equal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound. In some contemplated embodiments, the solvent or solvent mixture (comprising at least two solvents) comprises those solvents that are considered part of the hydrocarbon family of solvents. Hydrocarbon solvents are those solvents that comprise carbon and hydrogen. It should be understood that a majority of hydrocarbon solvents are non-polar; however, there are a few hydrocarbon solvents that could be considered polar. Hydrocarbon solvents are generally broken down into three classes: aliphatic, cyclic and aromatic. Aliphatic hydrocarbon solvents may comprise both straight-chain compounds and compounds that are branched and possibly crosslinked, however, aliphatic hydrocarbon solvents are not considered cyclic. Cyclic hydrocarbon solvents are those solvents that comprise at least three carbon atoms oriented in a ring structure with properties similar to aliphatic hydrocarbon solvents. Aromatic hydrocarbon solvents are those solvents that comprise generally three or more unsaturated bonds with a single ring or multiple rings attached by a common bond and/or multiple rings fused together. Contemplated hydrocarbon solvents include toluene, xylene, p-xylene, m-xylene, mesitylene, solvent naphtha H, solvent naphtha A, alkanes, such as pentane, hexane, isohexane, heptane, nonane, octane, dodecane, 2-methylbutane, hexadecane, tridecane, pentadecane, cyclopentane, 2,2,4-trimethylpentane, petroleum ethers, halogenated hydrocarbons, such as chlorinated hydrocarbons, nitrated hydrocarbons, benzene, 1,2-dimethylbenzene, 1,2,4-trimethylbenzene, mineral spirits, kerosene, isobutylbenzene, methylnaphthalene, ethyltoluene, ligroine. Particularly contemplated solvents include, but are not limited to, pentane, hexane, heptane, cyclohexane, benzene, toluene, xylene and mixtures or combinations thereof.
In other contemplated embodiments, the solvent or solvent mixture may comprise those solvents that are not considered part of the hydrocarbon solvent family of compounds, such as ketones, such as acetone, 3-pentanone, diethyl ketone, methyl ethyl ketone and the like, alcohols, ketones, esters, ethers and amines. In yet other contemplated embodiments, the solvent or solvent mixture may comprise a combination of any of the solvents mentioned herein. In some embodiments, the solvent comprises water, ethanol, propanol, acetone, ethylene oxide, benzene, toluene, ethers, cyclohexanone, butyrolactone, methylethylketone, and anisole.
It is still further contemplated that alternative low dielectric constant material may also comprise additional components. For example, where the low dielectric constant material is exposed to mechanical stress, softeners or other protective agents may be added. In other cases where the dielectric material is placed on a smooth surface, adhesion promoters may advantageously employed. In still other cases, the addition of detergents or antifoam agents may be desirable. In general, a precursor in the form of, e.g., a spin-on-glass composition that includes one or more removable solvents, is applied to a substrate, and then polymerized and subjected to solvent removal in such a way as to form a dielectric film comprising nanometer-scale pores.
When forming such nanoporous films, e.g., wherein the precursor is applied to a substrate by spin-coating, the film coating is typically catalyzed with an acid or base catalyst and water to cause polymerization/gelation ("aging") during an initial heating step. The film is then cured, e.g., by subjecting the film to one or more higher temperature heating steps to, inter alia, remove any remaining solvent and complete the polymerization process, as needed. Other curing methods include subjecting the film to radiant energy, e.g., ultraviolet, electron beam, microwave energy, and the like.
Co-owned U.S. patents 6,204,202 and 6,413,882, incorporated by reference herein, provide silicon-based precursor compositions and methods for forming nanoporous silica dielectric films by degrading or vaporizing one or more polymers or oligomers present in the precursor composition. Co-owned U.S. patent 6,495,479, provides silicon-based precursor compositions and methods for forming nanoporous silica dielectric films by degrading or vaporizing one or more compounds or polymers present in the precursor composition. U.S. patent 5,895,263 describes forming a nanoporous silica dielectric film on a substrate, e.g., a wafer, by applying a composition comprising decomposable polymer and organic polysilica i.e., including condensed or polymerized silicon polymer, heating the composition to further condense the polysilica, and decomposing the decomposable polymer to form a porous dielectric layer.
Processes for application of precursor to a substrate, aging, curing, planarization, and rendering the film(s) hydrophobic are described, for example, by co-owned U.S. patents 6,589,889 and 6,037,275, among others. Substrates and wafers contemplated herein may comprise any desirable substantially solid material. Particularly desirable substrate layers would comprise films, glass, ceramic, plastic, metal or coated metal, or composite material. In preferred embodiments, the substrate comprises a silicon or germanium arsenide die or wafer surface, a packaging surface such as found in a copper, silver, nickel or gold plated leadframe, a copper surface such as found in a circuit board or package interconnect trace, a via-wall or stiffener interface ("copper" includes considerations of bare copper and it's oxides), a polymer-based packaging or board interface such as found in a polyimide-based flex package, lead or other metal alloy solder ball surface, glass and polymers such as polyimide. The "substrate" may even be defined as another polymer chain when considering cohesive interfaces. In more preferred embodiments, the substrate comprises a material common in the packaging and circuit board industries such as silicon, copper, glass, and another polymer. Subsequent semiconductor manufacturing processes such as deposition of cap film by PECVD techniques, and via and trench formation by patterning by means of etching and ashing, atomic layer deposition , physical vapor deposition, and a chemical vapor deposition treatment tend to remove carbon containing moieties which are hydrophobic groups from the organosilicate glass dielectric films and replace them with silanol groups. Undesirable properties result when the organosilicate glass dielectric films contain silanol groups. Silanols, and the water that they can adsorb from the air are highly polarizable in an electric field, and thus will raise the dielectric constant of the film, and will lower resistance to wet cleaning chemistries and increase volatile evolution. Also, when the trenches and vias are filled with a metal and subjected to an annealing treatment, metal shrinkage induces a stress on the via and trench walls and cause undesirable voids to form inside the dielectric material between the vias and/or trenches.
In order to remedy this problem, the organosilicate glass dielectric films are made substantially free of silanols and water by treatment with a treating agent to restore carbon containing moieties or increase the hydrophobicity of the organosilicate glass dielectric film. This makes the film resistant to stresses on the via and trench walls, such as induced by metal shrinkage during annealing, stress from other dielectric layers, and stress during packaging, thus deters undesirable voids from forming inside the dielectric material between the vias and/or trenches.
Etching and plasma remove hydrophobic functional groups. Damage to organosilicate glass dielectric films during semiconductor manufacturing processes results from the application of aggressive plasmas and/or etching reagents to etch trenches and vias into dielectric films. Plasmas are also used to remove photoresist films during fabrication of semiconductor devices. The plasmas used are typically composed of the elements oxygen, fluorine, hydrogen, carbon, argon, helium or nitrogen (in the form of free atoms, compounds, ions and/or radicals).
Dielectric films which are exposed to these plasmas during trench, via, etch and/or photoresist removal are easily degraded or damaged. Porous dielectric films have a very high surface area and are therefore particularly vulnerable to plasmas damage. In particular, silica based dielectric films which have organic content (such as methyl groups bonded to Si atoms) are readily degraded by oxygen plasmas. The organic group is oxidized into CO2 and a silanol or Si-OH group remains on the dielectric surface where the organic group formerly resided. Porous and non-porous low dielectric constant silica films depend on such organic groups (on surfaces) to remain hydrophobic. Loss of the hydrophobicity makes the dielectric constant rise (the low dielectric constant of such films is the key desired property of such materials) .
Wet chemical treatments are also used in IC production for the purpose of removing residues leftover after trench or via etching. The chemicals used are often so aggressive they will attack and remove organic groups in silica based dielectric films, especially porous silica films. Again, this damage will cause the films to lose their hydrophobicity. Wet chemical etchants include, for example, amides, such as N-methylpyrrolidinone, dimethylformamide, dimethylacetamide; alcohols such as ethanol and 2-propanol; alcoholamines such as ethanolamine; amines such as triethylamine; diamines such as ethylenediamine and N5N- diethylethylenediamine; triamines such as diethylenetriamine, diamine acids such as ethylenediaminetetracetic acid "EDTA"; organic acids such as acetic acid and formic acid; the ammonium salts of organic acids such as tetramethylammonium acetate; inorganic acids such as sulfuric acid, phosphoric acid, hydrofluoric acid; fluoride salts such as ammonium fluoride; and bases such as ammonium hydroxide and tetramethyl ammonium hydroxide; and hydroxyl amine; commercial formulations developed for post etch wet cleaning such as EKC 505, 525, 450, 265, 270, and 630 (EKC Corp., Hayward CA), and ACT-CMI and ACT-690 (Ashland Chemical, Hayward, CA). to name but a few art-known etchants. Ashing agents include plasmas derived from hydrogen, nitrogen, helium, argon, oxygen, and mixtures derived therefrom, and the like.
hi order to solve the above mentioned problems the invention provides methods of imparting hydrophobic properties to organosilicate glass dielectric films present on a substrate during the process of fabricating a semiconductor or IC device.
The methods of the invention include the steps of contacting the organosilicate glass dielectric film, after being subjected to at least one etchant or ashing reagent, but before said metal has been subjected to an annealing treatment, with a treating agent composition at a concentration and for a time period effective to restore at least some of the carbon containing moieties to the organosilicate glass dielectric film and increase the hydrophobicity of the organosilicate glass dielectric film; and (b) removing unreacted treating agent composition, reaction products and mixtures thereof. The treating agent composition includes at least one treating agent, i.e., a compound or charged derivative thereof, suitable for removing silanol moieties from the damaged silica dielectric film. Optionally, the etchant-damaged silica dielectric film is then subjected to wet cleaning step.
The overall treating agent composition comprises a component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation, an activating agent which may be an acid, a base, an onium compound, a dehydrating agent, and combinations thereof. The composition optionally, but preferably also contains a selected solvent, or mixture of a main solvent and a co-solvent capable of solubilizing with the component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation, and the activating agent.
A suitable treating agent composition includes one or more treating agents able to remove silanol groups from the surface of an etched and/or ashed organosilicate glass dielectric film that it is desired to render hydrophobic. These may be silane, silazane, silanols, or carboxysilyl. For example, a treating agent is a compound having a Formula:
I (1-13 ): (1) [-SiR2NR'-]n where n >2 and may be cyclic; (2) R3SiNR5SiR3, (3) (R3Si)3N; (4) R3SiNR'2; (5) R2Si(NR')2; (6) RSi(NR')3; (7) RxSiCl7, (8) RxSi(OH)y , (9) R3SiOSiR5 S, (10) RxSi(OR')y, (11) RxSi(OCOR5 )y, (12) RxSiHy; (13) RxSi[OC(R')=R"]4-x or combinations thereof,
wherein x is an integer ranging from 1 to 3, y is an integer ranging from 1 to 3 such that y=4-x; each R is an independently selected from hydrogen and a hydrophobic organic moiety. The R groups are preferably independently organic moieties consisting of alkyl, aryl and combinations thereof. The R5 group may be H5 alkyl, aryl, or carbonyl such as COR, CONR3 CO2R. The R" may be alkyl or carbonyl such as COR, CONR, CO2R
For all treating agents, the reactive silyl group must contain a hydrolyzable leaving group such as but not limited to -Cl, -Br5 - I, -OR 5 -NRx (where x= 1-2), -OCOR5 -OCO2R5 -NRCOR5 -NRCO2R5 -NRCONR5 -SR5 -SO2R . For reaction of the treating agent, hydrolysis may occur spontaneously with moisture present during the treating agent application and process, or pre-hydrolysis may be forced during the formulation process. The alkyl moiety is either functionalized or non-functionalized and is derived from groups of straight alkyl, branched alkyl, cyclic alkyl and combinations thereof, and wherein said alkyl moiety ranges in size from C1 to about C18. The functionalization may be a carbonyl, a halide, an amine, an alcohol, an ether, a sulfonyl or sulfide. The aryl moiety is substituted or unsubstituted and ranges in size from C5 to about C18, Preferably the treating agent is an acetoxysilane, or, for example, a monomer compound such as acetoxysilane, diacetoxysilane, triacetoxysilane, acetoxytrimethylsilane, diacetoxydimethylsilane, methyltriacetoxysilane, phenyltriacetoxysilane, diphenyldiacetoxysilane, methyltriethoxysilane, dimethyldiethoxysilane, trimethylethoxysilane, methyltrimethoxysilane, dimethyldimethoxysilane, trimethylmethoxysilane, methyltrichlorosilane, dimethyldichlorosilane, trimethylchlorsilane, methylsilane, dimethylsilane, trimethylsilane, hexamethyldisilazane, hexamethylcyclotrisilazane, bis(dimethylamino)dimethylsilane, bis(diethylamino)dimethylsialne, tris(dimethylamino)methylsilane, tris(dimethylamino)phenylsilane, tris(dimethylamino)silane, dimethylsilyldiformamide, dimethylsilyldiacetamide, dimethylsilyldiisocyante, trimethylsilyltriisocyanate, 2-trimethylsiloxypent-2-ene-4-one, n- (trimethylsilyl)acetamide, 2-(trimethylsilyl) acetic acid, n- (trimethylsilyl)imidazole, trimethylsilylpropiolate, trimethylsilyl(trimethylsiloxy)- acetate, nonamethyltrisilazane, hexamethyldisiloxane, trimethylsilanol, triethylsilanol, triphenylsilanol, t-butyldimethylsilanol, diphenylsilanediol, trimethoxysilane, triethoxysilane, trichlorosilane, and combinations thereof. In one noteworthy embodiment, the treating agent is methyltriacetoxysilane. In a preferred embodiment the treating agent is dimethyldiacetoxysilane.
Additional treating agents include multifunctional surface modification agents as described in detail in U.S. patent 6,208,014, incorporated by reference herein, as described above. Such multifunctional surface modification agents can be applied in either vapor or liquid form, optionally with or without co-solvents.
For example, as described in detail in U.S. 6,208,014, certain preferred surface modification agents will have two or more functional groups and react with surface silanol functional groups while minimizing mass present outside the structural framework of the film, and include, e.g., surface silanols may condense with suitable silanols such as Rx Si(OH 2)4-x
Wherein x =1-3, and each R is independently selected moieties, such as H and/or an organic moiety such as an alkyl, aryl or derivatives of these. When R is an alkyl, the alkyl moiety is optionally substituted or unsubstituted, and may be straight, branched or cyclic, and preferably ranges in size from C1 to about C18, or greater, and more preferably from C1 to about C8. When R is aryl, the aryl moiety preferably consists of a single aromatic ring that is optionally substituted or unsubstituted, and ranges in size from C5 to about C18 , or greater, and more preferably from C5 to about Cg. In a further option, the aryl moiety is a heteroaryl.
In another embodiment, alkoxy silanes may be used as the treating agent, e.g. suitable alkoxy silanes such as
Figure imgf000026_0001
wherein R are independently selected moieties, such as H and/or an organic moiety such as an alkyl, aryl or derivatives of these; R' are independently selected alkyl or aryl moieties. When R or R' is an alkyl, the alkyl moiety is optionally substituted or unsubstituted, and may be straight, branched or cyclic, and preferably ranges in size from C1 to about C18, or greater, and more preferably from C1 to about C8. When R or R' is aryl, the aryl moiety preferably consists of a single aromatic ring that is optionally substituted or unsubstituted, and ranges in size from C5 to about C18 , or greater, and more preferably from C5 to about C8. In a further option, the aryl moiety is a heteroaryl. Thus, the R groups independently selected from H, methyl, ethyl, propyl, phenyl, and/or derivatives thereof, provided that at least one R is organic. In one embodiment, both R groups are methyl, and a tri-functional surface modification agent is methyltrimethoxysilane.
In another embodiment, a suitable silane according to the invention has the general formula of RxSi(NR2) 4-χ
wherein X= 1-3, Rare independently H, alkyl and/or aryl. When any Rare alkyl and/or aryl. In preferred embodiments, R is selected from H, CH3, C6H5, and R2 and R3 are both CH3. Thus tri-functional treating agents include, e.g., tris(dimethylamino)methylsilane, tris(dimethylamino)phenylsilane, and/or tris(dimethylamino)silane. In addition, disubstituted silanes may be used such as hexamethylcyclotrisilazane, bisdimethylaminodimethylsilane, and bisdiethylaminodimethylsilane.
In yet another embodiment, a suitable silane according to the invention has the general formula of
RxSi (ON=CR2 ) 4-x or RxSi[OC(R')=R"]4-x
wherein x= 1-3 and the R groups are independently H, alkyl and/or aryl, R' may be H3 alkyl, aryl, alkoxy or aryloxy, and R" may be alkyl or carbonyl . Thus modification agents include, e^g., methyltris(methylethylketoxime)silane or 2- trimethylsiloxypent-2-ene-4-one respectively . In yet another embodiment, a suitable silane according to the invention has the general formula of
RxSi (NCOR2) 4-x or RxSi(NCO)4-x
wherein x== 1-3, R groups are independently H, alkyl and/or aryl. Thus surface modification agents include, e.g., dimethylsilyldiformamide, dimethylsilyldiacetamide, dimethylsilyldiisocyante, trimethylsilyltriisocyante .
In yet a further embodiment, a suitable silane according to the invention has the general formula of
RχSiCl4-x
wherein x= 1-3, is H, alkyl or aryl. In one preferred embodiment, Rx is CH3. Thus tri-functional surface modification agents include, e.g., methyltrichlorosilane.
In a more preferred embodiment, the treating agent includes one or more organoacetoxysilanes which have the following general formula, (ROxSi(OCOR2)J,
Preferably, x is an integer ranging in value from 1 to 2, and x and y can be the same or different and y is an integer ranging from about 2 to about 3, or greater.
Useful organoacetoxysilanes, including multifunctional alkylacetoxysilane and/or arylacetoxysilane compounds, include, simply by way of example and without limitation, methyltriacetoxysilane ("MTAS"), dimethyldiacetoxysilane (DMDAS)3 phenyltriacetoxysilane and diphenyldiacetoxysilane and combinations thereof.
The component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation is usually present in the treating agent composition in an amount of from about 0.1 weight percent to about 100 weight percent, more usually from about 1 weight percent to about 50 weight percent, and most usually from about 3 weight percent to about 30 weight percent.
The treating agent composition then contains an activating agent may be an activating agent which may be an acid, a base, an onium compound, a dehydrating agent, a hydroxide, or combinations thereof. Useful activating agents include amines, ammonium compounds, phosphonium compounds, sulfonium compounds, iodonium compounds, hydroxides, alkoxides, acid halides, silanolates, amine salts, and combinations thereof. Included are activating agents which may be alkyl amines, aryl amines, alcohol amines and mixtures thereof which suitably have a boiling point of about 100 0C or higher, usually about 125 0C or higher and more usually about 150 0C or higher. Useful acid activating agents non-exclusively include hydrochloric acid, sulfuric acid, nitric acid, boric acid, ethylsulfuric acid, chlorosulfuric acid, phosphonitrile chloride, iron chloride, zinc chloride, tin chloride, aluminum chloride, boron trifluoride, methanesulfonic acid, trifluoromethanesulfonic acid, iron chloride hexahydrate or combinations thereof. Useful activating agents which are dehydrating agents non-exclusively include phosphorous halides, phosphorous pentoxide, phenylphosphonic dichloride, and phenyl phosphorodichloridate, and combinations thereof.
Useful amine activating agent include primary amines, secondary amines, tertiary amines, ammonia, and quaternary ammonium salts. Useful amines are monoethanolamine, diethanolamine, triethanolamine, monoisopropanolamine, tetraethylenepentatnine, 2-(2-aminoethoxy)ethanol; 2-(2- aminoethylamino)ethanol and mixtures thereof.
In a desired embodiment of the invention the activating agent comprises tetramethylammonium acetate, tetrabutylammonium acetate or combinations thereof. Other activating agents include sodium hydroxide, cesium hydroxide, potassium hydroxide, lithium hydroxide and ammonium hydroxide. The activating agent is usually present in the treating agent composition in an amount of from about 0.0001 weight percent to about 10 weight percent, more usually from about 0.001 weight percent to about 1 weight percent, and most usually from about 0.01 weight percent to about 0.1 weight percent.
The treating agent composition includes a solvent capable of solubilizing with the component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation, and the activating agent.
In one embodiment, the solvent comprises a solvent or a mixture of a main solvent and a co-solvent, which mixture is capable of solubilizing the component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation and the activating agent; and which co-solvent has a higher vapor pressure and/or boiling point than the main solvent. In one embodiment, the main solvent has a boiling point of from about 100 0C to about 300 0C, preferably from about 110 0C to about 250 0C, and more preferably from about 130 0C to about 180 0C. In an embodiment, the co-solvent has a boiling point of from about 1 0C to about 100 0C higher than the main solvent. In another embodiment, the co-solvent has a boiling point of from about 10 0C to about 70 0C higher than the main solvent. In another embodiment, the co-solvent has a boiling point of from about 20 0C to about 50 0C higher than the main solvent.
The solvents and main solvent may be one or more ketones, ethers, esters, hydrocarbons, alcohols, carboxylic acids, amines, amides, and combinations thereof. Useful main solvents non-exclusively include 3-pentanone, 2- heptanone, gammabutyrolactone, propylene glycol methyl ether acetate, acetic acid, and combinations thereof.
Solvents and co-solvents may be ethylacetoacetate, methyl acetoacetate, t-butyl acetoacetate, 2-methoxyethyl acetoacetate, allyl acetoacetate, benzyl acetoacetate, nonyl acetate, 2-(2-butoxyethoxy)ethyl acetate, phenthyl acetate, 2-butoxyethyl acetate, 2-ethylhexyl acetate, alpha-methylbenzyl acetate, dimethylsulfoxide, N- methyl-N-methoxyacetamide, N,N-diethyl-2-phenylacetamide, N5N- dimethylacetamide, N,N-diethylacetamide, N,N-diphenylacetamide, N5N- dimethypropionamide, N,N-dimethylisobutyramide, 1,2-dichlorobenzene, chlorotoluene, 1-hexanol, 2-ethyl-l-hexanol, 5-methyl-l-hexanol, 6-phenyl-l- hexanol, 1-heptanol, 2-heptanol, 4-heptanol, 4-methyl-3-heptanol, 6-methyl-2- heptanol, 2,6-dimethylheptanol, 1-octanol, or combinations thereof. Preferably the co-solvent comprises ethylacetoacetate, dimethylsulfoxide, 1-hexanol, or combinations thereof. Preferably the main solvent is present in the mixture in an amount of from about 0.1 to about 99.9 percent by weight of the miscible mixture, more preferably from about 50 to about 99 percent by weight of the miscible mixture, and still more preferably from about 70 to about 97 percent by weight of the miscible mixture. Preferably the co-solvent is present in the mixture in an amount of from about 0.1 to about 99.9 based on the percent by weight of the miscible mixture, more preferably from about 0.5 to about 50 percent by weight of the miscible mixture, and still more preferably from about 1 to about 30 percent by weight of the miscible mixture. The total amount of solvent present in the treating agent composition may be an amount of from about 0.1 weight percent to about 99.9 weight percent, more usually from about 50 weight percent to about 99 weight percent, and most usually from about 70 weight percent to about 97 weight percent. In another embodiment of the invention, the treating agent composition includes a supercritical solvent, such as supercritical carbon dioxide.
Optionally, the treating agent composition includes a corrosion inhibitor, such as a corrosion inhibitor which chelates with copper. Such may include benzotriazole, tolyltriazole, and combinations thereof. The corrosion inhibitor, when employed, is usually present in the treating agent composition in an amount of from about 0.001 weight percent to about 10 weight percent, more usually from about 0.01 weight percent to abo'ut 5 weight percent, and most usually from about 0.2 weight percent to about 1 weight percent.
The treating agent composition is formed by blending the selected components into a mixture. The treating agent composition contacts the damaged silica dielectric film as a liquid, vapor or gas, and/or plasma. If in the form of a plasma, the plasma can be derived from a silane compound, a hydrocarbon, an aldehyde, an ester, an ether, and/or combinations thereof. The terms, "agent" or "agents" herein should be considered to be synonymous with the terms, "reagent" or "reagents," unless otherwise indicated. Optionally the treatment further comprises the subsequent step of removing unreacted treating agent composition, reaction products and mixtures thereof and/or the subsequent step of heating the increased hydrophobicity organosilicate glass dielectric film.
In yet another embodiment, a wet clean using chemicals such as AP395 or dilute HF is performed after the bake step in the above-mentioned embodiments. The wet clean is useful to remove any resist residues remaining after the ash. Untreated low-k dielectric materials after etch and ash are prone to attack by the wet clean agents. The treating agent treatment significantly improves resistance of the low-k dielectric to attack by wet clean.
Depending on the process flow, a copper surface may be exposed during the treating agent treatment, especially at the bottom of via. In addition to removing native oxide from copper surface, the wet clean can also remove any reaction product between treating agent and an exposed copper surface. Specifically, a wet clean using AP395 can clean a copper (or any suitable metal or metal alloy) surface that is previously exposed to a treating agent treatment using DMDAS.
As used herein, the term "metal" means those elements that are in the d-block and f-block of the Periodic Chart of the Elements, along with those elements that have metal-like properties, such as silicon and germanium. As used herein, the phrase "d-block" means those elements that have electrons filling the 3d, 4d, 5d, and 6d orbitals surrounding the nucleus of the element. As used herein, the phrase "f- block" means those elements that have electrons filling the 4f and 5f orbitals surrounding the nucleus of the element, including the lanthanides and the actinides. Preferred metals include indium, silver, copper, aluminum, tin, bismuth, gallium and alloys thereof, silver coated copper, and silver coated aluminum. The term "metal" also includes alloys, metal/metal composites, metal ceramic composites, metal polymer composites, as well as other metal composites.
In yet another embodiment, the wet clean can be performed before the bake process in the first contemplated embodiment. The high temperature bake step is performed after the wet clean. An advantage of this method can be that the wet clean can remove excess treating agent and any reaction product with any exposed copper surface, before it is "hardened" by the bake process. This can result in lower volatile components in the dielectric material and a cleaner copper surface. Both can result in an improved long term reliability.
In another contemplated embodiment, an additional dehydration bake at 100 - 4000C from 1 min to 120 min is performed before the treating agent (TA) treatment. The dehydration bake removes any moisture adsorbed in the damaged low-k dielectric. Removal of moisture from the dielectric prior to treating agent treatment renders the treatment more effective.
In an alternative embodiment, the treating agent composition is provided by exposing the etchant-damaged organosilicate glass dielectric film to a plasma which is derived from any of the above mentioned treating agent. In a typical procedure, the organosilicate glass dielectric film is placed in a plasma generating chamber, such as a plasma enhanced chemical vapor deposition (PECVD) system; the vapor of a treating agent composition and argon vapor are passed through the plasma generating chamber; then an RF energy source is activated to create a plasma; the argon gas is included to help promote the formation of plasma. The plasma is composed of ionic fragments derived from the treating agent composition; for example, the ion fragment CH3Si+ is generated from methylsilane (CH3SiH3). This fragment reacts with silanol groups to form hydrophobic Si-CH3 moieties. Any of the above mentioned treating agent compositions can be used for this plasma induced surface treatment.
Other suitable treating agent compositions for a plasma induced surface treatment include C1 - C12 alkyl and aromatic hydrocarbons. The most preferred hydrocarbon is methane. Other reagents for plasma induced treating agent compositions include aldehydes, esters, acid chlorides, and ethers. Suitable aldehydes include acetaldehyde and benzaldehyde; suitable esters include ethyl acetate and methyl benzoate; suitable acid chlorides include acetyl chloride and benzyl chloride; and suitable ethers include diethyl ether and anisole. A wide variety of single wafer or multiple wafer (batch) plasma systems can be used for this process; these systems include so called downstream ashers, such as the Gasonics L3510 photoresist asher, PECVD dielectric deposition systems such as the Applied Materials P5000, or reactive ion etch ("RIE") systems. Broadly, the conditions for the plasma process are within the following ranges: chamber temperature, 2OC to 45O0C; RF power, 5OW to 100OW; chamber pressure, 0.05 to 100 torr; plasma treatment time, 5 seconds to 5 minutes; and surface modification flow rate, 100 - 2000 seem; inert gas flow rate (typically argon), 100- 2000sccm.
The artisan will appreciate that the invention is also contemplated to encompass methods of imparting a hydrophobic surface to silica dielectric films, porous and/or nonporous, whether damaged or not, by application of the above-described plasma surface treatments. Microelectronic devices, such as semiconductor devices or ICs manufactured using these methods are also a part of the present invention. A microelectronic device may be produced by a process comprising: a) applying an organosilicate glass dielectric film onto a substrate; b) forming a pattern of vias and/or trenches in the organosilicate glass dielectric film, and subjecting the organosilicate glass dielectric film to at least one treatment which removes at least a portion of previously existing carbon containing moieties or reduces hydrophobicity of said organosilicate glass dielectric film; c) contacting the organosilicate glass dielectric film with a treating agent composition at a concentration and for a time period effective to increase the hydrophobicity of the organosilicate glass dielectric film, wherein the treating agent composition comprises a component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation, an activating agent which may be an amine, an onium compound, an alkali metal hydroxide, and combinations thereof; and either a miscible mixture of a main solvent as listed above and a co- solvent as listed above, or only a co-solvent as listed above; then optionally baking at from about 80 0C to about 500 0C for about 10 seconds or more. In one embodiment, baking may be done by heating at temperatures of from about 90 0C to about 450 0C. In another embodiment, heating may be done at temperatures of from about 100 0C to about 400 0C, in yet another embodiment, heating may be done at temperatures of from about 125 0C to about 350 0C. Such heating may be done for from about 10 seconds or more, preferably for from about 10 seconds to about 60 minutes. The next step is d) filling the vias and/or trenches with a metal by any method known in the art; and then e) optionally subjecting the metal to an annealing treatment. In one embodiment, annealing may be done by heating the device at temperatures of from about 150 0C to about 350 0C. In another embodiment, annealing may be done by heating the device at temperatures of from about 200 0C to about 250 0C, Annealing may be done for from about 10 seconds to about 60 minutes.
The microelectronic devices, dielectric layers and materials may be utilized or incorporated into any suitable electronic component. Electronic components, as contemplated herein, are generally thought to comprise any dielectric component or layered dielectric component that can be utilized in an electronic-based product. Contemplated electronic components comprise circuit boards, chip packaging, dielectric components of circuit boards, printed- wiring boards, and other components of circuit boards, such as capacitors, inductors, and resistors.
Electronic-based products can be "finished" in the sense that they are ready to be used in industry or by other consumers. Examples of finished consumer products are a television, a computer, a cell phone, a pager, a palm-type organizer, a portable radio, a car stereo, and a remote control. Also contemplated are "intermediate" products such as circuit boards, chip packaging, and keyboards that are potentially utilized in finished products.
Electronic products may also comprise a prototype component, at any stage of development from conceptual model to final scale-up mock-up. A prototype may or may not contain all of the actual components intended in a finished product, and a prototype may have some components that are constructed out of composite material in order to negate their initial effects on other components while being initially tested. Electronic products and components may comprise layered materials, layered components, and components that are laminated in preparation for use in the component or product.
The following non-limiting examples serve to illustrate the invention.
EXAMPLE 1 (2-HEPTANONE + ACETIC ACIDI '
1.257g of 1% solution of tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), 44.24 g of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012), and 4.49 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007) were mixed together in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.1 μm using a teflon filter. Approximately 2.0-3.0 ml of the formulation was deposited onto an 8" etched (C4F8; 20s.) & ashed (plasma O2; 20s.) carbon depleted porous SiCOH film (-4000A thick NANOGLASS-E®). After deposition, the wafer was spun at 2500 rpm for 30 seconds to form a film. The films were heated at elevated temperatures for 1 min. each at 125 0C, 200 0C and 350 0C in N2 ambient. The following results were observed:
Figure imgf000038_0001
In a similar way 2.0-3.0 ml of the formulation was deposited, spun and baked onto an 8" Si- wafer The following results were observed: KLA 2132: Defect Density+ 5000 counts/cm2.
EXAMPLE 2
2-Heptanone + Hexanol
0.0126g tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), were added to 1.247g 1-Hexanol, the mix was stirred until dissolution, then 44.24 g of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012), and 4.49 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007) were added in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.1 μm using a teflon filter. Approximately 2.0-3.0 ml of The formulation was deposited onto an 8" etched (C4F8; 20s.) & ashed (plasma O2; 20s.) carbon depleted porous SiCOH film (-4000A thick NANOGLASS-E®). After deposition, the wafer was spun at 2500 rpm for 30 seconds to form a film. The films were heated at elevated temperatures for 1 min. each at 125 0C, 200 0C and 350 0C in N2. The following results were observed:
Figure imgf000039_0001
In a similar way 2.0-3.0 ml of the formulation was deposited, spun and baked onto an 8" Si- wafer. The following results were observed: KLA 2132 Defect Density 224-458 counts/cm2.
EXAMPLE 3
Dimethylsulfoxide
0.0126g tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), were added to 1.247g dimethylsulfoxide, the mix was stirred until dissolution, then 44.24 g of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012), and 4.49 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007) were added in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.1 μm using a teflon filter. Approximately 2.0-3.0 ml of The formulation was deposited onto an 8" etched (C4F8; 20s.) & ashed (plasma O2; 20s.) carbon depleted porous SiCOH film (-4000A thick NANOGLASS-E®). After deposition, the wafer was spun at 2500 rpm for 30 seconds to form a film. The films were heated at elevated temperatures for 1 min. each at 125 0C, 200 0C and 350 0C in N2. The following results were observed:
Figure imgf000040_0001
In a similar way 2.0-3.0 ml of the formulation was deposited, spun and baked onto an 8" Si-wafer. The following results were observed: KLA 2132 Defect Density 177-885 counts/cm2.
EXAMPLE 4
Ethyl Acetoacetate
0.0126g tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), were added to 45.49 g ethyl acetoacetate, the mix was stirred until dissolution, then 4.49 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007) were added in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.1 μm using a teflon filter. Approximately 2.0-3.0 ml of The formulation was deposited onto an 8" etched (C4F8; 20s.) & ashed (plasma O2; 20s.) carbon depleted porous SiCOH film (-4000A thick NANOGLAS S-E*). After deposition, the wafer was spun at 2500 rpm for 30 seconds to form a film. The films were heated at elevated temperatures for 1 min. each at 125 0C, 200 0C and 350 0C in N2. The following results were observed:
Figure imgf000041_0001
In a similar way 2.0-3.0 ml of the formulation was deposited, spun and baked onto an 8" Si- wafer. The following results were observed: KLA 2132 Defect Density 237-390 counts/cm2.
EXAMPLE 5
2-Heptanone + Dimethylsulfoxide
0.0126g tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), were added to 1.247g dimethylsulfoxide, the mix was stirred until dissolution, then 44.24 g of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012), and 4.49 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007) were added in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.1 μm using a teflon filter. Approximately 2.0-3.0 ml of The formulation was deposited onto an 8" etched (C4F8; 20s.) & ashed (plasma O2; 20s.) carbon depleted porous SiCOH film (-4000A thick NANOGLASS-E®). After deposition, the wafer was spun at 2500 rpm for 30 seconds to form a film. The films were heated at elevated temperatures for 1 min. each at 125 0C, 200 0C and 350 0C in N2. The following results were observed:
Figure imgf000042_0001
In a similar way 2.0-3.0 ml of the formulation was deposited, spun and baked onto an 8" Si- wafer. The following results were observed: KLA 2132 Defect Density 227-1520 counts/cm2.
EXAMPLE 6
2-Heptanone + Ethyl Acetoacetate
0.0126g tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), were added to 1.247g ethyl acetoacetate, the mix was stirred until dissolution, then 44.24 g of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012), and 4.49 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007) were added in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.1 μm using a teflon filter. Approximately 2.0-3.0 ml of The formulation was deposited onto an 8" etched (C4F8; 20s.) & ashed (plasma O2; 20s.) carbon depleted porous SiCOH film (-4000A thick NANOGLASS-E®). After deposition, the wafer was spun at 2500 rpm for 30 seconds to form a film. The films were heated at elevated temperatures for 1 min. each at 125 0C, 200 0C and 350 0C in N2. The following results were observed:
Figure imgf000043_0001
hi a similar way 2.0-3.0 ml of the formulation was deposited, spun and baked onto an 8" Si- wafer. The following results were observed: KLA 2132 Defect Density 0.9-1.8 counts/cm2.
EXAMPLE 7 2-Heptanone + Ethyl Acetoacetate
37.6 g of 0.255% solution of tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), in Ethyl acetoacetate (0.359 g tetramethylammonium acetate +140.00g Ethyl acetoacetate) were added by 84.90 g of 0.5% solution of tetrabutylammonium acetate in 2-Heptanone (2.175 g tetrabutylammonium acetate + 435 g 2-Heptanone), 1242.5Og 2-Heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012), and 135 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007) were added in a 2L particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was subjected to 2-pass filtration using 0.04 micron filter (Meissner CSPMO.04-442). Approximately 2.0-3.0 ml of The formulation was deposited onto an 8" etched (C4Fg; 20s.) & ashed (plasma O2; 20s.) carbon depleted porous SiCOH film (-4000A thick NANOGLASS-E®). After deposition, the wafer was spun at 2500 rpm for 30 seconds to form a film. The films were heated at elevated temperatures for 1 min. each at 125 0C, 200 0C and 350 0C in N2. The following results were observed:
Figure imgf000044_0001
In a similar way 2.0-3.0 ml of the formulation was deposited, spun and baked onto an 8" Si-wafer. The following results were observed: KLA 2132 Defect Density 1.7-1.9 counts/cm2.
EXAMPLE 8
2-Heptanone + Ethyl Acetoacetate
37.6 g of 0.255% solution of tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), in Ethyl acetoacetate (0.359 g tetramethylammonium acetate +140.0Og Ethyl acetoacetate) were added by
84.90 g of 0.5% solution of tetrabutylammonium acetate in 2-Heptanone (2.175 g tetrabutylammonium acetate + 2-Heptanon to weight 435.00 g), 1242.5Og 2- Heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012), and 135.00 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007) were added in a 2L particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was subjected to 2-pass filtration using 0.04 micron filter (Meissner CSPMO.04-442). Approximately 2.0- 3.0 ml of The formulation was deposited onto an 8" etched (C4Fs; 20s.) & ashed (plasma O2; 20s.) carbon depleted porous SiCOH film (~4OOθA thick NANOGLASS-E®). After deposition, the wafer was spun at 2500 rpm for 30 seconds to form a film. The films were heated at elevated temperatures for 1 min. each at 125 0C5 200 0C and 350 0C in N2. The following results were observed:
Figure imgf000045_0001
In a similar way 2.0-3.0 ml of the formulation was deposited, spun and baked onto an 8" Si-wafer. The following results were observed: KLA 2132 Defect Density 20.6 counts/cm2.
EXAMPLE 9
A precursor was made by adding 9.72 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g of 3-ρentanone (Ultra Pure Solutions Inc., Castroville, CA 85012), 280 ppm of tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), together in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing the diluted precursor was hand filtered to 0.2 μm using a teflon filter. Approximately 2.0-3.0 ml of the precursor was deposited onto an 8" etched (C4F8; 20s.) & ashed (plasma O2; 20s.) carbon depleted porous dielectric film (~4000A thick). After deposition, the film was spun at 2500 rpm for 30 seconds to remove all volatile species. The films were heated at elevated temperatures for 1 min. each at 125 0C, 200 0C and 350 0C in air. The following results were observed:
Figure imgf000046_0001
EXAMPLE 10
A precursor was made by adding 9.72 g of dimethyldiacetoxysilane (Gelest,
Tullytone, PA 19007), 26.0 g of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012), 280 ppm of tetramethylammonium acetate (Aldrich Chemical Company,. Milwaukee, WI 53201), together in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.2 μm using a teflon filter. Approximately 2.0-3.0 ml of the precursor was deposited onto an 8" etched (C4F8; 20s.) & ashed (plasma O2; 20s.) carbon depleted porous film (~4OOθA thick). After deposition, the film was spun at 2500 rpm for 30 seconds to remove all volatile species. The films were heated at elevated temperatures for 1 min. each at 125 0C, 200 0C and 350 0C in air. The following results were observed:
Figure imgf000046_0002
EXAMPLE I l
A precursor was made by adding 9.72 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g of propylene glycol methyl ether acetate (General Chemical., Hollister, CA 95023), 280 ppm of tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), together in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.2 μm using a teflon filter. Approximately 2.0-3.0 ml of the precursor was deposited onto an 8" etched (C4F8; 20s.) & ashed (plasma O2; 20s.) carbon depleted porous film (-4000A thick). After deposition, the film was spun at 2500 rpm for 30 seconds to remove all volatile species. The films were heated at elevated temperatures for 1 min. each at 125 0C, 200 0C and 350 0C in air. The following results were observed:
Figure imgf000047_0001
EXAMPLE 12
The precursor was made by adding 9.72 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012), 2800 ppm of tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), together in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.2 μm using a teflon filter. Approximately 2.0-3.0 ml of the precursor was deposited onto an 8" etched (C4F8; 20s.) & ashed (plasma O2; 20s.) carbon depleted porous film (-4000A thick). After deposition, the film was spun at 2500 rpm for 30 seconds to remove all volatile species. The films were heated at elevated temperatures for 1 min. each at 125 0C, 200 0C and 350 0C in air. The following results were observed:
Figure imgf000048_0001
EXAMPLE 13
The precursor was made by adding 2.65 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012), 2800 ppm of tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), together in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.2 μm using a teflon filter. Approximately 2.0-3.0 ml of the precursor was deposited onto an 8" etched (C4F8; 20s.) & ashed (plasma O2; 20s.) carbon depleted porous film (-4000A thick). After deposition, the film was spun at 2500 rpm for 30 seconds to remove all volatile species. The films were heated at elevated temperatures for 1 min. each at 125 0C, 200 0C and 350 0C in air. The following results were observed:
Figure imgf000048_0002
EXAMPLE 14
The precursor was made by adding 2.65 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g of 2-heρtanone (Ultra Pure Solutions Inc., Castro ville, CA 85012), 4200 ppm of tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), together in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.2 μm using a teflon filter. Approximately 2.0-3.0 ml of the precursor was deposited onto an 8" etched (C4Fg; 20s.) & ashed (plasma O2; 20s.) carbon depleted porous film (-4000A thick). After deposition, the film was spun at 2500 rpm for 30 seconds to remove all volatile species. The films were heated at elevated temperatures for 1 min. each at 125 0C, 200 0C and 350 0C in air. The following results were observed:
Figure imgf000049_0001
EXAMPLE 15
The precursor was made by adding 0.810 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012), 4200 ppm of tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), together in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.2 μm using a teflon filter. Approximately 2.0-3.0 ml of the precursor was deposited onto an 8" etched (C4F8; 20s.) & ashed (plasma O2; 20s.) carbon depleted porous film (-4000A thick). After deposition, the film was spun at 2500 rpm for 30 seconds to remove all volatile species. The films were heated at elevated temperatures for 1 min. each at 125 0C, 200 0C and 350 0C in air. The following results were observed:
Figure imgf000050_0001
EXAMPLE 16
The precursor was made by adding 9.72 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g of 2-heptanone (Ultra Pure Solutions Inc., Castro ville, CA 85012), 4200 ppm of tetramethylammonium acetate (Aldrich Chemical Company, Milwaukee, WI 53201), together in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.2 μm using a teflon filter. Approximately 2.0-3.0 ml of the precursor was deposited onto an 8" etched (C4F8; 20s.) & ashed (plasma O2; 20s.) carbon depleted porous film (~4OOθA thick). After deposition, the film was spun at 2500 rpm for 30 seconds to remove all volatile species. The films were heated at elevated temperatures for 1 min. each at 125 0C, 200 0C and 350 0C in air. The following results were observed:
Figure imgf000051_0001
EXAMPLE 17
Two precursors were made to compare the effects of treating agent with and without tetramethylamrnonium acetate. One precursor was made by adding 9.72 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), 26.0 g of 3- pentanone (Ultra Pure Solutions Inc., Castroville, CA 85012), 280 ppm of tetramethylammonium acetate (TMAA) (Aldrich Chemical Company, Milwaukee, WI 53201), together in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.2 μm using a teflon filter. The second precursor was made by adding 9.72 g of dimethyldiacetoxysilane (Gelest, Tullytone, PA 19007), and 26.0 g of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012), together in a 60 ml particle free high density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.2 μm using a teflon filter. Approximately 2.0-3.0 mis of each precursor were deposited onto separate 8" etched (C4F8; 20s.) & ashed (plasma O2; 20s.) carbon depleted porous films (~4OOθA thick). After deposition, each film was spun at 2500 rpm for 30 seconds to remove all volatile species. Each films were heated at elevated temperatures for 1 min. each at 125 0C, 200 0C and 350 0C in air. The following results were observed:
Figure imgf000052_0001
EXAMPLE 18
The precursor was made by adding 3.25g of hexamethylcyclotrisilazane (Gelest, Tullytone, PA 19007) and 25.0 g of 2-heptanone (Ultra Pure Solutions Inc., Castro ville, CA 85012) together in a 60 ml particle free high-density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.2 μm using a teflon filter. Approximately 2.0-3.0 ml of the precursor was deposited onto an 8" etched (C4F8; 20s.) & ashed (plasma O2; 20s.) carbon depleted porous film (~4000A thick). After deposition, the film was spun at 2500 rpm for 30 seconds to remove all volatile species. The films were heated at elevated temperatures for 1 min. each at 125 0C, 200 0C and 350 0C in air. The following results were observed:
Figure imgf000052_0002
EXAMPLE 19
The precursor was made by adding 6.5g of bis(dimethylamino)dimethylsilane (Gelest, Tullytone, PA 19007) and 22.Og of 2-heptanone (Ultra Pure Solutions Inc., Castroville, CA 85012) together in a 60 ml particle free high-density polyethylene bottle. The solution was mixed vigorously for one minute. After mixing, the diluted precursor was hand filtered to 0.2 μm using a teflon filter. Approximately 2.0-3.0 ml of the precursor was deposited onto an 8" etched (C4F8; 20s.) & ashed (plasma O2; 20s.) carbon depleted porous film (-4000A thick). After deposition, the film was spun at 2500 rpm for 30 seconds to remove all volatile species. The films were heated at elevated temperatures for 1 min. each at 125 0C, 200 0C and 350 0C in air. The following results were observed:
Figure imgf000053_0001
While the present invention has been particularly shown and described with reference to preferred embodiments, it will be readily appreciated by those of ordinary skill in the art that various changes and modifications may be made without departing from the spirit and scope of the invention. It is intended that the claims be interpreted to cover the disclosed embodiment, those alternatives which have been discussed above and all equivalents thereto.

Claims

What is claimed is:
1. A composition for treating an organosilicate glass dielectric film which comprises: a) a component capable of alkylating or arylating silanol moieties of an organosilicate glass dielectric film via silylation, and b) an activating agent.
2. The composition of claim 1 further comprising a solvent, or a mixture of a main solvent and a co-solvent, wherein the solvent or mixture of a main solvent and a co-solvent solubilizes the component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation and the activating agent; and wherein the co-solvent has a higher vapor pressure and/or boiling point than the main solvent.
3. The composition of claim 1 wherein the activating agent comprises one or more acids, bases, onium compounds, dehydrating agents, hydroxides, or combinations thereof.
4. The composition of claim 2 wherein the solvent or main solvent comprises 3- pentanone, 2- heptanone, gammabutyrolactone, propylene glycol methyl ether acetate, acetic acid, or combinations thereof.
5. The composition of claim 2 wherein the co-solvent has a boiling point of from about 1 0C to about 100 0C higher than the main solvent.
6. The composition of claim 2 wherein the co-solvent has a boiling point of from about 10 0C to about 70 0C higher than the main solvent.
7. The composition of claim 2 wherein the co-solvent has a boiling point of from about 20 0C to about 50 0C higher than the main solvent.
8. The composition of claim 2 wherein the solvent or main solvent comprises one or more ketones, ethers, esters, hydrocarbons, alcohols, carboxylic acids, amines, amides, or combinations thereof.
9. The composition of claim 2 wherein the solvent or co-solvent comprises ethylacetoacetate, methyl acetoacetate, t-butyl acetoacetate, 2-methoxyethyl acetoacetate, allyl acetoacetate, benzyl acetoacetate, nonyl acetate, 2-(2- butoxyethoxy)ethyl acetate, phenthyl acetate, 2-butoxyethyl acetate, 2-ethylhexyl acetate, alpha-methylbenzyl acetate, dimethylsulfoxide, N-methyl-N- methoxyacetamide, N,N-diethyl-2-phenylacetamide, N,N-dimethylacetamide, N,N-diethylacetamide, N,N-diphenylacetamide, N,N-dimethypropionamide, N5N- dimethylisobutyramide, 1,2-dichlorobenzene, chlorotoluene, 1-hexanol, 2-ethyl-l- hexanol, 5 -methyl- 1-hexanol, 6-phenyl- 1-hexanol, 1-heptanol, 2-heptanol, 4- heptanol, 4-methyl-3-heptanol, 6-methyl-2-heptanol, 2,6-dimethylheptanol, 1- octanol, or combinations thereof.
10. The composition of claim 2 wherein the co-solvent comprises ethylacetoacetate, dimethylsulfoxide, 1-hexanol, N,N-dimethylacetamide or combinations thereof.
11. The composition of claim 2 wherein the mixture comprises 2-heptanone and ethylacetoacetate.
12. The composition of claim 2 wherein the main solvent is present in the mixture in an amount of from about 0.1 to about 99.9 based on the percent by weight of the mixture.
13. The composition of claim 2 wherein the co-solvent is present in the mixture in an amount of from about 0.1 to about 99.9 based on the percent by weight of the mixture.
14. The composition of claim 1 wherein the activating agent comprises one or more amines, ammonium compounds, phosphonium compounds, sulfonium compounds, iodonium compounds, hydroxides, alkoxides, acid halides, silanolates, amine salts, or combinations thereof.
15. The composition of claim 1 wherein the activating agent comprises one or more alkyl amines, aryl amines, alcohol amines, or combinations thereof.
16. The composition of claim 1 wherein the activating agent comprises one or more primary amines, secondary amines, tertiary amines, ammonia, quaternary ammonium salts, or combinations thereof.
17. The composition of claim 1 wherein the activating agent comprises tetramethylammonium acetate, tetrabutylammonium acetate or combinations thereof.
18. The composition of claim 1 wherein the activating agent comprises hydrochloric acid, sulfuric acid, nitric acid, boric acid, ethylsulfuric acid, chlorosulfuric acid, phosphonitrile chloride, iron chloride, zinc chloride, tin chloride, aluminum chloride, boron trifluoride, methanesulfonic acid, trifluoromethanesulfonic acid, iron chloride hexahydrate or combinations thereof.
19. The composition of claim 1 wherein the activating agent comprises one or more phosphorous halides, phosphorous pentoxide, phenylphosphonic dichloride, and phenyl phosphorodichloridate, or combinations thereof.
20. The composition of claim 1 wherein the activating agent comprises sodium hydroxide, cesium hydroxide, potassium hydroxide, lithium hydroxide, ammonium hydroxide, or combinations thereof.
21. The composition of claim 1 wherein the component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation comprises at least one compound having a formula [-SiR2NR' -]n where n >2 and may be cyclic; R3SiNR5SiR3, (R3Si)3N; R3SiNR'2; R2Si(NR')2; RSi(NR')3; RxSiCIy, RxSi(OH)y; R3Si0SiR'3; RxSi(OR')y; RxSi(OCOR' )y; RxSiHy; RxSi[OC(R')=R"]4-x or combinations thereof, wherein x is an integer ranging from 1 to 3, y is an integer ranging from 1 to 3 such that y=4-x , each R is independently selected from hydrogen and a hydrophobic organic moiety; R' is hydrogen, or an organic moiety, and R" is an alkyl or carbonyl group.
22. The treating agent composition of claim 1 wherein the component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation comprises acetoxytrimethylsilane, acetoxysilane, diacetoxysilane, triacetoxysilane, diacetoxydimethylsilane, methyltriacetoxysilane, phenyltriacetoxysilane, diphenyldiacetoxysilane, methyltriethoxysilane, dimethyldiethoxysilane, trimethylethoxysilane, methyltrimethoxysilane, dimethyldimethoxysilane, , trimethylmethoxysilane, methyltrichlorosilane, dimethyldiclilorosilane, trimethylchlorsilane, methylsilane, dimethylsilane, trimethylsilane, hexamethyldisilazane, 2-trimethylsiloxypent-2-ene-4-one, n- (trimethylsilyl)acetamide, 2-(trimethylsilyl) acetic acid, n- (trimethylsilyl)imidazole, trimethylsilylpropiolate, trimethylsilyl(trimethylsiloxy)- acetate, nonamethyltrisilazane, liexamethyldisiloxane, trimethylsilanol, triethylsilanol, triphenylsilanol, t-butyldimethylsilanol, diphenylsilanediol, trimethoxysilane, triethoxysilane, trichlorosilane, hexamethylcyclotrisilazane, bisdimethylaminodimethylsilane, bisdiethylaminodimethylsilane, tris(dimethylamino)methylsilane, tris(dimethylamino)phenylsilane, tris(diniethylamino)silane, dimethylsilyldiformamide, dimethylsilyldiacetamide, dimethylsilyldiisocyante, trimethylsilyltriisocyanate or combinations thereof.
23. The treating agent composition of claim 1 wherein the component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation comprises dimethyldiacetoxysilane; and the activating agent comprises tetramethylammonium acetate.
24. The composition of claim 1 wherein the component capable of alkylating or arylating silanol moieties of a organosilicate glass dielectric film via silylation comprises dimethyldiacetoxysilane.
25. The composition of claim 1 wherein the component capable of alkylating or arylating silanol moieties of a organosilicate glass dielectric film via silylation comprises dimethyldiacetoxysilane and the activating agent comprises a combination of tetramethyl ammonium acetate and tetrabutyl ammonium acetate.
26. The composition of claim 1 further comprising a corrosion inhibitor.
27. A method which comprises: a) forming an organosilicate glass dielectric film; b) contacting the organosilicate glass dielectric film with a composition which comprises a component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation; and an activating agent.
28. The method of claim 27 wherein the composition further comprises a solvent or a mixture of a main solvent and a co-solvent, wherein the solvent or mixture of a main solvent and a co-solvent solubilizes the component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation and the activating agent; and wherein the co-solvent has a higher vapor pressure and/or boiling point than the main solvent.
29. The method of claim 28 wherein:
(i) the solvent or main solvent comprises one or more ketones, ethers, esters, hydrocarbons, alcohols, carboxylic acids, amides, or combinations thereof; and (ii) the solvent or co-solvent comprises ethylacetoacetate, methyl acetoacetate, t- butyl acetoacetate, 2-methoxyethyl acetoacetate, allyl acetoacetate, benzyl acetoacetate, nonyl acetate, 2-(2-butoxyethoxy)ethyl acetate, phenthyl acetate, 2- butoxyethyl acetate, 2-ethylhexyl acetate, alpha-methylbenzyl acetate, dimethylsulfoxide, N-methyl-N-methoxyacetamide, N,N-diethyl-2- phenylacetamide, N,N-dimethylacetamide, 1,2-dichlorobenzene, chlorotoluene, N,N-diethylacetamide, N,N-diphenylacetamide, N,N-dimethypropionamide, N5N- dimethylisobutyramide, 1-hexanol, 2-ethyl-l-hexanol, 5-methyl-l-hexanol, 6- phenyl-1-hexanol, 1-heptanol, 2-heptanol, 4-heptanol, 4-methyl-3-heptanol, 6- methyl-2-heptanol, 2,6-dimethylheptanol, 1-octanol, or combinations thereof.
30. The method of claim 278 wherein the activating agent comprises one or more acids, bases, onium compounds, dehydrating agents, or combinations thereof.
31. The method of claim 27 wherein the organosilicate glass dielectric film is porous.
32. The method of claim 27 wherein the organosilicate glass dielectric film is substantially non-porous.
33. The method of claim 27 wherein the component capable of alkylating or arylating silanol moieties of a organosilicate glass dielectric film via silylation comprises dimethyldiacetoxysilane
34. The method of claim 27 wherein the component capable of alkylating or arylating silanol moieties of a organosilicate glass dielectric film via silylation comprises dimethyldiacetoxysilane and the activating agent comprises a combination of tetramethyl ammonium acetate and tetrabutyl ammonium acetate.
35. The method of claim 27 wherein the treating agent composition contacts the organosilicate glass dielectric film in a state selected from the group consisting of liquid, vapor, gas, and plasma.
36. The method of claim 27 wherein the treating agent composition comprises a supercritical solvent.
37. A method for deterring the formation of stress-induced voids in an organosilicate glass dielectric film on a substrate, which organosilicate glass dielectric film has been subjected to at least one step which removes at least a portion of previously existing carbon containing moieties or reduces hydrophobicity of said organosilicate glass dielectric film, comprising contacting the organosilicate glass dielectric film, after being subjected to at least one step which removes at least a portion of previously existing carbon containing moieties or reduces hydrophobicity of said organosilicate glass dielectric film, with a composition at a concentration and for a time period effective to restore at least some of the carbon containing moieties hydrophobicity or increase the hydrophobicity of the organosilicate glass dielectric film, wherein the composition comprises: a) a component capable of alkylating or arylating silanol moieties of a organosilicate glass dielectric film via silylation, and b) an activating agent.
38. The method of claim 37 wherein the composition further comprises a solvent or a mixture of a main solvent and a co-solvent, wherein the solvent or mixture of a main solvent and a co-solvent solubilizes the component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation and the activating agent; and wherein the co-solvent has a higher vapor pressure and/or boiling point than the main solvent.
39. The method of claim 40 wherein:
(i) the solvent or main solvent comprises one or more ketones, ethers, esters, hydrocarbons, alcohols, carboxylic acids, amides, or combinations thereof; and (ii) the solvent or co-solvent comprises ethylacetoacetate, methyl acetoacetate, t- butyl acetoacetate, 2-methoxyethyl acetoacetate, allyl acetoacetate, benzyl acetoacetate, nonyl acetate, 2-(2-butoxyethoxy)ethyl acetate, phenthyl acetate, 2- butoxyethyl acetate, 2-ethylhexyl acetate, alpha-methylbenzyl acetate, dimethylsulfoxide, N-methyl-N-methoxyacetamide, N,N-diethyl-2- phenylacetamide, N,N-dimethylacetamide, 1,2-dichlorobenzene, chlorotoluene, N,N-diethylacetamide, N,N-diphenylacetamide, N,N-dimethypropionamide, N5N- dimethylisobutyramide, 1-hexanol, 2-ethyl-l-hexanol, 5 -methyl- 1-hexanol, 6- phenyl-1-hexanol, 1-heptanol, 2-heptanol, 4-heptanol, 4-methyl-3-heptanol, 6- methyl-2-heptanol, 2,6-dimethylheptanol, 1-octanol, or combinations thereof.
40. The method of claim 39 wherein the activating agent comprises one or more acids, bases, onium compounds, dehydrating agents, or combinations thereof
41. A method for forming a microelectronic device which comprises: a) forming an organosilicate glass dielectric film on a substrate; b) subjecting the organosilicate glass dielectric film to at least one step which removes at least a portion of previously existing carbon containing moieties or reduces hydrophobicity of said organosilicate glass dielectric film; c) contacting the organosilicate glass dielectric film with a composition at a concentration and for a time period effective to restore at least a portion of previously existing carbon containing moieties or increase the hydrophobicity of the organosilicate glass dielectric film, wherein the composition comprises a component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation; and an activating agent.
42. The method of claim 41 wherein the composition further comprises a solvent or a mixture of a main solvent and a co-solvent, wherein the solvent or mixture of a main solvent and a co-solvent solubilizes the component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation and the activating agent; and wherein the co-solvent has a higher vapor pressure and/or boiling point than the main solvent.
43. The method of claim 42 wherein:
(i) the solvent or main solvent comprises one or more ketones, ethers, esters, hydrocarbons, alcohols, carboxylic acids, amides, or combinations thereof; and (ii) the solvent or co-solvent comprises ethylacetoacetate, methyl acetoacetate, t- butyl acetoacetate, 2-methoxyethyl acetoacetate, allyl acetoacetate, benzyl acetoacetate, nonyl acetate, 2-(2-butoxyethoxy)ethyl acetate, phenthyl acetate, 2- butoxyethyl acetate, 2-ethylhexyl acetate, alpha-methylbenzyl acetate, dimethylsulfoxide, N-methyl-N-methoxyacetamide, N,N-diethyl-2- phenylacetamide, N,N-dimethylacetamide, 1,2-dichlorobenzene, chlorotoluene, N,N-diethylacetamide, N,N-diphenylacetamide, N,N-dimethypropionamide, N5N- dimethylisobutyramide, 1-hexanol, 2-ethyl-l-hexanol, 5-methyl-l-hexanol, 6- phenyl-1-hexanol, 1-heptanol, 2-heptanol, 4-heptanol, 4-methyl-3-heptanol, 6- methyl-2-heptanol, 2,6-dimethylheptanol, 1-octanol, or combinations thereof.
44. The method of claim 42 further comprising the subsequent step of heating the organosilicate glass dielectric film.
45. A method for forming a microelectronic device which comprises: a) applying an organosilicate glass dielectric film onto a substrate; b) forming a pattern of vias and trenches in the organosilicate glass dielectric film, and subjecting the organosilicate glass dielectric film to at least one treatment which removes at least a portion of previously existing carbon containing moieties or reduces hydrophobicity of said organosilicate glass dielectric film; c) contacting the organosilicate glass dielectric film with a treating agent composition at a concentration and for a time period effective to increase the hydrophobicity of the organosilicate glass dielectric film, wherein the treating agent composition comprises a component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation, and an activating agent.
46. The method of claim 45 further comprising the subsequent steps of: d) optionally baking at from about 800C to about 400 0C for about 10 seconds or more; thereafter e) filling the vias and/or trenches with a metal; and thereafter f) optionally subjecting the metal to an annealing treatment.
47. The method of claim 45 further comprising the subsequent step of removing unreacted treating agent composition, reaction products and mixtures thereof.
48. The method of claim 45 wherein the composition further comprises a solvent or a mixture of a main solvent and a co-solvent, wherein the solvent or mixture of a main solvent and a co-solvent solubilizes the component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation and the activating agent; and wherein the co-solvent has a higher vapor pressure and/or boiling point than the main solvent.
49. The method of claim 48 wherein:
(i) the solvent or main solvent comprises one or more ketones, ethers, esters, hydrocarbons, alcohols, carboxylic acids, amides, or combinations thereof; and (ii) the solvent or co-solvent comprises ethylacetoacetate, methyl acetoacetate, t- butyl acetoacetate, 2-methoxyethyl acetoacetate, allyl acetoacetate, benzyl acetoacetate, nonyl acetate, 2-(2-butoxyethoxy)ethyl acetate, phenthyl acetate, 2- butoxyethyl acetate, 2-ethylhexyl acetate, alpha-methylbenzyl acetate, dimethylsulfoxide, N-methyl-N-methoxyacetamide, N,N-diethyl-2- phenylacetamide, N,N-dimethylacetamide, 1,2-dichlorobenzene, chlorotoluene, N,N-diethylacetamide, N,N-diphenylacetamide, N,N-dimethypropionamide, N3N- dimethylisobutyramide, 1-hexanol, 2-ethyl-l-hexanol, 5 -methyl- 1-hexanol, 6- phenyl-1-hexanol, 1-heptanol, 2-heptanol, 4-lieptanol, 4-methyl-3-heptanol, 6- methyl-2-heptanol, 2,6-dimethylheptanol, 1-octanol, or combinations thereof.
50. The method of claim 49 wherein the activating agent comprises one or more acids, bases, onium compounds, dehydrating agents, or combinations thereof.
51. A microelectronic device produced by the method of claim 46.
52. The method of claim 46 wherein the step which removes at least a portion of previously existing carbon containing moieties or reduces hydrophobicity of said organosilicate glass dielectric film comprises at least one of an etchant treatment, an ashing treatment, a wet stripping treatment, a cleaning treatment, atomic layer deposition , physical vapor deposition, and a chemical vapor deposition treatment.
PCT/US2005/031936 2004-09-15 2005-09-07 Treating agent materials WO2006033836A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2007531317A JP5161571B2 (en) 2004-09-15 2005-09-07 Treatment material
EP05806419A EP1803149A2 (en) 2004-09-15 2005-09-07 Treating agent materials

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/940,686 2004-09-15
US10/940,686 US8475666B2 (en) 2004-09-15 2004-09-15 Method for making toughening agent materials
US11/203,558 2005-08-12
US11/203,558 US7915159B2 (en) 2004-09-15 2005-08-12 Treating agent materials

Publications (2)

Publication Number Publication Date
WO2006033836A2 true WO2006033836A2 (en) 2006-03-30
WO2006033836A3 WO2006033836A3 (en) 2006-07-27

Family

ID=36090456

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2005/031936 WO2006033836A2 (en) 2004-09-15 2005-09-07 Treating agent materials

Country Status (5)

Country Link
EP (1) EP1803149A2 (en)
JP (1) JP5161571B2 (en)
KR (1) KR20070060117A (en)
SG (1) SG141441A1 (en)
WO (1) WO2006033836A2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006210774A (en) * 2005-01-31 2006-08-10 Taiyo Nippon Sanso Corp Method of restoring film with low dielectric constant from damages
JP2009543339A (en) * 2006-06-27 2009-12-03 ラム リサーチ コーポレーション Method of repairing and restoring the strength of etch-damaged low-k dielectric materials
EP2690132A1 (en) * 2011-03-23 2014-01-29 KRI, Inc. Solvent used for dissolving polysaccharide and method for manufacturing molded article and polysaccharide derivative using this solvent
US20200062968A1 (en) * 2018-08-27 2020-02-27 Tokyo Ohka Kogyo Co., Ltd. Surface treatment agent and surface treatment method

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7999355B2 (en) * 2008-07-11 2011-08-16 Air Products And Chemicals, Inc. Aminosilanes for shallow trench isolation films
WO2010104979A2 (en) * 2009-03-10 2010-09-16 L'air Liquide - Société Anonyme Pour L'Étude Et L'exploitation Des Procédes Georges Claude Cyclic amino compounds for low-k silylation
JP5404361B2 (en) 2009-12-11 2014-01-29 株式会社東芝 Semiconductor substrate surface treatment apparatus and method
US9029171B2 (en) 2012-06-25 2015-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Self repairing process for porous dielectric materials
KR101847033B1 (en) 2015-11-30 2018-04-09 김태관 Coating solution composition for silver coating and coating method using the same
JP7194372B2 (en) 2017-06-09 2022-12-22 株式会社 高秋化学 METHOD FOR FORMING COATING OF RESIN MOLDED PRODUCT
WO2021176913A1 (en) * 2020-03-04 2021-09-10 富士フイルム株式会社 Processing solution and processing solution container

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002001621A2 (en) * 2000-06-23 2002-01-03 Honeywell International, Inc. Method to restore hydrophobicity in dielectric films and materials
US6395651B1 (en) * 1998-07-07 2002-05-28 Alliedsignal Simplified process for producing nanoporous silica
US6448331B1 (en) * 1997-07-15 2002-09-10 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
US20030003765A1 (en) * 2001-06-28 2003-01-02 Gibson Gerald W. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
WO2003088344A1 (en) * 2002-04-10 2003-10-23 Honeywell International, Inc. Low metal porous silica dielectric for integral circuit applications
US20030198895A1 (en) * 2002-03-04 2003-10-23 Toma Dorel Ioan Method of passivating of low dielectric materials in wafer processing

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001118842A (en) * 1999-10-15 2001-04-27 Nec Corp Semiconductor device and its manufacturing method
JP2002353308A (en) * 2001-05-28 2002-12-06 Toshiba Corp Semiconductor device and its manufacturing method
JP2003282698A (en) * 2002-03-22 2003-10-03 Sony Corp Method for fabricating semiconductor and the same
JP4225765B2 (en) * 2002-10-31 2009-02-18 日揮触媒化成株式会社 Method for forming low dielectric constant amorphous silica coating and low dielectric constant amorphous silica coating obtained by the method
WO2005034194A2 (en) * 2003-10-08 2005-04-14 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6448331B1 (en) * 1997-07-15 2002-09-10 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
US6395651B1 (en) * 1998-07-07 2002-05-28 Alliedsignal Simplified process for producing nanoporous silica
WO2002001621A2 (en) * 2000-06-23 2002-01-03 Honeywell International, Inc. Method to restore hydrophobicity in dielectric films and materials
US20030003765A1 (en) * 2001-06-28 2003-01-02 Gibson Gerald W. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
US20030198895A1 (en) * 2002-03-04 2003-10-23 Toma Dorel Ioan Method of passivating of low dielectric materials in wafer processing
WO2003088344A1 (en) * 2002-04-10 2003-10-23 Honeywell International, Inc. Low metal porous silica dielectric for integral circuit applications

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006210774A (en) * 2005-01-31 2006-08-10 Taiyo Nippon Sanso Corp Method of restoring film with low dielectric constant from damages
JP2009543339A (en) * 2006-06-27 2009-12-03 ラム リサーチ コーポレーション Method of repairing and restoring the strength of etch-damaged low-k dielectric materials
EP2690132A1 (en) * 2011-03-23 2014-01-29 KRI, Inc. Solvent used for dissolving polysaccharide and method for manufacturing molded article and polysaccharide derivative using this solvent
EP2690132A4 (en) * 2011-03-23 2014-09-03 Kri Inc Solvent used for dissolving polysaccharide and method for manufacturing molded article and polysaccharide derivative using this solvent
US9200085B2 (en) 2011-03-23 2015-12-01 Kri, Inc. Solvent used for dissolving polysaccharide and method for manufacturing molded article and polysaccharide derivative using this solvent
US20200062968A1 (en) * 2018-08-27 2020-02-27 Tokyo Ohka Kogyo Co., Ltd. Surface treatment agent and surface treatment method

Also Published As

Publication number Publication date
JP2008513552A (en) 2008-05-01
WO2006033836A3 (en) 2006-07-27
SG141441A1 (en) 2008-04-28
EP1803149A2 (en) 2007-07-04
KR20070060117A (en) 2007-06-12
JP5161571B2 (en) 2013-03-13

Similar Documents

Publication Publication Date Title
US7915159B2 (en) Treating agent materials
US7709371B2 (en) Repairing damage to low-k dielectric materials using silylating agents
US7678712B2 (en) Vapor phase treatment of dielectric materials
JP5161571B2 (en) Treatment material
WO2005034194A2 (en) Repairing damage to low-k dielectric materials using silylating agents
KR100984195B1 (en) Activated chemical process for enhancing material properties of dielectric film
JP5307963B2 (en) Method for restoring hydrophobicity in dielectric films and materials
JP2004165613A (en) Manufacture of electronic device
US8283260B2 (en) Process for restoring dielectric properties
JP2007173795A (en) Method for forming interconnect structure
WO2004027850A1 (en) Interlayer adhesion promoter for low k materials
EP1588411A2 (en) Repair and restoration of damaged dielectric materials and films
KR101064336B1 (en) Repairing Damage To Low-K-Dielectric Materials Using Silylating Agents

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KM KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NG NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU LV MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

WWE Wipo information: entry into national phase

Ref document number: 2007531317

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 200580030450.4

Country of ref document: CN

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2005806419

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 1020077008636

Country of ref document: KR

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWP Wipo information: published in national office

Ref document number: 2005806419

Country of ref document: EP