WO2006054682A1 - 位置計測方法、位置制御方法、計測方法、ロード方法、露光方法及び露光装置、並びにデバイス製造方法 - Google Patents

位置計測方法、位置制御方法、計測方法、ロード方法、露光方法及び露光装置、並びにデバイス製造方法 Download PDF

Info

Publication number
WO2006054682A1
WO2006054682A1 PCT/JP2005/021214 JP2005021214W WO2006054682A1 WO 2006054682 A1 WO2006054682 A1 WO 2006054682A1 JP 2005021214 W JP2005021214 W JP 2005021214W WO 2006054682 A1 WO2006054682 A1 WO 2006054682A1
Authority
WO
WIPO (PCT)
Prior art keywords
peripheral edge
plate
measurement
stage
opening
Prior art date
Application number
PCT/JP2005/021214
Other languages
English (en)
French (fr)
Inventor
Masahiko Yasuda
Taro Sugihara
Original Assignee
Nikon Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to KR1020137031881A priority Critical patent/KR101493641B1/ko
Priority to EP18157586.1A priority patent/EP3346486A1/en
Application filed by Nikon Corporation filed Critical Nikon Corporation
Priority to EP05807063.2A priority patent/EP1821336B1/en
Priority to KR1020137004445A priority patent/KR101437298B1/ko
Priority to KR1020127011289A priority patent/KR101421849B1/ko
Priority to KR1020187014038A priority patent/KR20180054934A/ko
Priority to KR1020077004097A priority patent/KR101452483B1/ko
Priority to KR1020157025656A priority patent/KR101689100B1/ko
Priority to JP2006545156A priority patent/JP4877653B2/ja
Priority to KR1020147017359A priority patent/KR101578629B1/ko
Priority to KR1020147034572A priority patent/KR101670571B1/ko
Priority to KR1020167035238A priority patent/KR101861949B1/ko
Priority to CN2005800387259A priority patent/CN101057316B/zh
Priority to KR1020127011290A priority patent/KR101421850B1/ko
Publication of WO2006054682A1 publication Critical patent/WO2006054682A1/ja
Priority to IL183280A priority patent/IL183280A0/en
Priority to IL221688A priority patent/IL221688A/en
Priority to IL221687A priority patent/IL221687A/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70341Details of immersion lithography aspects, e.g. exposure media or control of immersion liquid supply
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • G03F7/70725Stages control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70775Position control, e.g. interferometers or encoders for determining the stage position
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70975Assembly, maintenance, transport or storage of apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7007Alignment other than original with workpiece
    • G03F9/7011Pre-exposure scan; original with original holder alignment; Prealignment, i.e. workpiece with workpiece holder
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making

Definitions

  • the present invention relates to a position measurement method, a position control method, a measurement method, a loading method, an exposure method, an exposure apparatus, and a device manufacturing method. More specifically, the present invention relates to a plate mounted in a removable manner on a moving body. A position measurement method for measuring position information, a position control method using the position measurement method, a measurement method for measuring information about a plate mounted on a moving body and having an opening for placing an object, and the measurement The present invention relates to an object loading method using the method, an exposure method using the loading method, an exposure apparatus suitable for carrying out each of the methods, and a device manufacturing method using the exposure apparatus or the exposure method. Background art
  • a mask or reticle hereinafter, collectively referred to as “reticle”) pattern image is projected as a projection optical system.
  • Step-and-repeat method of transferring to each of multiple shot areas on a photosensitive object hereinafter referred to as “wafer” such as a wafer or glass plate coated with a resist (photosensitive agent)
  • wafer photosensitive object
  • steppers reduction projection exposure apparatuses
  • step-and-scan type projection exposure apparatuses so-called scanning "steppers” (also called scanners)
  • the wavelength of exposure light is gradually shortened in order to improve the resolution of the projection optical system provided in the projection exposure apparatus.
  • NA numerical aperture
  • the focal depth is becoming narrower as the exposure wavelength is shortened and the NA of the projection optical system is increased (larger NA). It is certain that the exposure wavelength will be further shortened in the future, and if this is the case, the depth of focus becomes too narrow, and there is a risk that the focus margin during the exposure operation will be insufficient.
  • the exposure wavelength is substantially shortened and the depth of focus is increased (wide) compared to the air.
  • an exposure apparatus using an immersion method has recently been attracting attention.
  • an exposure apparatus using this immersion method an exposure apparatus that performs exposure in a state where the space between the lower surface of the projection optical system and the wafer surface is locally filled with a liquid such as water or an organic solvent is known (for example, (See Patent Document 1).
  • the exposure apparatus described in Patent Document 1 utilizes the fact that the wavelength of exposure light in a liquid is lZn times that in air (where n is the refractive index of the liquid, usually about 1.2 to 1.6).
  • the depth of focus is increased by n times compared to a projection optical system that can achieve the same resolution as that without using the immersion method (assuming that such a projection optical system can be manufactured).
  • the depth of focus can be increased substantially n times compared to the air.
  • Patent Document 1 Pamphlet of International Publication No. 99Z49504
  • the present invention has a predetermined shape that is detachably mounted on a moving body.
  • a position measurement method for measuring position information of a plate wherein the position of the moving body is measured by a measuring device that defines a moving coordinate system, and a part of the plate is detected and the detection result is handled.
  • the position measurement method includes an outer peripheral edge position acquisition step of acquiring position information of the outer peripheral edge of the plate based on a measurement result of the measuring device.
  • a part of the plate is detected while measuring the position of the moving body on which the plate having a predetermined shape is detachably mounted by the measuring device that defines the moving coordinate system.
  • the position information of the outer peripheral edge of the plate is acquired based on the detection result and the corresponding measurement result of the measuring device. For this reason, the position of the outer peripheral edge of the plate can be managed on the moving coordinate system defined by the measuring device.
  • a position control method for controlling the position of a movable body on which a plate is detachably mounted, the position control method being measured using the position measurement method of the present invention.
  • the position control method is characterized in that the position of the movable body is controlled based on position information of the outer peripheral edge of the plate.
  • the outer edge of the plate It is possible to manage the position of the moving object in consideration of the position of the moving object.
  • the position control method of the present invention can be used, for example, in an exposure apparatus to manage the position of a moving body on which an object to be exposed is mounted. Therefore, it can be said that the present invention is a first exposure apparatus using the position control method of the present invention from the third point of view.
  • a measurement method for measuring information related to a plate that is detachably mounted on a moving body and has an opening for placing an object. It is a measuring method including an inner peripheral edge position acquisition step of detecting a part of the recording plate and acquiring position information of the inner peripheral edge of the opening based on the detection result.
  • the measuring method of the present invention is used in the loading method of loading an object on a moving body on which a plate having an opening for placing the object is detachably mounted. The object is loaded into the opening of the plate on the moving body based on the positional information of the inner peripheral edge of the opening of the plate obtained by using the first loading method. .
  • the object is loaded into the opening of the plate on the movable body based on the positional information of the inner peripheral edge of the opening of the plate obtained by using the measurement method of the present invention. Therefore, it becomes easy to load the object into the opening of the plate on the moving body.
  • an exposure method for exposing an object wherein the object is loaded into the opening of the plate on the movable body using the first loading method of the present invention. And a step of irradiating the object loaded on the movable body with an exposure beam.
  • an object is loaded into the opening of the plate on the moving body, and the object loaded on the moving body is irradiated with the exposure beam. Exposure is performed.
  • a second loading method including: an obtaining step of obtaining information on a positional relationship between the inner peripheral edge of the concave portion and the object placed in the concave portion.
  • the “object” is a concept including an object to be processed. That is, in the placing step, the object to be processed may be placed in the concave portion on the moving body, or another object, for example, a dedicated object for obtaining the positional relationship described above may be placed. good.
  • the acquisition step information on the positional relationship between the inner peripheral edge of the recess and the object placed in the recess is acquired. Therefore, based on the acquired positional relationship, it is possible to load the object in the concave portion of the moving body with the desired positional relationship.
  • an exposure method for exposing an object to be processed wherein the object to be processed is placed in a recess on the movable body using the second loading method of the present invention.
  • a second exposure method comprising:
  • the object to be processed is placed in the concave portion on the moving body, and the object to be processed placed in the concave portion of the moving body is placed on the object to be processed. Exposure is performed by irradiating an exposure beam.
  • an exposure apparatus that irradiates an exposure beam on an object, the first stage having a predetermined-shaped plate detachably mounted thereon; the position of the first stage A position measurement system that measures the position of the plate; a detection device capable of detecting a part of the first stage; and a position of the plate using the detection device while measuring the position of the first stage using the position measurement system.
  • a second exposure apparatus comprising: an outer edge position acquisition device that detects a part of the plate and acquires position information of the outer edge of the plate based on the detection result and the measurement result of the position measurement system corresponding to the detection result. It is.
  • the position of the first stage on which the plate having a predetermined shape is detachably mounted is measured using the position measurement system by the outer peripheral edge position acquisition device, and the plate of the plate is detected using the detection device. A part is detected, and the position information of the outer peripheral edge of the plate is acquired based on the detection result and the corresponding measurement result of the position measurement system. For this reason, the position of the outer peripheral edge of the plate mounted on the first stage can be managed on the moving coordinate system defined by the position measurement system.
  • the present invention is an exposure apparatus that irradiates an exposure beam on an object, in which a plate having a predetermined shape in which an opening is formed is mounted, and the object is placed in the opening.
  • An exposure stage a position measurement system for measuring the position of the exposure stage; a detection device capable of detecting a part of the exposure stage; and measuring the position of the exposure stage using the position measurement system;
  • An inner peripheral edge position acquisition that detects a part of the plate using the detection device and acquires position information of the inner peripheral edge of the opening based on the detection result and the corresponding measurement result of the position measurement system.
  • a third exposure apparatus comprising: an apparatus;
  • the present invention is a device manufacturing method using any one of the first to third exposure apparatuses of the present invention or the deviation of the first and second exposure methods. It can be said that there is.
  • FIG. 1 is a view showing a schematic configuration of an exposure apparatus according to an embodiment.
  • FIG. 2 is a perspective view showing the stage device of FIG. 1.
  • FIG. 3 is a perspective view showing the measurement stage of FIG. 1.
  • FIG. 4 is a plan view showing a wafer table.
  • FIG. 5 is a diagram for explaining the configuration of an interferometer system.
  • FIG. 6 is a block diagram showing a main configuration of a control system of the exposure apparatus of the embodiment.
  • FIG. 7 is a flowchart showing a processing algorithm of the main control device (internal CPU) at the time of returning the wafer table to the reference state.
  • FIG. 8 is a diagram for explaining the start conditions of the processing algorithm shown in the flowchart of FIG. 7, and is a diagram showing an example of the position of the wafer table WTB at the start.
  • Fig. 9 show the first, second, It is a figure which shows a mode when the 3rd and 4th measurement point is positioned in the alignment type imaging visual field, respectively.
  • FIG. 10 (A) is a diagram showing the movement of the wafer table WTB when sequentially measuring the position information of a plurality of measurement points on the edge of the + Y side end of the liquid repellent plate.
  • FIG. 10 (B) is a diagram showing a state where three measurement points are set on each of the four edges of the liquid repellent plate.
  • FIG. 11 The next liquid-repellent plate exchange is performed from the liquid-repellent plate exchange on the wafer table.
  • 2 is a flowchart (No. 1) showing a processing algorithm of the main control device (internal CPU) during a series of processing up to.
  • FIG. 12 is a flowchart showing the processing algorithm of the main controller (internal CPU) during a series of processing from the replacement of the liquid repellent plate on the wafer table to the next replacement of the liquid repellent plate. 2).
  • FIG. 13 is a flowchart showing a subroutine of Step 222.
  • FIG. 14 is a flowchart showing a subroutine of Step 236.
  • FIG. 15 (A), FIG. 15 (B), FIG. 15 (C), and FIG. 15 (D) show the first and second positions when acquiring the position information of the inner peripheral edge of the opening of the liquid repellent plate. It is a figure which shows a mode when the 2nd, 3rd, 4th measurement point is positioned in the imaging field of alignment system, respectively.
  • FIG. 16 shows that the fifth and the fifth in the acquisition of the position information of the inner peripheral edge of the opening of the liquid repellent plate.
  • FIG. 6 is a diagram showing how the sixth, seventh, and eighth measurement points are positioned in the alignment imaging field of view.
  • FIG. 17 (A) is a conceptual diagram schematically showing the state where eight image data are acquired on the inner peripheral edge of the opening of the liquid repellent plate
  • FIG. 17 (B) is for a tool. It is a conceptual diagram which shows typically the state from which the imaging data of eight places on the outer periphery edge of a wafer are acquired.
  • FIG. 18 is an enlarged side view showing the vicinity of the outer peripheral edge portion of the liquid repellent plate.
  • FIGS. 19A to 19D are views (No. 1) for explaining a modification.
  • FIGS. 20A to 20C are views (No. 2) for explaining the modification.
  • FIG. 1 shows a schematic configuration of an exposure apparatus 100 according to an embodiment suitable for carrying out a position measurement method, a position control method, a measurement method, a loading method, and an exposure method according to the present invention.
  • the exposure apparatus 100 is a stepped “and” scanning projection exposure apparatus, that is, a so-called scanning stepper (also called a scanner).
  • the exposure apparatus 100 includes an illumination system 10, a reticle stage RST that holds a reticle R, a projection unit PU, and a wafer stage. Stage device 150 with WST and measurement stage MST, and control system for these. Wafer W is placed on wafer stage WST.
  • the illumination system 10 includes a light source, an optical integrator (fly eye lens, rod, etc.) as disclosed in, for example, Japanese Patent Application Laid-Open No. 2001-313250 and US Patent Application Publication No. 2003Z0025890 corresponding thereto.
  • Illumination uniformity optical system including integrator (internal reflection type integrator) or diffractive optical element), beam splitter, relay lens, variable ND filter, reticle blind, etc. (all not shown).
  • integrator internal reflection type integrator
  • diffractive optical element diffractive optical element
  • beam splitter beam splitter
  • relay lens variable ND filter
  • reticle blind reticle blind
  • the slit-shaped illumination area defined by the reticle blind on reticle R is illuminated with illumination light (exposure light) IL with a substantially uniform illuminance.
  • illumination light IL for example, ArF excimer laser light (wavelength 193 nm) is used.
  • Reticle stage RST On the reticle stage RST, a circuit pattern or the like is fixed by a reticle R force formed on the pattern surface (the lower surface in FIG. 1), for example, by vacuum suction.
  • Reticle stage RST is connected to the optical axis of illumination system 10 (corresponding to optical axis AX of projection optical system PL, which will be described later) by reticle stage drive system 11 including a linear motor, for example (see FIG. 6 not shown in FIG. 1). It can be driven slightly in the vertical XY plane, and can be driven at a scanning speed specified in a predetermined scanning direction (here, the Y-axis direction, which is the left-right direction in the drawing in FIG. 1).
  • reticle interferometer a reticle laser interferometer
  • a movable mirror 15 actually Y (For example, 0.5 to Lnm is always detected with a resolution of about 0.5 nm through a Y moving mirror having a reflecting surface orthogonal to the axial direction and an X moving mirror having a reflecting surface orthogonal to the X axial direction). Is done.
  • the measurement value of reticle interferometer 116 is sent to main controller 20 (not shown in FIG. 1, see FIG.
  • main controller 20 determines the value of reticle stage RST based on the measurement value of reticle interferometer 116.
  • the position (and velocity) of reticle stage RST is controlled.
  • the end surface of the reticle stage RST may be mirror-finished to form a reflective surface (corresponding to the reflective surface of the movable mirror 15).
  • first reference mark a pair of reticle alignment marks on the reticle R and a corresponding pair of reference marks on the measurement stage MST (hereinafter referred to as "first reference mark") via the projection optical system PL.
  • a pair of reticle alignment detection systems RAa and RA b which are made up of TTR (Through The Reticle) alignment system using light of the exposure wavelength for simultaneous observation.
  • TTR Through The Reticle
  • These reticle alignment detection systems RAa and RAb have the same configuration as that disclosed in, for example, Japanese Patent Application Laid-Open No. 7-176468 and the corresponding US Pat. No. 5,646,413. Is used. To the extent permitted by national legislation in the designated country (or selected selected country) designated in this international application, the disclosures in the above publications and corresponding US patent specifications are incorporated herein by reference.
  • the projection unit PU is arranged below the reticle stage RST in FIG.
  • the projection unit PU includes a lens barrel 40 and a projection optical system PL composed of a plurality of optical elements held in the lens barrel 40 in a predetermined positional relationship.
  • the projection optical system PL for example, a refractive optical system having a plurality of lens (lens element) forces having a common optical axis AX in the Z-axis direction is used.
  • the projection optical system PL is, for example, telecentric on both sides and has a predetermined projection magnification (for example, 1Z4 times or 1Z5 times).
  • the illumination area on the reticle R is illuminated by the illumination light IL from the illumination system 10
  • the illumination area IL passes through the reticle R via the projection optical system PL (projection unit PU).
  • a reduced image of the circuit pattern of the reticle scale is formed in a region (exposure region) conjugate to the illumination region on the wafer W coated with a resist (photosensitive agent) on the surface.
  • the reticle side opening becomes larger as the numerical aperture NA substantially increases. For this reason, in a refractive optical system composed only of lenses, it is difficult to satisfy Petzval's condition, and the projection optical system tends to be enlarged. Increasing the size of the projection optical system In order to avoid this, a catadioptric system including a mirror and a lens may be used.
  • a lens close to the wafer W that is part of the projection optical system PL and that is positioned closest to the image plane side (close to the wafer W)
  • a liquid supply nozzle 51 A and a liquid recovery nozzle 51 B that constitute a part of the liquid immersion mechanism 132 are provided in the vicinity of 91.
  • the liquid supply nozzle 51 A is connected to the other end of a supply pipe (not shown) whose one end is connected to a liquid supply device 88 (not shown in FIG. 1, see FIG. 6).
  • the other end of a recovery pipe (not shown) whose one end is connected to the liquid recovery device 92 (not shown in FIG. 1, see FIG. 6) is connected to the recovery nozzle 51B.
  • the liquid supply device 88 includes a liquid tank, a pressure pump, a temperature control device, a valve for controlling supply / stop of the liquid to the supply pipe, and the like.
  • a valve for example, it is desirable to use a flow rate control valve so that not only the liquid supply is stopped but also the flow rate can be adjusted.
  • the temperature control device adjusts the temperature of the liquid in the liquid tank to the same temperature as the temperature in the chamber (not shown) in which the exposure apparatus main body is housed.
  • the exposure apparatus 100 is installed at least in part for the tank for supplying the liquid, the pressure pump, the temperature control device, the nozzle, etc., which need not be all provided in the exposure apparatus 100. It can be replaced by equipment such as a factory.
  • the liquid recovery apparatus 92 includes a liquid tank and a suction pump, and a valve for controlling recovery / stop of the liquid via a recovery pipe.
  • a valve for controlling recovery / stop of the liquid via a recovery pipe.
  • the tank, suction pump, valve, etc. for collecting the liquid need not all be provided in the exposure apparatus 100, but at least a part thereof is replaced by equipment such as a factory where the exposure apparatus 100 is installed. You can also
  • pure water that transmits ArF excimer laser light (light having a wavelength of 193 nm) (hereinafter, simply referred to as "water” unless otherwise required) is used.
  • water has the advantage that it can be easily obtained in large quantities at semiconductor manufacturing factories, etc., and has no adverse effect on the photo-resistor optical lens on the wafer.
  • Each of the liquid supply device 88 and the liquid recovery device 92 includes a controller, and each controller is controlled by the main controller 20 (see FIG. 6).
  • the controller of the liquid supply device 88 opens a valve connected to the supply pipe at a predetermined opening, and the front lens 91 and the wafer W (or a later-described one) via the liquid supply nozzle 51A. Supply water to the plate).
  • the controller of the liquid recovery apparatus 92 opens the valve connected to the recovery pipe at a predetermined opening degree according to an instruction from the main control apparatus 20, and connects the front lens 91 and the wafer W via the liquid recovery nozzle 51B.
  • the water is recovered in the liquid recovery device 92 (liquid tank).
  • the main control device 20 always maintains the amount of water supplied from the liquid supply nozzle 51A between the tip lens 91 and the wafer W and the amount of water recovered through the liquid recovery nozzle 51B. Commands are given to the controller of the liquid supply device 88 and the controller of the liquid recovery device 92 so as to be equal. Accordingly, a certain amount of water Lq (see FIG. 1) is held between the front end lens 91 and Ueno, W. In this case, the water Lq held between the tip lens 91 and the wafer W is always replaced.
  • the liquid immersion mechanism 132 of the present embodiment includes the liquid supply device 88, the liquid recovery device 92, the supply tube, the recovery tube, the liquid supply nozzle 51A, and the liquid recovery nozzle.
  • This is a local immersion mechanism including 51B and the like, and when exposing the wafer and W, an immersion region is formed on a part of the wafer W.
  • the stage device 150 includes a frame caster FC, a base board 12 provided on the frame caster FC, a Ueno, a stage WST and a measurement stage MST arranged above the upper surface of the base board 12.
  • the interferometer system 118 including the interferometers 16 and 18 that measure the positions of these stages WST and MST, and the stage drive system 124 (see Fig. 6) that drives the stages WST and MST, I have.
  • the frame caster FC has a longitudinal direction in the Y-axis direction in the vicinity of one end in the X-side direction and the other end thereof, and upwards.
  • a substantially flat member member in which the protruding protrusions FCa and FCb are formed in a body.
  • the base plate 12 also serves as a plate-like member called a surface plate, and is disposed on a region sandwiched between the projections FCa and FCb of the frame caster FC.
  • the upper surface of the base board 12 is finished with a very high flatness and is used as a guide surface for moving the wafer stage WST and the measurement stage MST.
  • the wafer stage WST includes a wafer stage main body 28 disposed above the base board 12, and a wobbling / tilting drive mechanism (not shown) on the wafer stage main body 28. Equipped with a mounted wafer table WTB.
  • the tilt drive mechanism actually includes three actuators (for example, voice coil motors) that support the wafer table WTB on the wafer stage main body 28 at three points. Slightly drive in 3 degrees of freedom in the ⁇ direction (rotation direction around the X axis) and 0 y direction (rotation direction around the ⁇ axis).
  • the wafer stage main body 28 is configured by a hollow member having a rectangular frame cross section and extending in the X-axis direction.
  • a plurality of, for example, four (not shown) static gas pressure bearings, for example, air bearings, are provided on the lower surface of the wafer stage main body 28, and the wafer stage WST is placed above the above-described guide surface through these air bearings. It is supported without contact through a clearance of about ⁇ m.
  • Y-axis stator 86 extending in the direction is arranged above the convex portion FCa of the frame caster FC.
  • a Y-axis stator 87 extending in the Y-axis direction is disposed above the projection FCb of the frame caster FC.
  • These Y-axis stators 86 and 87 are levitated and supported by a hydrostatic bearing (not shown) provided on the lower surface of each of the Y-axis stators via a predetermined clearance with respect to the upper surfaces of the convex portions FCa and FCb by, for example, an air bearing.
  • the Y-axis stators 86 and 87 are constituted by a magnetic pole unit having a plurality of permanent magnets arranged at predetermined intervals along the Y-axis direction.
  • a mover 90 comprising a U-shaped magnetic pole unit having a plurality of permanent magnets arranged at predetermined intervals along the X-axis direction.
  • an X-axis stator 80 extending in the X-axis direction is inserted.
  • the X-axis stator 80 is constituted by an armature unit that incorporates a plurality of armature coils arranged at predetermined intervals along the X-axis direction.
  • a moving magnet type X-axis linear motor for driving the wafer stage WST in the X-axis direction is constituted by the mover 90 having the magnetic pole unit force and the X-axis stator 80 having the armature unit.
  • the X-axis linear motor will be referred to as the X-axis linear motor 80 by using the same reference numerals as the stator (X-axis stator) 80 as appropriate.
  • a moving coil type linear motor may be used instead of the moving magnet type linear motor.
  • an armature unit containing a plurality of armature coils arranged at predetermined intervals along the Y-axis direction.
  • the mover consisting of 82, 83 forces is fixed respectively.
  • These movers 82 and 83 are inserted into the Y-axis stators 86 and 87, respectively, on the inner side. That is, in this embodiment, two moving-coil Y-axis linear motors are constituted by the movers 82 and 83 formed of armature units and the Y-axis stators 86 and 87 formed of magnetic pole units.
  • Y-axis linear motor 82 the two Y-axis linear motors will be referred to as Y-axis linear motor 82 and Y-axis linear motor 83 as appropriate, using the same reference numerals as the respective movers 82 and 83.
  • moving magnet A linear motor of the type may be used as Y-axis linear motor 82, 83.
  • wafer stage WST is driven in the X-axis direction by X-axis linear motor 80 and driven in the Y-axis direction integrally with X-axis linear motor 80 by a pair of Y-axis linear motors 82 and 83.
  • the Wafer stage WST is also rotationally driven in the ⁇ z direction by slightly varying the driving force in the Y-axis direction generated by Y-axis linear motors 82 and 83.
  • the wafer table WTB has a substantially square shape in plan view, and a pitch chuck type wafer holder WH and a plate for holding the wafer W on the upper surface thereof. Holder PH is provided!
  • the wafer holder WH includes a plurality of first pins 32, 32,... Provided at predetermined intervals in a circular area having a predetermined area at the center of the upper surface of the wafer table WTB.
  • Each of the first rim part 30 that is an annular convex force surrounding the circular area where the first pins 32, 32,... Are arranged, and each of the substantially equilateral triangles having the same distance from the center (holder center) of the circular area.
  • Three cylindrical second rim portions 35A, 35B, 35C, etc., each protruding at the apex position, are provided.
  • the tip of each first pin 32 and the upper end surfaces of the first rim portion 30 and the second rim portions 35A, 35B, and 35C are set to have substantially the same height.
  • a circular through hole 39 having a circular shape in plan view is formed. Inside each of the through holes 39, a cylindrical shape is formed, and the vertical direction (see FIG. Vertically movable pins (center up) 34a, 34b, 34c that are movable in the direction perpendicular to the paper surface in Fig. 4 are provided. These three center-ups 34a to 34c are moved in the vertical direction (the Z-axis direction that is orthogonal to the paper surface in FIG. 4) via a vertical movement mechanism (not shown) that forms part of the stage drive system 124 (see FIG. 6). ) Are simultaneously moved up and down (moved up and down) by the same amount.
  • the center-up 34a to 34c is driven by the vertical movement mechanism, so that the wafer W is supported by the center-up 34a to 34c and the wafer W is moved up and down in that state. You can make it.
  • a plurality of exhaust ports 36 are arranged radially from the center (holder center) of the circular area. They are formed at predetermined intervals (in the direction of three radial lines having a central angle interval of approximately 120 °). These exhaust ports 36 are formed at positions that do not interfere with the first pin 32.
  • Each exhaust The port 36 is connected to the air passages 38A, 38B, 38C formed inside the wafer table WTB through the pipes directly below them, respectively, and these air passages 38A, 38B, 38C are connected to the vacuum exhaust pipe 41a, It is connected to the first evacuation mechanism 44 (see FIG. 6) via 41b and 41c, respectively.
  • the wafer W when the wafer W is loaded onto the wafer holder WH of the wafer table WTB and the evacuation operation is started by the main controller 20 via the first evacuation mechanism 44, the wafer W And the first rim part 30 and the three second rim parts 35A, 35B, 35C are surrounded by a negative pressure, and the wafer W is divided into a plurality of first pins 32, first rim part 30 and three Adsorbed and held on the second rim bar 35A, 35B, 35C.
  • a third rim portion 45 including an annular protrusion concentric with the first rim portion 30 is provided on the outer surface of the first rim portion 30 on the upper surface of the wafer table WTB.
  • a concave portion 49 is formed in which the inner side is partitioned by the third rim portion 45 and the outer side is surrounded by the outer partition wall 48 of the wafer table WTB.
  • a plurality of second pins 53 are provided at predetermined intervals, the heights of the tips of which are substantially the same as those of the third rim portion 45 and the outer partition wall 48.
  • a substantially square liquid repellent plate (for example, a water repellent plate) having a circular opening 50a in the center on the third rim portion 45, the outer partition wall 48, and the plurality of second pins 53 thus configured. 50 is detachably mounted.
  • the liquid repellent plate 50 is mounted on the wafer table WTB in a state where the outer peripheral surface force protrudes somewhat outward from the outer surface of the outer partition wall 48 of the wafer table W TB over the entire periphery. That is, a picker-type plate holder PH that holds the liquid-repellent plate 50 including the third rim portion 45 on the upper surface of the wafer table WTB, the external partition wall 48, and the plurality of second pins 53 is configured.
  • the wafer holder WH described above is also formed in an area in which a plurality of second pins 53 constituting a part of the plate holder PH and partitioned by the third rim portion 45 and the external partition wall 48 are provided.
  • a plurality of exhaust ports are formed at predetermined intervals, and each exhaust port is connected to an exhaust passage (not shown) formed inside the wafer table WTB via a pipe immediately below them.
  • These exhaust passages are shown in FIG. 6 through respective vacuum exhaust pipes (not shown). It is connected to a second evacuation mechanism 56 shown.
  • the space surrounded by the liquid repellent plate 50, the third rim portion 45, and the external partition wall 48 via the second evacuation mechanism 56 by the main controller 20 (the interior of the recess 49).
  • the interior of the space) is vacuumed and the liquid repellent plate 50 is sucked and held by the plate holder PH.
  • the vertical movement pins similar to the center-ups 34a to 34c described above are provided in the inside of the space, and the drive mechanism of the vertical movement pins is The main controller 20 may be controlled.
  • the upper surface of the liquid repellent plate 50 sucked and held by the plate holder PH and the surface of the wafer W sucked and held by the wafer holder WH are substantially flush with each other (FIG. 1). See), and the height of each part of the wafer holder WH and plate holder PH is set. Further, when held by the plate holder PH, the inner peripheral edge of the opening 50 a of the liquid repellent plate 50 substantially coincides with the inner peripheral wall of the third rim portion 45.
  • a recess 140 for loading the wafer W is formed on the inner side of the inner wall surface of the third rim portion 45 and the opening 50a of the liquid repellent plate 50, and the recess 140 is formed in the recess 140.
  • Wafer holder WH is provided.
  • the shape and size of the opening 50a is set so that the clearance between the outer peripheral edge of the wafer W and the inner peripheral edge of the opening 50a of the liquid repellent plate 50 is, for example, about 0.1 to 0.4 mm. Is set.
  • an apparently flat surface is formed on the upper surface of the wafer table WTB!
  • the wafer table WTB is formed of a material having a certain degree of elasticity such as a material having a low thermal expansion coefficient, for example, ceramics, and by etching the surface of a material such as a substantially square-shaped ceramic as a whole.
  • the first rim portion 30, the second rim portions 35A, 35B, and 35C, the third rim portion 45, the plurality of first pins 32, the plurality of second pins 53, and the like are integrally formed. Yes.
  • the surface of the liquid repellent plate 50 is subjected to a liquid repellent treatment using a fluorine-based material (here, a water repellent treatment such as a water repellent coat) to form a liquid repellent surface (water repellent surface).
  • a fluorine-based material here, a water repellent treatment such as a water repellent coat
  • the liquid repellent (water repellent) surface of the liquid repellent plate 50 generally deteriorates in liquid repellent (water repellent) performance by exposure to exposure light (illumination light) IL that is weak against light in the far ultraviolet region or vacuum ultraviolet region. To do.
  • exposure light illumination light
  • the liquid repellent plate 50 can be easily attached and detached (replaced) because there is a possibility that a liquid adhesion mark (watermark or the like) may be formed on the surface.
  • the liquid-repellent plate 50 can be held by other methods such as an electrostatic adsorption method that uses only the vacuum adsorption method.
  • a resist photosensitive agent
  • a photosensitive agent for ArF excimer laser that has liquid repellency (water repellency, contact angle of 80 ° to 85 °) is used.
  • a topcoat layer forming material having liquid repellency may be applied to the upper layer of the photosensitive agent.
  • a resist having a contact angle of about 60 ° to 80 ° with a liquid that does not necessarily have liquid repellency may be used for the surface of the wafer W.
  • at least a part of the side surface and the back surface of the wafer W may be subjected to a liquid repellent treatment.
  • liquid repellent treatment may be applied to at least part of the wafer holder WH and plate holder PH!
  • the position of the wafer table WTB configured as described above is a force measured by the interferometer system 118 (see FIG. 6). This will be described later.
  • the measurement stage MST is composed of a combination of a plurality of members such as a Y stage 81 whose longitudinal direction is the X-axis direction, and its lowermost surface (most closest to the base panel 12).
  • a plurality of hydrostatic bearings provided on the lower surface of the base member, such as air bearings, and supported above the upper surface (guide surface) of the base board 12 via a clearance of several zm. Speak.
  • the measurement stage MST has a rectangular plate-shaped measurement stage main body 81c elongated in the X-axis direction and one side of the upper surface of the measurement stage main body 81c in the X-axis direction.
  • a Y stage 81 having a pair of protrusions 81a and 8 lb fixed to the other side, a leveling table 52 disposed above the upper surface of the measurement stage main body 81c, and the leveling table 52
  • a measurement table MTB provided in.
  • a plurality of armature coils arranged at predetermined intervals along the Y-axis direction are provided on one end side and the other end surface of the X-axis direction of the measurement stage main body 81c constituting a part of the Y stage 81.
  • Movable elements 84 and 85 consisting of built-in armature units are fixed.
  • Each of these movers 84 and 85 is inserted into the Y-axis stators 86 and 87 described above, respectively. That is, in the present embodiment, the movers 84 and 85 including the armature unit
  • the two moving coil type Y-axis linear motors are constituted by the Y-axis stators 86 and 87 each including the magnetic pole unit into which the movers 84 and 85 are inserted.
  • the two Y-axis linear motors will be referred to as Y-axis linear motor 84 and Y-axis linear motor 85 as appropriate, using the same reference numerals as those of the movers 84 and 85, respectively.
  • the entire measurement stage MST is driven in the Y-axis direction by these Y-axis linear motors 84 and 85.
  • the Y-axis linear motors 84 and 85 may be moving magnet type linear motors.
  • the plurality of gas static pressure bearings described above are provided on the bottom surface of the measurement stage main body 81c.
  • the pair of protrusions 81a and 81b are fixed to face each other near the + Y side end on one side and the other side in the X-axis direction on the upper surface of the measurement stage main body 81c.
  • a stator 61 and a stator 63 that extend in the X-axis direction in the XY plane are installed at a predetermined interval in the Z-axis direction (up and down).
  • a mover of the X voice coil motor 54a is provided on the + X side end surface of the leveling table 52, and the stator of the X voice coil motor 54a is fixed to the upper surface of the measurement stage main body 81c.
  • the Y voice coil motors 54b and 54c are respectively provided on the end surface of the leveling table 52 on the Y side.
  • the stators of these Y voice coil motors 54b and 54c are provided on the upper surface of the measurement stage main body 81c. It is fixed to.
  • the X voice coil motor 54a is composed of, for example, a mover made of a magnetic pole unit and a stator made of an armature unit, and generates a driving force in the X-axis direction by electromagnetic interaction between them.
  • the Y voice coil motors 54b and 54c are also configured in the same manner and generate a driving force in the Y-axis direction. That is, the leveling table 52 is driven in the X-axis direction with respect to the Y stage 81 by the X voice coil motor 54a, and the Y-axis direction with respect to the Y stage 81 by the Y voice coil motors 54b and 54c. Driven by. Further, by varying the driving force generated by the voice coil motors 54b and 54c, the leveling table 52 can be driven with respect to the Y stage 81 in the rotation direction around the Z axis (the ⁇ z direction).
  • the leveling table 52 includes the X voice coil motor 54a and the Y voice co
  • the motors 54b and 54c and the Z voice coil motor (not shown) arranged inside can be micro-driven in a non-contact manner in 6 degrees of freedom (X, ⁇ , Z, ⁇ ⁇ , ⁇ ⁇ , 0 ⁇ ). Yes.
  • the measurement table ⁇ has a substantially U-shaped cross section with the X-axis direction as the longitudinal direction and fixed to the measurement table main body 59 and the + ⁇ side surface of the measurement table main body 59 side by side. -Shaped movers 62 and 64 are provided.
  • the movable element 62 has a substantially U-shaped movable element yoke, and inner surfaces (upper and lower surfaces) of the movable element yoke that are alternately arranged at predetermined intervals along the X-axis direction.
  • a permanent magnet group composed of a plurality of sets of magnets and S pole permanent magnets is provided, and is engaged with the stator 61 described above.
  • An alternating magnetic field is formed in the internal space of the mover yoke of the mover 62 along the X-axis direction.
  • the stator 61 is composed of, for example, an armature unit containing a plurality of armature coils arranged at predetermined intervals along the X-axis direction.
  • the moving magnet type X-axis linear motor LX that drives the measuring table ⁇ in the X-axis direction is configured by connecting the stator 61 and the movable element 62!
  • the mover 64 includes a mover yoke having a substantially U-shaped cross-section, and a single pole permanent magnet and an S pole permanent magnet provided one by one on the inner surface (upper and lower surfaces) of the mover yoke.
  • the stator 63 is engaged. In the inner space of the mover yoke of the mover 64, a magnetic field directed toward + ⁇ or ⁇ is formed.
  • the stator 63 includes an armature coil disposed therein so that a current flows only in the X-axis direction in a magnetic field formed by a negative pole magnet and an S pole magnet. That is, the mover 64 and the stator 63 constitute a moving magnet type voice coil motor VY that drives the measurement table ⁇ in the axial direction.
  • a stage drive system 124 shown in FIG. 6 is configured by the above-described motors (54a to 54c, LX, VY and a Z voice coil motor not shown) on the stage MST.
  • Various drive mechanisms constituting the stage drive system 124 are controlled by the main controller 20 shown in FIG.
  • the measurement table MTB further includes measuring instruments for performing various measurements related to exposure.
  • a plate 101 made of a glass material such as Zerodur (a product name of Schott) or quartz glass is provided on the upper surface of the measurement table main body 59. Yes.
  • the plate 101 is coated with chromium over almost the entire surface, and in some places for measuring instruments, high and low reference reflecting areas used for measuring reticle transmittance, and Japanese Patent Application Laid-Open No. 5-21314. No. 5,243,195 corresponding to the gazette and the corresponding US Pat. No. 10,050,600 and the corresponding US Pat. No. 6,243,158, etc.
  • a fiducial mark area FM is provided in which fiducial marks are formed.
  • This reference mark area constitutes a measurement member.
  • the surface of the plate 101 is a flat surface.
  • Patterning is performed on the measuring instrument region, and various opening patterns for measurement are formed.
  • the measurement aperture pattern include an aerial image measurement open pattern (for example, slit-shaped aperture pattern), illumination unevenness measurement pinhole aperture pattern, illumination measurement aperture pattern, and wavefront aberration measurement aperture pattern. Is formed.
  • exposure light (illumination light) IL irradiated to the plate 101 via the projection optical system PL and water is used for the spatial image.
  • a light receiving system for receiving light through an aperture pattern for measurement is provided, which is disclosed in, for example, Japanese Patent Application Laid-Open No. 2002-14005 and US Patent Application Publication No. 2002 Z0041377 corresponding thereto.
  • An aerial image measuring device is configured to measure the light intensity of the aerial image (projected image) of the pattern projected by the projection optical system PL. To the extent permitted by national legislation in the designated country (or selected selected country) designated in this international application, the above publication and the disclosure in the corresponding U.S. Patent Application Publication will be incorporated as part of this description. To do.
  • a light receiving system including a light receiving element is provided in the inner portion of the measurement table main body 59 below the illumination unevenness measurement pinhole opening pattern.
  • An illumination nonuniformity measuring instrument having a pinhole-shaped light receiving portion for receiving the illumination light IL on the image plane of the projection optical system PL is configured.
  • a light receiving system including a light receiving element is provided inside the measurement table main body 59 below the illuminance measurement opening pattern.
  • national legislation in the designated country (or selected selected country) designated in this international application the disclosure in the above-mentioned publication and the corresponding US patent application publication specifications are incorporated to make a part of this description. To do.
  • a light receiving system including, for example, a microlens array is provided in the measurement table main body 59 below the wavefront aberration measurement opening pattern, and thus, for example, International Publication No. 99/60361.
  • the wavefront aberration measuring instrument disclosed in the pamphlet and the corresponding European Patent No. 1,079,223 is constituted.
  • national legislation in the designated country (or selected selected country) designated in this international application, the disclosure in the international publication pamphlet and the corresponding European patent specification will be used to incorporate part of the description in this specification.
  • the disclosure in the international publication pamphlet and the corresponding European patent specification will be used to incorporate part of the description in this specification.
  • the aerial image measuring instrument, the illuminance unevenness measuring instrument, the illuminance monitor, and the wavefront aberration measuring instrument are shown as a measuring instrument group 43.
  • the illumination light IL corresponds to the immersion exposure in which the wafer W is exposed by the exposure light (illumination light) IL through the projection optical system PL and water.
  • the illumination light IL is received through the projection optical system PL and water.
  • a water repellent coat may be applied to the surface of the plate 101.
  • only a part of the optical system or the like may be mounted on the measurement stage MST, or the entire measurement device may be arranged on the measurement stage MST.
  • the aerial image measuring instrument, the illuminance unevenness measuring instrument, the illuminance monitor, and the wavefront aberration measuring instrument described above must all be provided. In short, only a part may be installed as needed.
  • the position of the measurement stage MST (measurement table MTB) configured as described above is measured by an interferometer system 118 (see FIG. 6) described later.
  • the holding member that holds projection unit PU is provided with an off-axis alignment system (hereinafter abbreviated as “alignment system”) ALG shown in FIG. It has been.
  • alignment system for example, Japanese Patent Application Laid-Open No. 2001-257157 and US Patent Application Publication No. 2001Z0023918 corresponding thereto are disclosed in Japanese Patent Application No. 8-213306 and US Patent No. 5, 783, 833 corresponding thereto.
  • the alignment system ALG is not limited to the FIA system, and the target mark is irradiated with the coherent detection light to detect the scattered light or diffracted light that also generates the target mark force, or the target.
  • the alignment sensor that detects two diffracted lights (eg, diffracted lights of the same order or diffracted in the same direction) that also generate a mark force can be used in combination. .
  • the alignment element ALG optical element and a holding member for holding the optical element are water-repellent.
  • a cover may be provided.
  • a seal member such as an O-ring is disposed in a gap where liquid may enter the alignment ALG, such as a gap between the optical element and a holding member that holds the optical element.
  • the surface of the optical element at the end (lower end) of the alignment system ALG is a mirror for the interferometer fixed to the alignment system ALG.
  • the surface of the optical member placed near the moving surface of the wafer table WTB, such as the surface, is coated with a liquid-repellent material. Even operators such as operators can easily wipe off!
  • an irradiation system 90a and a light receiving system 90b (see FIG. 6), including, for example, JP-A-6-283403 and this.
  • An oblique incidence type multi-point focal position detection system similar to that disclosed in US Pat. No. 5,448,332 or the like corresponding thereto is provided.
  • the irradiation system 90a is suspended and supported by a holding member that holds the projection unit PU on the ⁇ X side of the projection unit PU, and the light receiving system 90b is held on the + X side of the projection unit PU. Suspended and supported below the member.
  • the irradiation system 90a, the light receiving system 90b, and the projection optical system PL are attached to the same member, and the positional relationship between them is maintained constant.
  • the national laws of the designated country (or selected selected country) designated in this international application allow, a part of the description of this specification is incorporated with the disclosure of the above-mentioned gazette and the corresponding US patent specification.
  • the end surface on the X side and the end surface on the Y side of the wafer table WTB are mirror-finished to form reflecting surfaces 17X and 17Y, respectively, as shown in FIG.
  • the X-side end surface, + Y-side end surface, and Y-side end surface of the measurement table MTB are mirror-finished to form reflecting surfaces 117X, 117Y, and 117Y, respectively.
  • Interferometer system 118 includes Y-axis interferometers 16, 18, 78 and X-axis interferometers 46, 66, 76 as shown in FIG.
  • Both Y-axis interferometers 16 and 18 have a measurement axis parallel to the Y-axis connecting the projection center (optical axis AX) of the projection optical system PL and the detection center of the alignment system ALG.
  • These Y-axis interferometers 16 and 18 are both multi-axis interferometers having at least three optical axes, and the output value of each optical axis can be measured independently.
  • the X-axis interferometer 46 has a length measurement axis that intersects the length measurement axes of the Y-axis interferometers 16 and 18 perpendicularly at the projection center of the projection optical system PL.
  • the X-axis interferometer 66 has a measurement axis that intersects the measurement axis of the Y-axis interferometers 16 and 18 perpendicularly with the detection center of the alignment system ALG.
  • These X-axis interferometers 46 and 66 are both at least This is also a multi-axis interferometer with two optical axes, and the output value of each optical axis can be measured independently.
  • the output values (measured values) of the four interferometers 16, 18, 46, 66 are supplied to the main controller 20 shown in FIG. For example, in the state of Fig. 5, the interferometer beam (measurement beam) from the Y-axis interferometer 16 is projected onto the reflecting surface 117Y of the measurement table MTB, and the Y-axis interference
  • a total of 18 interferometer beams (length measuring beams) are projected onto the reflecting surface 17Y of the wafer table WTB, and the interferometer beams (length measuring beams) from the X-axis interferometer 46 are projected onto the reflecting surface 117X of the measuring table MTB.
  • the interferometer beam (measurement beam) from the X-axis interferometer 66 is projected onto the reflecting surface 17X of the wafer table WTB.
  • the interferometers 16, 18, 46 and 66 receive the reflected light from the respective reflecting surfaces of the length measurement beams of the respective optical axes, so that the reference positions (generally projections for each reflecting surface) are obtained for each optical axis. Measure the displacement in the measurement direction from the side of the unit PU and the side of the OFAXIS alignment ALG (see Fig. 6, Fig. 5 etc.).
  • the main controller 20 uses the Y-axis interferometer based on the output value of 18 forces, as well as the position of the wafer table WTB in the Y-axis direction (Y position), as well as the rotation amount around the X-axis. Also measure the (pitching amount) and the amount of rotation around the Z axis (chowing amount). In addition, in the main controller 20, based on the output value from the Y-axis interferometer 16, not only the position in the Y-axis direction (Y position) of the measurement table MTB but also the rotation amount (pitching amount) around the X-axis and Z Also measure the amount of rotation (chowing amount) around the axis.
  • main controller 20 based on the output value (measured value) of the X-axis interferometer 66, not only the position of the wafer table WTB in the X-axis direction (X position) but also the rotation amount around the Y-axis (rolling amount) ) Is also measured. Further, main controller 20 measures the X position and rolling amount of measurement table MTB based on the output value from X-axis interferometer 46.
  • the interferometer beam from the Y-axis interferometer 18 always moves the movable mirror 17 over the entire movement range during alignment of the UE and stage WST and during exposure.
  • a Y-axis interferometer with 16 force interferometer beams is always projected onto the movable mirror 117Y over the entire range of movement of the measurement stage MST. Therefore,
  • the main controller 20 is configured so that only the interferometer beam from the X-axis interferometer 46 hits the reflecting surface 17X.
  • the X position of the wafer table WTB (wafer stage WST) is managed based on the output value of the X-axis interferometer, and only the interferometer beam from the X-axis interferometer 46 is in the range where it hits the reflective surface 117X. Based on the output value, X position of measurement table MTB (measurement stage MST) is managed. In addition, main controller 20 determines the X position of wafer table WTB (wafer stage WST) based on the output value of X-axis interferometer 66 within the range where only the interferometer beam from X-axis interferometer 66 hits force reflecting surface 17X.
  • the X position of the measurement table MTB (measurement stage MST) is managed based on the output value of the X axis interferometer 66 within the range where only the interferometer beam from the X axis interferometer 66 hits the force reflecting surface 117X.
  • the main controller 20 including the range where the interferometer beams from the X-axis interferometer 46 and the X-axis interferometer 66 are simultaneously hitting the reflecting surface 17X, the wafer table WTB ( The X position of wafer stage WST) is managed using X-axis interferometer 66, and the X position of wafer table WTB (wafer stage WST) during exposure is managed using X-axis interferometer 46.
  • the remaining X-axis interferometer 76 and Y-axis interferometer 78 are interferometers for managing the position of wafer stage WST when it is in the vicinity of the wafer exchange position that cannot be managed by interferometers 46, 66, and 18. . Based on the measured values of these interferometers 76 and 78, the main controller 20 cannot manage the X position based on the output values of the interferometers 46, 66, and 18. Manage the location of WST.
  • the wafer exchange position (loading position) force is determined at a position near the + X side end and near the Y side end of the movable range of wafer stage WST.
  • the baseline measurement of reticle alignment and alignment ALG is being performed.
  • the interferometer beam (measurement beam) force from the Y-axis interferometer 18 is hitting the reflective surface 117Y of the MTB MTB
  • the main controller 20 resets the measurement value of the Y-axis interferometer 18. Then, the main controller 20 manages the position of the measurement table MTB using the reset Y-axis interferometer 18 and X-axis interferometer 46, and performs baseline measurement of reticle alignment and alignment system ALG. Start a series of operations. This is because the Y-axis interferometer 18 used for measuring the position of the wafer table WTB (wafer stage WST) at the time of wafer alignment and exposure is used to manage the position of the measurement table MTB while controlling the position of the measurement table MTB. Reference mark area By measuring the baseline using FM, and using the measured baseline to control the position of the wafer table WTB at the time of exposure, the position error caused by the difference in the interferometer used for control Is to prevent the occurrence of
  • the main controller 20 controls the opening / closing of the valves of the liquid supply device 88 and the liquid recovery device 92 of the liquid immersion mechanism 132 as described above. In this way, the water Lq is always filled between the tip lens 91 of the projection optical system PL and the reference mark area FM of the measurement table MTB.
  • the reticle alignment detection system is controlled by the main controller 20.
  • the measurement table MTB is moved to the position where the fiducial mark area FM is located directly below the alignment system ALG based on the design value of the baseline, and the water Lq is placed on the fiducial mark area FM.
  • the second reference mark on the reference mark area FM is detected using the alignment system ALG, and the relative position between the detection center of the alignment system ALG and the second reference mark (second relative position) Is detected.
  • the main control unit 20 then aligns the alignment system ALG based on the first relative position, the second relative position, the design value of the baseline, and the positional relationship between the pair of first reference mark and second reference mark. Calculate the baseline.
  • three Y-axis interferometers 16, 18, and 78 and three X-axis interferometers 46, 66, and 76 constitute the interferometer system 118 shown in FIG.
  • the configuration of a simple interferometer system is only an example, and the present invention is not limited to this.
  • the exposure apparatus 100 is provided with a transfer arm 70 for transferring the wafer to the wafer stage WST.
  • This transfer arm 70 is a slidable arm that can transfer a wafer between a wafer alignment WST (not shown) and a wafer alignment position (not shown) that detects the center position and rotation angle of the wafer.
  • a horizontal articulated robot arm or the like may be used.
  • the conveyance arm 70, a bri alignment device (not shown), and a conveyance unit that conveys an external force wafer to the pre-alignment device, and the like, convey the wafer to the wafer stage WST.
  • System 72 (see Figure 6) is constructed!
  • FIG. 6 shows the main configuration of the control system of exposure apparatus 100.
  • This control system is mainly configured of a main controller 20 composed of a microcomputer (or a workstation) that controls the entire apparatus in an integrated manner.
  • the position of the wafer table WTB and the measurement table MTB in the XY plane can be measured with a resolution of about 0.5 to lnm with each interferometer of the interferometer system 118 as described above.
  • a certain force Since the liquid repellent plate 50 of this embodiment does not have a mark or the like as a reference for position measurement, for example, interferometer beams from all Y-axis interferometers or all X-axis interferometers are used as wafer table WTB. It is difficult to return the wafer table WTB to the reference state (or the state before the last interferometer beam is broken) after resetting at least one interferometer after it has stopped hitting the reflective surface.
  • the periphery of the liquid repellent plate 50 protrudes outside the wafer table WTB (reflective surface), so the outer peripheral edge of the liquid repellent plate 50 does not collide with other members!
  • the position of the liquid repellent plate 50 is measured by the main controller 20 as follows. Based on the measurement result, the position of the wafer table WTB is measured. Management is performed.
  • FIG. 7 shows a processing algorithm of main controller 20 (internal CPU) at the time of returning the wafer table WTB to the reference state, which is executed after replacing the liquid repellent plate 50 as an example.
  • main controller 20 internal CPU
  • the wafer table WTB wafer stage WST
  • the power to execute the connection preset of the interferometer measurement values described above will be simplified in the description of the processing algorithm below. For this reason, the explanation of the connection preset of the interferometer measurement values is omitted, and the wafer stage WST (on the stage coordinate system (X, Y) defined by the measurement axis of the interferometer system 118 is selected.
  • the position of the wafer table (WTB) shall be managed. Since the measurement values of the adjacent X-axis interferometer and the measurement value of the Y-axis interferometer are sequentially inherited by the bridging preset, it is considered that there is no particular problem even if this assumption is made.
  • the count value n of the first counter indicating the number of the measurement point of the outer peripheral edge of the liquid repellent plate 50 is initialized to 1 (ml).
  • N areas here 4 areas, that is, the center points of the top, bottom, left and right edges of the liquid repellent plate 50 are defined.
  • the nth (here, the first) measurement point on the outer peripheral edge of the liquid repellent plate 50 is determined.
  • Alignment system Move wafer stage WST to position directly under ALG
  • FIG. 9 (A) shows the state when the first measurement point on the outer peripheral edge of the liquid repellent plate 50 on the wafer table WTB (wafer stage WST) is positioned in the imaging field of the alignment ALG. It is shown.
  • symbol ALG ′ indicates the field of view of the alignment ALG.
  • step 206 the nth (here, the first) measurement point on the outer edge is imaged using the alignment system ALG, and the imaged data (imaging signal) is taken.
  • the measurement value of the interferometer system 118 at that time is captured, and both are stored in association in a memory (not shown).
  • step 204 ⁇ 206 ⁇ 208 ⁇ 210 is repeated until the determination in step 208 is affirmed.
  • the wafer table WTB is sequentially positioned from the position shown in FIG. 9 (A) to the positions shown in FIG. 9 (B), FIG. 9 (C), and FIG. 9 (D).
  • the 50 outer edges are imaged using the alignment system ALG and stored in the position memory (measurement value of the interferometer system 118) of the wafer table WTB corresponding to the imaging data in the force memory.
  • step 208 is affirmed, and the process proceeds to step 212.
  • step 212 based on the imaging data (imaging result) of each edge stored in the memory and the measurement result of the corresponding interferometer system 118, the liquid repellent plate 50 is subjected to image processing techniques. Position information of the measurement points of the 1st to? ⁇ Th (here 4th) outer edge of To get.
  • step 214 the position information of the liquid repellent plate 50, for example, a predetermined reference point of the liquid repellent plate 50 (for example, After calculating the position information of the center point) on the stage coordinate system (X, Y), etc., the process of step 216 is performed as necessary, and the process shown in the flowchart of FIG.
  • the position control power of the subsequent wafer table WTB is performed by the main controller 20.
  • the main controller 20 may detect the position information of the liquid repellent plate 50 or the position of the liquid repellent plate 50 so that the outer peripheral edge of the liquid repellent plate 50 mounted on the wafer table WTB does not collide with the measurement stage WST. Based on the position information, control at least one of the position of the wafer table WTB (wafer stage WST) and the position of the measurement stage MST.
  • the position information of a part of the wafer holder is obtained in the same manner as the position information of the liquid repellent plate 50 described above, and the position information and the above described Based on the position information of the liquid repellent plate 50 acquired in step 212 or 214, the positional relationship between the wafer holder WH (wafer table WTB) and the liquid repellent plate is calculated.
  • FIG. 10 (A) shows the movement of the wafer table WTB when sequentially measuring the position information of a plurality of measurement points on the edge of the + Y side end of the liquid repellent plate 50.
  • step 214 as the position information of the liquid repellent plate 50, in addition to the position information of the reference point, at least two of the measurement target regions on the set edge are set.
  • the ⁇ z rotation of the edge (that is, the rotation angle of the liquid repellent plate 50 with respect to the stage coordinate system) may be calculated.
  • a plurality of measurement points may be set on each of the four edges of the liquid repellent plate 50, and the ⁇ z rotation of each edge may be obtained.
  • FIG. 10 (B) for example, three measurement points are set for each of the four edges, and the average value of the obtained ⁇ z rotation is calculated. Also good.
  • the force of the alignment ALG's imaging field of view ALG ' is fixed and the wafer table WTB moves.
  • the imaging field of view ALG' moves relative to the fixed wafer table WTB for convenience. Is shown in FIG.
  • the outer peripheral edge of the liquid repellent plate 50 is imaged at a plurality of locations including two locations that are symmetrical with respect to the substantially center of the liquid repellent plate 50.
  • the present invention is not limited to these, and the two positions are not necessarily symmetrical with respect to the approximate center of the liquid repellent plate 50.
  • the peripheral edge may be imaged at a plurality of locations including one peripheral edge on one side of the liquid repellent plate 50 and one peripheral edge on the other side opposite to the one side. In this case, since a substantially symmetrical image of at least two opposing outer peripheral edges can be acquired, the position information (for example, the center position) of the liquid repellent plate 50 can be calculated.
  • step 222 of FIG. 11 a subroutine process for measuring the position information of the inner peripheral edge of the opening of the liquid repellent plate is executed.
  • step 302 of FIG. 13 the count value m of the second counter indicating the order of the measurement points of the inner peripheral edge of the opening 50a of the liquid repellent plate 50 is initialized to 1. (M 1).
  • M there are M measurement points, here eight, that is, each of the eight lines extending radially from the center of the opening 50a of the liquid-repellent plate 50 in eight directions with a central angle of 45 ° including the vertical and horizontal directions. 8 points that are the intersection of It shall be.
  • the position of the wafer table WTB is measured using the interferometer system 118, and the m-th (here, the first) on the inner peripheral edge of the opening 50a of the liquid repellent plate 50 is measured.
  • the wafer table WTB (wafer stage WST) to position the measurement point directly below the alignment ALG imaging field of view.
  • FIG. 15 (A) shows a state where the first measurement point is positioned in the imaging field of the alignment system ALG.
  • reference numeral ALG denotes an imaging field of view of the alignment system ALG.
  • the m-th (here, the first) measurement point on the inner edge of the opening 50a is imaged using the alignment system ALG, and the image data (imaging signal) is acquired.
  • the measurement value of the interferometer system 118 at that time is captured, and both are stored in association in a memory (not shown).
  • step 308 is affirmed, and the routine proceeds to step 314.
  • the image data of 8 locations on the inner peripheral edge of the opening 50a and the data of the position information of the corresponding wafer table WTB are stored in the memory. .
  • the alignment field ALG's imaging field of view ALG ' is fixed and the wafer table WTB moves. It is shown as if the imaging field of view ALG 'is moving with respect to the Yeha table WTB.
  • step 314 the measurement results of the interferometer system 118 corresponding to the imaging data (imaging results) of M locations (here, 8 locations) on the inner peripheral edge of the opening 50a stored in the memory Based on the above, after obtaining the position information of the 1st to Mth (herein 8th) measurement points on the inner peripheral edge of the opening 50a of the liquid repellent plate 50 by the image processing technique, End the process and return to step 224 (see Figure 11) of the main routine.
  • step 224 the position of the opening 50a of the liquid repellent plate 50 is determined by, for example, the least square method based on the obtained position information of the inner peripheral edge of the M positions (eight positions here) of the openings 50a.
  • Information for example, position information on the stage coordinate system (X, Y) of a predetermined reference point (for example, the center point) of the opening 50a is calculated (ie, defined by the interferometer system 118 based on the position information of the inner edge). (Determining the positional relationship between the stage coordinate system and the opening 50a), the process proceeds to step 226.
  • step 226 based on the position information of the inner peripheral edge of the above-mentioned M positions (here, eight positions), the shape information of the openings 50a of the liquid repellent plate 50 (this shape information includes at least openings) 50a roundness is included) by a predetermined calculation.
  • the roundness is an evaluation value indicating the deviation of the opening 50a from the ideal perfect circle, and can be defined as the difference between the maximum radius and the minimum radius of the contour of the opening 50a with respect to the center of the opening 50a.
  • the center of the circle serving as a reference for the roundness may be the center calculated by any of the following methods a. To d.
  • a.Minimum area center method Center where the radius difference of the concentric circle is the minimum when the contour of the opening is sandwiched between two concentric circles
  • LSC Least square center method
  • MCC Minimum circumscribed circle center method
  • MIC Maximum inscribed circle center method
  • step 2208 it is determined whether or not the roundness calculated in step 226 is less than a first threshold value.
  • the first threshold value is set to a limit value that is permitted to be used as a liquid repellent plate. Therefore, if the determination in step 228 is negative, The liquid repellent plate 50 is a plate in which an opening having an insufficient roundness that cannot be used in this exposure apparatus is formed. Therefore, the process proceeds to step 264 in FIG.
  • This routine is terminated after the operator is informed of a defective liquid repellent plate, such as by displaying “Liquid plate defect (replacement required)”. Thereafter, by confirming this notification (display), the operator stops the operation of the exposure apparatus 100 and manually replaces the liquid repellent plate 50. If a robot or the like used to replace the liquid repellent plate 50 is provided, the main controller 20 displays the replacement time on the display, stops the operation of the apparatus, and uses the robot or the like. Thus, the liquid repellent plate can be exchanged.
  • step 228 determines whether or not the roundness calculated in step 226 is less than the second threshold. . If this determination is negative, the process proceeds to step 234, and the wafer holder WH inside the opening 50a of the liquid repellent plate 50 is transferred using the transfer arm 70 of the transfer system 72 and the center-up 34a to 34c described above. After the tool wafer W1 (see FIG. 17B) is loaded on top, the process proceeds to a subroutine for measuring the position information of the outer peripheral edge of the object in the opening in step 236.
  • the tool wafer W1 is a tool wafer having a slightly smaller diameter (outer diameter) than the wafer W that is an object to be processed used for device manufacture.
  • the process proceeds to step 232 and the transport arm 70 of the transport system 72 and the above-described center-up 34a to 34c are used to adjust the liquid repellent plate 50.
  • the routine proceeds to the subroutine of step 236 described above.
  • the wafer table WTB and the transfer arm 70 are based on the position information of the inner peripheral edge of the opening 50a previously acquired in step 222 or the position information of the opening 50a acquired in step 224. Control at least one of the positions.
  • the second threshold value is determined for the purpose of selecting which of the tool wafer Wl and the wafer W is selected. If the roundness of the opening 50a is high, the diameter of the opening 50a is slightly different from that of the opening 50a. The force for loading the device manufacturing wafer W onto the wafer holder WH inside the opening 50a without any trouble is provided. If the degree is low, When a wafer W is loaded on the wafer holder WH inside the opening 50a, there is a possibility that the wafer W and the inner peripheral edge of the opening 50a are in contact with each other, which may make loading difficult. Therefore, in the latter case, the tool wafer W1 having a diameter smaller than that of the wafer W is loaded onto the wafer holder WH.
  • step 322 of FIG. 14 the outer peripheral edge of the object in the opening 50a (tool wafer W1 or wafer W, hereinafter, it will be representatively represented as tool wafer W1 as appropriate).
  • the count value k of the third counter indicating the number of measurement points is initialized to 1 (k 1).
  • K measuring points here eight, that is, each of eight lines radially extending from the center of the tool wafer W1 in eight directions with a central angle of 45 ° including the vertical and horizontal directions. It is assumed that 8 points that are intersections with the outer peripheral edge of wafer W1 are defined.
  • the position of the wafer table WTB is measured using the interferometer system 118, and the kth (here, the first) on the outer edge of the tool wafer W1 in the opening 50a of the liquid repellent plate 50 is measured.
  • the wafer table WTB (wafer stage WST) to position the first measurement point directly below the imaging field of the alignment ALG.
  • the k-th (here, the first) measurement point on the outer edge of the tool wafer W1 is imaged using the alignment system ALG, and the image data (imaging signal) is captured.
  • the measurement value of the interferometer system 118 at that time is taken in and stored in association with each other in a memory (not shown).
  • step 328 is affirmed.
  • the wafer table WTB is sequentially positioned at the position where each of the eight measurement points is located within the imaging field ALG 'of the alignment system ALG.
  • the outer edge of the wafer W1 is imaged using the alignment ALG, and the position information of the wafer table WTB corresponding to the imaging data (measurement value of the interferometer system 118) is stored in the memory.
  • the determination in step 328 is affirmed, and the process proceeds to step 332.
  • step 332 imaging data (imaging result) stored in the memory at K locations (here, 8 locations) on the outer edge of the object (tool wafer W1 (or wafer W)) in the opening 50a. And the measurement results of the corresponding interferometer system 118, the positions of the 1st to Kth (herein 8th) measurement points on the outer peripheral edge of the object in the opening 50a by the image processing method After obtaining the information, the processing of this subroutine is terminated, and the process returns to step 240 of the main routine (see FIG. 12).
  • step 240 the positional relationship between the inner peripheral edge of the opening 50a and the object in the opening 50a is acquired. Specifically, based on the position information of the above K locations (here, 8 locations) on the outer peripheral edge of the object in the opening 50a, for example, the location information of the object calculated by the least squares method (for example, the center of the object) Position information on the stage coordinate system (X, Y) and position information of the opening 50a of the liquid repellent plate 50 obtained in step 224 (for example, the stage coordinate system (X, Y of the center point of the opening 50a) ) On the position of the inner circumference edge of the opening 50a and the object in the opening 50a, for example, the deviation between the center of the opening 50a and the center of the object (tool wafer W1 or wafer W). Information is obtained by calculation.
  • the wafer stage WST is moved to the wafer exchange position, and the object (tool wafer W1 or wafer W) is transferred from the wafer holder WH using the transfer arm 70 of the transfer system 72 and the center up 34a to 34c. Unload.
  • step 244 the wafer W as the first substrate to be exposed, which has been preliminarily aligned (centered and adjusted in rotation) by a bri alignment device (not shown) that forms part of the transport system 72, is transported.
  • the wafer is transferred to the upper part of the wafer stage WST at the wafer exchange position, and information on the positional relationship between the inner peripheral edge of the opening 50a and the object in the opening 50a obtained in step 240 above, for example, information on the above-described deviation
  • the wafer W is loaded from the transfer arm 70 onto the wafer holder WH provided on the wafer table WT B by adjusting the positional relationship between the transfer arm 70 and the wafer stage WST.
  • the positional relationship between the transfer arm 70 and the wafer stage WST is adjusted for both the transfer arm 70 and the wafer stage WST.
  • it is realized by adjusting one position. In this way, after adjusting the positional relationship between the transfer arm 70 and the wafer and stage WST when loading the wafer w, the outer edge of the wafer W and the liquid repellent plate 50a are usually loaded by loading the wafer and W.
  • the inner peripheral edge of the wafer table WTB (the inner peripheral edge of the recess 140 on the top surface of the wafer table WTB) does not come into contact with each other, and the distance between the outer peripheral edge of the wafer W and the inner peripheral edge of the opening 50a is a predetermined value, for example, about 0.3 mm
  • the wafer W can be loaded on the wafer holder WH inside the inner peripheral edge of the opening 50a of the liquid repellent plate 50 above the wafer table WTB (inside the recess on the upper surface of the wafer table WTB) so as to be small.
  • wafer stage WST is moved below alignment system ALG.
  • the distance between the inner peripheral edge of the opening 50a of the liquid repellent plate 50 and the wafer W (the outer peripheral edge thereof) is aligned over the entire periphery of the wafer W using the alignment system ALG.
  • the procedure is the same as the measurement of the position information of the peripheral edge of the wafer W or the like described above.
  • next step 250 based on the measurement result in step 248, it is determined whether the interval is within the allowable range over the entire circumference of the wafer. Normally, as described above, the outer peripheral edge of the wafer W is not in contact with the inner peripheral edge of the liquid repellent plate 50a (the inner peripheral edge of the recess on the upper surface of the wafer table WT B). Since the wafer W is loaded onto the wafer holder WH so that the distance between the inner edge of the opening 50a and the inner peripheral edge of the opening 50a becomes smaller than 0.3 mm, for example, the determination in step 250 is affirmed and the process proceeds to the next step 252. .
  • step 250 As a result of performing the determination in step 250 based on the measurement result in step 248 due to an outer diameter error of the wafer W, the determination result may be negative. Therefore, if the determination in step 250 is negative, the process proceeds to step 242 described above, and the first wafer W is unloaded from the wafer holder. Then, the operations of step 244, step 246, step 248, and step 250 are performed on the second wafer W in the same manner as described above. In this case, in step 244, the second wafer W is attached to the wafer step. When loading the wafer (wafer holder), the positional relationship between the transfer arm and the wafer stage is adjusted in consideration of the measurement result of step 248 for the first wafer W. If the determination in step 250 regarding the second wafer W is affirmed, the process proceeds to the next step 252.
  • step 252 the alignment mark on wafer W is detected using alignment system ALG, and the position information of alignment mark is determined based on the detection result and the measurement value of interferometer system 118 at the time of detection. Wafer alignment such as enhanced global alignment (EGA) is performed.
  • EGA enhanced global alignment
  • next step 254 based on the positional information of the plurality of shot areas on the wafer W obtained as a result of the wafer alignment described above, the measurement result of the latest alignment ALG baseline, and the like,
  • the wafer stage WST is moved to the scanning start position (calo speed start position) for exposure of each shot area on W, and the pattern formed on the reticle R for each shot area is scanned and exposed
  • the scanning exposure operation transferred in step a plurality of shot areas on the wafer W are exposed in a step-and-scan manner. During this exposure, water is always filled immediately below the tip lens 91 of the projection optical system PL.
  • step 256 it is determined whether or not the exposure of all wafers in one lot has been completed. If this determination is negative, the process proceeds to step 262, where the exposed wafer W held in the wafer holder WH on the wafer table WTB is replaced with a new wafer, and then step 252 is performed. Proceed to, and repeat the process of step 252 ⁇ 254 ⁇ 256 ⁇ 262 until the determination in step 256 is affirmed.
  • step 256 determines whether the determination in step 256 is affirmed. If the determination in step 256 is affirmed, the process proceeds to step 258.
  • next step 258 it is determined whether or not the liquid repellent plate replacement time has arrived with reference to, for example, the irradiation history of the illumination light IL.
  • the relationship between the deterioration of the water-repellent coating on the surface of the liquid-repellent plate 50 and the amount of accumulated energy irradiated on the surface of the liquid-repellent plate 50 is obtained in advance through experiments.
  • the replacement time of the liquid repellent plate 50 has come just before the water repellent coat deteriorates.
  • the main controller 20 more precisely, the CPU inside the main controller 20 and the software executed by the CPU, At least a part of each of an outer peripheral edge position acquisition device, an inner peripheral edge position acquisition device, a determination device, a shape calculation device, an object outer peripheral edge position acquisition device, an interval measurement device, a stage control device, and a control device is realized.
  • an outer peripheral edge position acquisition device an inner peripheral edge position acquisition device
  • a determination device a determination device
  • a shape calculation device an object outer peripheral edge position acquisition device
  • an interval measurement device a stage control device
  • a control device At least a part of each of an outer peripheral edge position acquisition device, an inner peripheral edge position acquisition device, a determination device, a shape calculation device, an object outer peripheral edge position acquisition device, an interval measurement device, a stage control device, and a control device is realized.
  • at least some of the components realized by these software may be configured by hardware.
  • the main controller 20 determines the position of the wafer table WTB (wafer stage WST) on which the liquid repellent plate 50 is detachably mounted. While measuring using the system 118, a part of the liquid repellent plate 50 is detected using the alignment ALG, and the liquid repellent plate 50 is determined based on the detection result and the corresponding measurement result of the interferometer system 118. The position information of the outer peripheral edge is acquired (steps 204 to 210). Therefore, as in this embodiment, even if no position measurement mark or the like is present on the wafer table WTB (ueno, stage WST), the repellent property is determined based on the position information of the outer peripheral edge of the liquid repellent plate 50.
  • the position of the liquid plate 50 that is, the position of the wafer table WTB (wafer stage WST) can be managed on the movement coordinate system (stage coordinate system) defined by the interferometer system.
  • the outer peripheral edge of the liquid repellent plate 50 is another member (for example, the measurement stage MST). It is possible to control the position of the wafer tape nozzle WTB (wafer stage WST).
  • the main controller 20 measures the position of the wafer table WTB using the interferometer system 118, while using the alignment system ALG.
  • the position information of the inner peripheral edge of the opening 50a of the liquid repellent plate 50 is acquired based on the detection result and the corresponding measurement result of the interferometer system 118 (step 222). Therefore, the position and shape of the opening 50a can be calculated based on the position information of the inner peripheral edge (see steps 224 and 226).
  • main controller 20 determines the position information of the inner peripheral edge of opening 50a of liquid repellent plate 50 when, for example, the roundness is less than the second threshold value. Therefore, the wafer W is loaded onto the wafer holder WH in the opening 50a of the liquid repellent plate 50 on the wafer stage WST (wafer table WTB) via the transfer system 72 (step 232). It is easier to load the wafer W into the opening 50a of the liquid repellent plate 50 on the wafer stage WST than when the information about the inner peripheral edge of the 50 openings 50a is not considered.
  • the main The control device 20 controls at least one of the wafer table WTB and the transfer arm 70 of the transfer system 72 in consideration of the positional relationship information when transferring the wafer W to the wafer table WTB by the transfer system 72, and transfers the wafer W to the wafer table WTB. Adjust the positional relationship between the arm 70 and the wafer table and load the wafer (see step 244).
  • the outer peripheral edge of the wafer W is not in contact with the inner peripheral edge of the liquid repellent plate 50a (the inner peripheral edge of the recess on the upper surface of the wafer table WTB), and the outer peripheral edge of the wafer W and the inner peripheral edge of the opening 50a are
  • the wafer holder is placed inside the inner edge of the opening 50a of the liquid repellent plate 50 above the wafer table WTB (in the recess on the upper surface of the wafer table WTB) so that the distance between the wafer table WTB is smaller than a predetermined value, for example, 0.3 mm. It becomes possible to load on WH. [0175] In the operation described with reference to Figs.
  • a first threshold value and a second threshold value are provided for the shape (roundness) of the opening 50a, and the tool wafer W1 is used as a wafer holder. It is also possible to determine whether or not the force to load the tool Ueno, W1 using only one threshold value.
  • the tool wafer W1 may be a wafer having a smaller diameter than the wafer W to be exposed, or may be a wafer having substantially the same diameter as the wafer W to be exposed.
  • the acquisition of the force shape information for placing the tool wafer W1 on the wafer holder after acquiring the shape information of the opening 50a may be omitted.
  • the tool wafer W1 may be a wafer having a smaller diameter than the wafer to be exposed W, or may be a wafer having substantially the same diameter as the wafer to be exposed W.
  • the position information and shape information of the opening 50a are obtained after the position information and shape information of the opening 50a are obtained and then the tool wafer W1 is placed on the wafer holder.
  • the position information of the opening and the positional relationship (including the interval) between the inner peripheral edge of the opening and the outer peripheral edge of the tool wafer W1 can be acquired.
  • the shape information of the opening 50a can be acquired as necessary.
  • the tool wafer W1 is preferably a wafer having a smaller diameter than the wafer W to be exposed, but may be a wafer having the same diameter as the wafer W to be exposed.
  • the wafer W as the first substrate to be exposed when placed on the wafer holder, the inner peripheral edge of the opening 50a and the wafer W However, based on the information obtained using the tool wafer W1, the wafer W as the substrate to be exposed can be loaded at a predetermined position in the opening 50a. If possible, the measurement operation (steps 246, 248, 250) may be omitted.
  • step 258 a force step for determining whether or not the liquid repellent plate 50 is to be replaced after completion of the exposure processing for one mouth.
  • Step 258 may be omitted and the determination may be made every predetermined time, or the liquid repellent plate may be replaced after a predetermined time without determining whether or not replacement is necessary.
  • Exposure is performed by irradiating W with illumination light IL (step 254).
  • illumination light IL illumination light IL
  • leakage of liquid (water) Lq from between the wafer W and the liquid repellent plate 50 during the exposure operation can be suppressed, and exposure with high resolution and a greater depth of focus than in air can be achieved by immersion exposure.
  • the reticle R pattern can be accurately transferred onto the wafer.
  • ArF excimer laser light can transfer a fine pattern of about 45 to 100 nm as a device rule.
  • the wafer stage WST (wafer table WTB) may be provided with only the minimum necessary components necessary for wafer exposure, such as a wafer holder.
  • the wafer stage WST can be reduced in size and weight, the drive mechanism (motor) that drives the wafer stage can be reduced, and the amount of heat generated from the motor can be reduced. It is possible to suppress the decrease as much as possible.
  • Liquid repellent plate 50 In the position on the inner side of the outer peripheral edge on the upper surface, a mark having a known positional relationship with the outer peripheral edge, for example, a line parallel to the outer peripheral edge at a predetermined distance (D) from the outer peripheral edge Forming at least one measurement point on the mark, measuring the position information of the measurement point, and obtaining the position of the outer edge based on the measurement result and the distance D above. Also good. As shown in FIG.
  • the liquid repellent plate 50 often has a curved surface (or slope) having a width d and a height h in the vicinity of the edge.
  • the height h is about 0.1 mm.
  • the edge image may be blurred.
  • the above-described line-shaped mark may be provided at a position where D> d, and the line-shaped mark may be imaged by the alignment system ALG.
  • the mark is not limited to the above-mentioned line shape, and the shape is not limited as long as the positional relationship with the outer peripheral edge is known.
  • a mark having a known positional relationship with the inner peripheral edge is formed in advance, and position information of at least one measurement point on the mark is obtained. You may get it.
  • a circular line concentric with the opening 50a may be formed as a mark outside the predetermined distance from the inner peripheral edge of the opening 50a.
  • the focus is adjusted at a position where the detection beam can irradiate the surface of the liquid repellent plate 50, and then the measurement point is positioned in the imaging field of the alignment ALG while maintaining the focus state. It is desirable to perform a so-called shift focus operation!
  • the alignment edge ALG that also has the FIA sensor force is used to image the outer peripheral edge of the liquid repellent plate 50, the inner peripheral edge of the opening 50a, and the outer peripheral edge of the tool wafer W1 or wafer W.
  • a sensor other than the FIA system for example, a device that detects reflected light or scattered light May be used.
  • the reflected light of the object force may be detected by epi-illumination.
  • the edge of the 1S liquid repellent plate 50 is also illuminated by the downward force, and the transmitted light is transmitted to the liquid repellent plate 50. It is also possible to adopt a detection method in the upward direction.
  • At least one of the replacement operation of the liquid repellent plate 50 and various measurements of the liquid repellent plate 50 is performed in a state where the liquid Lq is not present on the image plane side of the projection optical system PL.
  • the liquid Lq may be held between the measurement table MTB and the projection optical system PL. If the liquid Lq is continuously held between the measurement table MTB and the projection optical system PL, the wet state of the front end surface of the projection optical system PL can be maintained, thus preventing the occurrence of watermarks and the like. The total recovery and resupply of liquid Lq can be omitted.
  • the wafer table WTB force constitutes the first stage (and the moving body) on which the plate from which the position information of the outer peripheral edge is detected is detachably mounted, and the measurement stage MST is the first stage.
  • the measurement table MTB may configure the first stage (and the moving body). That is, the position information of the outer peripheral edge of the plate detachably mounted on the measurement table MTB may be acquired.
  • the movement of the measurement table MTB can be controlled based on the position information of the outer peripheral edge.
  • at least one of the various plate measurement operations may be performed without the liquid Lq on the image plane side of the projection optical system PL, and the liquid between the wafer table WTB and the projection optical system PL. It can be executed with Lq held.
  • the measurement table MTB and the projection optical system PL are used for the operation of exchanging the liquid repellent plate 50 of the wafer table WTB and the measurement operation of the outer peripheral edge of the liquid repellent plate 50 and the inner peripheral edge of the opening 50a of the liquid repellent plate 50. It can be executed with the liquid Lq held between.
  • the liquid Lq is placed on the measurement table MTB so that the liquid Lq is positioned on the measurement table MTB. Control the position.
  • the outer edge of the liquid repellent plate 50 on the measurement table MTB (measurement stage MST) side (+ Y side) is aligned with an alignment ALG. To measure. This makes it possible to bring the wafer table W TB (wafer stage WST) closer to the measurement table MTB (measurement stage MST).
  • the subsequent wafer table WTB (wafer stage WST) Is managed by the main controller 20.
  • the —X side inner peripheral edge and the + X side inner peripheral edge of the opening 50a of the liquid repellent plate 50 are sequentially measured using the alignment system ALG.
  • the wafer since no wafer is placed on the wafer table WTB, the wafer is placed.
  • the inner edge can be measured as shown in FIGS. 20 (A) to 20 (C). Similar to the above embodiment, the wafer can be loaded on the wafer holder WH.
  • the measurement table MTB and the projection optics are used for the exchange operation of the liquid repellent plate 50 of the wafer table WTB and the measurement operation of the outer peripheral edge of the liquid repellent plate 50 and the inner peripheral edge of the opening 50a of the liquid repellent plate 50.
  • the wafer stage WST (wafer table) loaded with the wafer is loaded.
  • WTB liquid repellent plate 50 and measurement stage WST are in contact with each other and the range of movement is expanded. That is, the liquid Lq can be positioned on the entire surface of the wafer table WTB. Therefore, measurement may be performed again using the measurement method according to the flowcharts of FIGS. 7, 11, and 12 described in the above embodiment. This makes it possible to perform more accurate measurement.
  • the position information of the outer peripheral edge of the liquid repellent plate 50, the inner peripheral edge of the opening 50a, and the outer peripheral edge of the tool wafer W1 or the wafer W is set at a plurality of pairs of locations symmetrical about the center.
  • measurement points are set has been described, this is merely an example in which, for example, when calculating the position of each center point, the measurement accuracy is improved by the averaging effect.
  • the invention is not limited to this.
  • the shape of the liquid repellent plate 50 is approximately square and the opening 50a is circular has been described.
  • the shape of the plate may be circular, polygonal, or other shape.
  • the shape may be any shape according to the shape of the object to be processed.
  • the opening may be rectangular according to the shape of the glass plate that is the object to be processed.
  • the force plate 50 described in the case where the plate 50 is detachable from the wafer table WTB is formed integrally with the wafer table WTB. May be. Also in this case, for example, the position information of the inner peripheral edge of the recess formed to place the wafer W on the wafer table WTB can be detected as shown in FIGS.
  • the series of operations including the measurement of the position information of the dice need not always be performed together, but can be performed either one or the other.
  • the present invention is applied to the immersion exposure apparatus.
  • the scope of the present invention is not limited to this and is not an immersion type.
  • the present invention can be suitably applied to a stepper or the like.
  • a plate having no liquid repellent surface formed on its surface can be used instead of the liquid repellent plate.
  • the stage apparatus includes one wafer stage and one measurement stage has been described.
  • the present invention is not limited to this, and the wafer is held without including the measurement stage.
  • At least one wafer stage may be provided.
  • at least one of the plate exchange operation and the various plate measurement operations on one wafer stage is performed without the liquid L q on the image plane side of the projection optical system PL.
  • the other wafer and stage may be placed under the projection optical system PL (on the image plane side), and the liquid Lq may be held between the projection optical system and the other wafer stage. May be.
  • the present invention is not limited to this, and the leveling table 52 has 3 degrees of freedom.
  • the measurement table MTB may have 3 degrees of freedom. Also, it is possible to adopt a configuration in which the measurement table MTB has 6 degrees of freedom without providing the leveling table 52.
  • pure water water
  • a safe liquid that is chemically stable and has a high transmittance of the illumination light IL such as a fluorine-based inert liquid
  • florinert trade name of 3EM, USA
  • This fluorine-based inert liquid is also excellent in terms of cooling effect.
  • use a liquid that is transparent to the illumination light IL and has a refractive index as high as possible, and that is stable with respect to the projection optical system and the photoresist coated on the wafer surface for example, cedar oil. You can also. If F laser is used as the light source, select Fomblin oil.
  • the recovered liquid may be reused.
  • a filter for removing impurities from the recovered liquid is provided in the liquid recovery apparatus, the recovery pipe, or the like. It is desirable to keep it.
  • the force that the optical element closest to the image plane of the projection optical system PL is the tip lens 91 is not limited to the lens.
  • the optical element of the projection optical system PL is not limited to the lens. It may be an optical plate (parallel plane plate, etc.) used for adjusting characteristics such as aberrations (spherical aberration, coma aberration, etc.), or a simple cover glass.
  • the optical element on the most image plane side of the projection optical system PL (the tip lens 91 in each of the above embodiments) is a liquid (due to scattering particles generated from the resist by the irradiation of the illumination light IL or adhesion of impurities in the liquid, etc. In each of the embodiments described above, the surface may be contaminated by contact with water. For this reason, the optical element may be detachably (replaceable) fixed to the lowermost part of the lens barrel 40, and may be periodically replaced.
  • the optical element in contact with the liquid is a lens
  • the cost of the replacement part is high and the time required for the replacement becomes long, which increases the maintenance cost (running cost) and increases the throughput. Incurs a decline. Therefore, the optical element that comes into contact with the liquid may be a plane parallel plate that is cheaper than the lens 91, for example.
  • the present invention can be applied to a projection exposure apparatus of a step & and repeat system, an exposure apparatus of a step & and stitch system, or a proximity exposure apparatus.
  • the use of the exposure apparatus is not limited to the exposure apparatus for semiconductor production, for example, Widely used in liquid crystal exposure devices that transfer liquid crystal display element patterns onto a square glass plate, and in exposure devices for manufacturing organic EL, thin film magnetic heads, image sensors (CCDs, etc.), micromachines, and DNA chips. Applicable.
  • glass substrates, silicon wafers, etc. are used to manufacture reticles or masks used in light exposure equipment, EUV exposure equipment, X-ray exposure equipment, electron beam exposure equipment, etc. that can be used only with micro devices such as semiconductor devices.
  • the present invention can also be applied to an exposure apparatus that transfers a circuit pattern.
  • the light source of the exposure apparatus of the above embodiment is not limited to the ArF excimer laser, but is a KrF excimer laser (output wavelength 248 nm), F laser (output wavelength 157 nm), Ar laser (output
  • Pulse laser light source such as 126nm wavelength, Kr laser (output wavelength 146nm), g-line (wave
  • an ultra-high pressure mercury lamp that emits bright lines such as 436 nm long and i-line (wavelength 365 nm).
  • a harmonic generator of a YAG laser can also be used.
  • DFB semiconductor laser or fiber laser power single-wavelength laser light oscillated in the infrared or visible range is amplified by, for example, an erbium (or both erbium and ytterbium) force S-doped fiber amplifier and nonlinear Harmonics that have been wavelength-converted to ultraviolet light using an optical crystal may be used.
  • the projection optical system may be not only a reduction system but also an equal magnification and an enlargement system.
  • a light transmission mask in which a predetermined light shielding pattern (or phase pattern 'dimming pattern') is formed on a light transmitting substrate is used.
  • this reticle for example, as disclosed in US Pat. No. 6,778,257, based on electronic data of a pattern to be exposed, a transmission pattern or a reflection pattern, Alternatively, the present invention can also be applied to an exposure apparatus that uses an electronic mask (variable molding mask) for forming a light emission pattern.
  • an exposure apparatus (lithography system) that forms a line 'and' space pattern on the wafer W by forming interference fringes on the wafer W.
  • the present invention can also be applied to.
  • the present invention is applied to the 1S exposure apparatus, such as the position measuring method, the measuring method, and the loading method, has been described.
  • a moving body with a shaped plate detachably mounted on the moving body The measuring method and the loading method of the present invention can be applied to a device having a movable body in which a plate in which an opening for placing an object is formed is detachably mounted. If so, it can be applied.
  • the semiconductor device has a step of designing the function and performance of the device, a step of manufacturing a reticle based on this design step, a step of manufacturing a wafer from a silicon material, and the steps of the above embodiments.
  • the exposure apparatus is manufactured through a lithography step for transferring a pattern formed on a mask onto a photosensitive object, a device assembly step (including a dicing process, a bonding process, a knocking process), an inspection step, and the like.
  • a lithography step for transferring a pattern formed on a mask onto a photosensitive object
  • a device assembly step including a dicing process, a bonding process, a knocking process
  • an inspection step and the like.
  • the position measurement method of the present invention is suitable for position measurement of a plate detachably mounted on a moving body. Further, the position control method of the present invention is suitable for position control of the moving body.
  • the measurement method of the present invention is suitable for measuring information relating to a plate mounted on a moving body and having an opening for placing an object.
  • the loading method of the present invention is suitable for loading an object on the moving body.
  • the exposure method, exposure apparatus, and device manufacturing method of the present invention are suitable for manufacturing microdevices such as semiconductor elements.

Abstract

 所定形状のプレート(50)が着脱可能に搭載された移動体(WST)の位置をその移動座標系を規定する計測装置(18等)で計測しつつ、プレート(50)の一部をアライメント系(ALG)で検出するとともにその検出結果と対応する前記計測装置の計測結果とに基づいてプレート(50)の外周エッジの位置情報を取得する。このため、その移動体(WST)上に位置計測用のマーク(基準マーク)などが存在しなくても、プレートの外周エッジの位置情報に基づいて、プレートの位置、すなわち移動体の位置を前記計測装置で規定される移動座標系上で管理することが可能になる。

Description

明 細 書
位置計測方法、位置制御方法、計測方法、ロード方法、露光方法及び露 光装置、並びにデバイス製造方法
技術分野
[0001] 本発明は、位置計測方法、位置制御方法、計測方法、ロード方法、露光方法及び 露光装置、並びにデバイス製造方法に係り、さらに詳しくは、移動体上に着脱可能に 搭載されたプレートの位置情報を計測する位置計測方法、該位置計測方法を利用 する位置制御方法、移動体上に搭載され、物体を載置するための開口が形成された プレートに関する情報を計測する計測方法、該計測方法を利用する物体のロード方 法、該ロード方法を利用する露光方法及び前記各方法を実施するのに好適な露光 装置、並びに該露光装置又は前記露光方法を用いるデバイス製造方法に関する。 背景技術
[0002] 従来より、半導体素子 (集積回路等)、液晶表示素子等の電子デバイスを製造する リソグラフイエ程では、マスク又はレチクル(以下、「レチクル」と総称する)のパターン の像を投影光学系を介して、レジスト (感光剤)が塗布されたウェハ又はガラスプレー ト等の感光性の物体 (以下、「ウェハ」と呼ぶ)上の複数のショット領域の各々に転写 するステップ'アンド'リピート方式の縮小投影露光装置 ( 、わゆるステツパ)や、ステツ プ ·アンド'スキャン方式の投影露光装置( 、わゆるスキャニング'ステツパ (スキャナと も呼ばれる))など力 主として用いられている。
[0003] ところで、半導体素子の高集積化、回路パターンの微細化に伴い、投影露光装置 が備える投影光学系の解像度向上を図るために、露光光の波長 (露光波長)が次第 に短波長化するとともに投影光学系の開口数 (NA)が次第に増大している。一方、こ れら露光波長の短波長化及び投影光学系の NAの増大化(大 NA化)によって、焦 点深度が狭くなつてきている。露光波長は将来的に更に短波長化することが確実視 されており、このままでは焦点深度が狭くなり過ぎて、露光動作時のフォーカスマージ ンが不足するおそれが生じて 、た。
[0004] そこで、実質的に露光波長を短くして、かつ空気中に比べて焦点深度を大きく(広 く)する方法として、液浸法を利用した露光装置が、最近注目されるようになってきた 。この液浸法を利用した露光装置として、投影光学系の下面とウェハ表面との間を水 又は有機溶媒等の液体で局所的に満たした状態で露光を行うものが知られている( 例えば、特許文献 1参照)。この特許文献 1に記載の露光装置では、液体中での露 光光の波長が、空気中の lZn倍 (nは液体の屈折率で通常 1. 2〜1. 6程度)になる ことを利用して解像度を向上すると共に、その解像度と同一の解像度が液浸法によら ず得られる投影光学系(このような投影光学系の製造が可能であるとして)に比べて 焦点深度を n倍に拡大する、すなわち空気中に比べて焦点深度を実質的に n倍に拡 大することができる。
[0005] ところで、近時、露光装置のウェハステージにお 、ては、ウェハステージに保持さ れたウェハの周囲に、ウェハとほぼ面一の平坦部を形成する脱着可能なプレートを 配置しようとの提案がなされている。このような脱着可能なプレートをウェハステージ に用いる場合、プレートの位置を正確に知る必要がある。
[0006] また、ウェハステージにプレートを用いる場合、そのプレートの中央部にウェハを位 置させるための開口(例えば半導体ウェハの場合は円形の開口)を形成する必要が あるが、例えばプレートの円形開口の真円度が低ぐ歪な円形や楕円形になってい る場合には、ウェハの外周面と開口の内周面との隙間が一様でなくなり、ウェハがプ レートの開口の内壁面に接触する、あるいはプレートの開口内にウェハを挿入できな V、などの不都合が生じるおそれがあった。
[0007] また、プレートの開口の内壁面とウェハとの間の隙間は非常に狭いので、ウェハの ロードの際のウェハとプレートとの相対位置を正確に合わせなければ、円滑なウエノ、 のロード動作が困難になる。
[0008] また、液浸法を用いる露光装置の場合には、プレートの開口の内周エッジとウェハ の外周エッジとの隙間が広い部分に液体が侵入してしまうおそれもあった。
[0009] 特許文献 1:国際公開第 99Z49504号パンフレット
発明の開示
課題を解決するための手段
[0010] 本発明は、第 1の観点力 すると、移動体上に着脱可能に搭載された所定形状の プレートの位置情報を計測する位置計測方法であって、前記移動体の位置をその移 動座標系を規定する計測装置で計測しつつ、前記プレートの一部を検出するととも にその検出結果と対応する前記計測装置の計測結果とに基づいて前記プレートの 外周エッジの位置情報を取得する外周エッジ位置取得工程を含む位置計測方法で ある。
[0011] これによれば、所定形状のプレートが着脱可能に搭載された移動体の位置をその 移動座標系を規定する計測装置で計測しつつ、前記プレートの一部を検出するとと もにその検出結果と対応する前記計測装置の計測結果とに基づいて前記プレートの 外周エッジの位置情報を取得する。このため、プレートの外周エッジの位置を前記計 測装置で規定される移動座標系上で管理することが可能になる。
[0012] 本発明は、第 2の観点力 すると、プレートが着脱可能に搭載された移動体の位置 を制御する位置制御方法であって、本発明の位置計測方法を用いて計測された前 記プレートの外周エッジの位置情報に基づ!/、て、前記移動体の位置を制御すること を特徴とする位置制御方法である。
[0013] これによれば、本発明の位置計測方法を用いて計測された前記プレートの外周ェ ッジの位置情報に基づいて、前記移動体の位置を制御するので、プレートの外周ェ ッジの位置を考慮して移動体の位置を管理することが可能になる。
[0014] 本発明の位置制御方法は、例えば露光装置にぉ 、て、被露光物体が搭載される 移動体などの位置を管理するのに用いることができる。従って、本発明は、第 3の観 点からすると、本発明の位置制御方法を用いる第 1の露光装置であるとも言える。
[0015] 本発明は、第 4の観点力 すると、移動体上に着脱可能に搭載され、物体を載置す るための開口が形成されたプレートに関する情報を計測する計測方法であって、前 記プレートの一部を検出し、その検出結果に基づいて前記開口の内周エッジの位置 情報を取得する内周エッジ位置取得工程を含む計測方法である。
[0016] これによれば、移動体上に着脱可能に搭載され、物体を載置するための開口が形 成されたプレートの一部を検出し、その検出結果に基づいて前記開口の内周エッジ の位置情報を取得する。このため、この内周エッジの位置情報に基づいて、開口の 位置や形状などを算出することが可能になる。 [0017] 本発明は、第 5の観点力もすると、物体を載置するための開口を有するプレートが 着脱可能に搭載された移動体上に物体をロードするロード方法において、本発明の 計測方法を用いて取得された前記プレートの開口の内周エッジの位置情報に基づ いて、前記物体を前記移動体上の前記プレートの開口内にロードすることを特徴とす る第 1のロード方法である。
[0018] これによれば、本発明の計測方法を用いて取得されたプレートの開口の内周エッジ の位置情報に基づいて、物体を前記移動体上の前記プレートの開口内にロードする 。従って、物体を移動体上のプレートの開口内にロードすることが容易になる。
[0019] 本発明は、第 6の観点からすると、物体を露光する露光方法であって、本発明の第 1のロード方法を用いて、前記移動体上の前記プレートの開口内に物体をロードする 工程と;前記移動体上にロードされた前記物体に露光ビームを照射する工程と;を含 む第 1の露光方法である。
[0020] これによれば、本発明の第 1のロード方法を用いて、移動体上のプレートの開口内 に物体をロードし、その移動体上にロードされた物体に露光ビームを照射して露光が 行われる。
[0021] 本発明は、第 7の観点力 すると、移動体上端部の凹部内に被処理物体をロードす るロード方法であって、前記移動体上の凹部内に物体を載置する工程と;前記凹部 の内周エッジと、その凹部内に載置された前記物体との位置関係の情報を取得する 取得工程と;を含む第 2のロード方法である。
[0022] ここで、「物体」は、被処理物体をも含む概念である。すなわち、載置する工程では 、移動体上の凹部内に被処理物体を載置しても良いし、その他の物体、例えば上記 の位置関係取得を目的とする専用の物体を載置しても良い。
[0023] いずれにしても、取得工程では、凹部の内周エッジと、その凹部内に載置された前 記物体との位置関係の情報が取得される。従って、この取得された位置関係に基づ いて、物体を移動体の凹部内に、所望の位置関係でロードすることが可能となる。
[0024] 本発明は、第 8の観点力 すると、被処理物体を露光する露光方法であって、本発 明の第 2のロード方法を用いて、前記移動体上の凹部内に前記被処理物体を載置 する工程と;前記移動体の前記凹部内に載置された前記被処理物体に露光ビーム を照射する工程と;を含む第 2の露光方法である。
[0025] これによれば、本発明の第 2のロード方法を用いて、移動体上の凹部内に被処理 物体を載置し、その移動体の凹部内に載置された被処理物体に露光ビームを照射 して露光が行われる。
[0026] 本発明は、第 9の観点からすると、物体上に露光ビームを照射する露光装置であつ て、所定形状のプレートが着脱可能に搭載された第 1ステージと;前記第 1ステージ の位置を計測する位置計測系と;前記第 1ステージの一部を検出可能な検出装置と; 前記第 1ステージの位置を前記位置計測系を用いて計測しつつ、前記検出装置を 用いて前記プレートの一部を検出するとともに、その検出結果と対応する前記位置計 測系の計測結果とに基づいて前記プレートの外周エッジの位置情報を取得する外周 エッジ位置取得装置と;を備える第 2の露光装置である。
[0027] これによれば、外周エッジ位置取得装置により、所定形状のプレートが着脱可能に 搭載された第 1ステージの位置を位置計測系を用いて計測しつつ、検出装置を用い て前記プレートの一部が検出されるとともに、その検出結果と対応する前記位置計測 系の計測結果とに基づ 、て前記プレートの外周エッジの位置情報が取得される。こ のため、第 1ステージに搭載されたプレートの外周エッジの位置を前記位置計測系で 規定される移動座標系上で管理することが可能になる。
[0028] 本発明は、第 10の観点からすると、物体上に露光ビームを照射する露光装置であ つて、開口が形成された所定形状のプレートが搭載され、前記開口内に物体が載置 される露光ステージと;前記露光ステージの位置を計測する位置計測系と;前記露光 ステージの一部を検出可能な検出装置と;前記露光ステージの位置を前記位置計 測系を用いて計測しつつ、前記検出装置を用いて前記プレートの一部を検出すると ともに、その検出結果と対応する前記位置計測系の計測結果とに基づいて前記開口 の内周エッジの位置情報を取得する内周エッジ位置取得装置と;を備える第 3の露 光装置である。
[0029] これによれば、内周エッジ位置取得装置により、露光ステージの位置を位置計測系 を用いて計測しつつ、検出装置を用いてプレートの一部が検出されるとともに、その 検出結果と対応する前記位置計測系の計測結果とに基づいて前記開口の内周エツ ジの位置情報が取得される。このため、この内周エッジの位置情報に基づいて、開口 の位置や形状などの情報を取得することが可能になる。
[0030] リソグラフイエ程において、本発明の第 1〜第 3の露光装置を用いることで、物体上 にパターンを精度良く形成することができ、これによりマイクロデバイスを歩留まり良く 製造することができる。同様に、リソグラフイエ程において、本発明の第 1、第 2の露光 方法を用いることで、物体上にパターンを精度良く形成することができ、これによりマ イク口デバイスを歩留まり良く製造することができる。従って、本発明は、さらに別の観 点からすると、本発明の第 1〜第 3の露光装置のいずれか、又は第 1、第 2の露光方 法の 、ずれかを用いる、デバイス製造方法であるとも言える。
図面の簡単な説明
[0031] [図 1]一実施形態の露光装置の概略構成を示す図である。
[図 2]図 1のステージ装置を示す斜視図である。
[図 3]図 1の計測ステージを示す斜視図である。
[図 4]ウェハテーブルを示す平面図である。
[図 5]干渉計システムの構成を説明するための図である。
[図 6]—実施形態の露光装置の制御系の主要な構成を示すブロック図である。
[図 7]ウェハテーブルの基準状態への復帰動作の際の、主制御装置(内部の CPU) の処理アルゴリズムを示すフローチャートである。
[図 8]図 7のフローチャートで示される処理アルゴリズムの開始条件を説明するための 図であって、その開始時のウェハテーブル WTBの位置の一例を示す図である。
[図 9]図 9 (A)、図 9 (B)、図 9 (C)及び図 9 (D)は、撥液プレートの外周エッジの位置 情報の取得に際し、第 1番目、第 2番目、第 3番目、第 4番目の計測点がァライメント 系の撮像視野に位置決めされたときの様子を、それぞれ示す図である。
[図 10]図 10 (A)は、撥液プレートの +Y側端部のエッジ上の複数箇所の計測点の位 置情報を順次計測する際のウェハテーブル WTBの移動の様子を示す図、図 10 (B) は、撥液プレートの 4辺のエッジの各々に 3点の計測点を設定した場合の様子を示す 図である。
[図 11]ウェハテーブル上の撥液プレート交換から次の撥液プレート交換が行われる までの間の、一連の処理の際の、主制御装置(内部の CPU)の処理アルゴリズムを 示すフローチャート(その 1)である。
[図 12]ウェハテーブル上の撥液プレート交換から次の撥液プレート交換が行われる までの間の、一連の処理の際の、主制御装置(内部の CPU)の処理アルゴリズムを 示すフローチャート(その 2)である。
[図 13]ステップ 222のサブルーチンを示すフローチャートである。
[図 14]ステップ 236のサブルーチンを示すフローチャートである。
[図 15]図 15 (A)、図 15 (B)、図 15 (C)及び図 15 (D)は、撥液プレートの開口の内周 エッジの位置情報の取得に際し、第 1番目、第 2番目、第 3番目、第 4番目の計測点 がァライメント系の撮像視野に位置決めされたときの様子を、それぞれ示す図である
[図 16]図 16 (A)、図 16 (B)、図 16 (C)及び図 16 (D)は、撥液プレートの開口の内周 エッジの位置情報の取得に際し、第 5番目、第 6番目、第 7番目、第 8番目の計測点 がァライメント系の撮像視野に位置決めされたときの様子を、それぞれ示す図である
[図 17]図 17 (A)は、撥液プレートの開口の内周エッジ上の 8箇所の撮像データが取 得される状態を模式的に示す概念図、図 17 (B)は、工具用ウェハの外周エッジ上の 8箇所の撮像データが取得される状態を模式的に示す概念図である。
[図 18]撥液プレートの外周エッジ部近傍を拡大して示す側面図である。
[図 19]図 19 (A)〜(D)は、変形例を説明するための図(その 1)である。
[図 20]図 20 (A)〜 (C)は、変形例を説明するための図(その 2)である。
発明を実施するための最良の形態
[0032] 以下、本発明の一実施形態を図 1〜図 17 (B)に基づいて説明する。
[0033] 図 1には、本発明に係る位置計測方法、位置制御方法、計測方法、ロード方法及 び露光方法の実施に好適な一実施形態の露光装置 100の概略構成が示されている 。この露光装置 100は、ステップ'アンド'スキャン方式の投影露光装置、すなわちい わゆるスキャニング'ステツパ (スキャナとも呼ばれる)である。この露光装置 100は、照 明系 10、レチクル Rを保持するレチクルステージ RST、投影ユニット PU、ウェハステ ージ WST及び計測ステージ MSTを有するステージ装置 150、及びこれらの制御系 等を備えている。ウェハステージ WST上には、ウェハ Wが載置されるようになってい る。
[0034] 前記照明系 10は、例えば特開 2001— 313250号公報及びこれに対応する米国 特許出願公開第 2003Z0025890号明細書などに開示されるように、光源、ォプテ イカルインテグレータ (フライアイレンズ、ロッドインテグレータ(内面反射型インテグレ ータ)あるいは回折光学素子など)等を含む照度均一化光学系、ビームスプリッタ、リ レーレンズ、可変 NDフィルタ、レチクルブラインド等(いずれも不図示)を含む。本国 際出願で指定した指定国 (又は選択した選択国)の国内法令が許す限りにおいて、 上記公報及び対応する米国特許出願公開明細書における開示を援用して本明細 書の記載の一部とする。
[0035] この照明系 10では、レチクル R上のレチクルブラインドで規定されたスリット状の照 明領域部分を照明光 (露光光) ILによりほぼ均一な照度で照明する。ここで、照明光 I Lとしては、一例として ArFエキシマレーザ光(波長 193nm)が用いられている。
[0036] 前記レチクルステージ RST上には、回路パターン等がそのパターン面(図 1におけ る下面)に形成されたレチクル R力 例えば真空吸着により固定されている。レチクル ステージ RSTは、例えばリニアモータ等を含むレチクルステージ駆動系 11 (図 1では 図示せず図 6参照)によって、照明系 10の光軸 (後述する投影光学系 PLの光軸 AX に一致)に垂直な XY平面内で微少駆動可能であるとともに、所定の走査方向(ここ では図 1における紙面内左右方向である Y軸方向とする)に指定された走査速度で 駆動可能となっている。
[0037] レチクルステージ RSTのステージ移動面内の位置(Z軸回りの回転を含む)は、レ チタルレーザ干渉計 (以下、「レチクル干渉計」という) 116によって、移動鏡 15 (実際 には、 Y軸方向に直交する反射面を有する Y移動鏡と X軸方向に直交する反射面を 有する X移動鏡とが設けられている)を介して、例えば 0. 5〜: Lnm程度の分解能で 常時検出される。このレチクル干渉計 116の計測値は、主制御装置 20 (図 1では不 図示、図 6参照)に送られ、主制御装置 20では、このレチクル干渉計 116の計測値に 基づいてレチクルステージ RSTの X軸方向、 Y軸方向及び Θ z方向(Z軸回りの回転 方向)の位置を算出するとともに、この算出結果に基づいてレチクルステージ駆動系
11を制御することで、レチクルステージ RSTの位置 (及び速度)を制御する。なお、 移動鏡 15に代えて、レチクルステージ RSTの端面を鏡面加工して反射面 (移動鏡 1 5の反射面に相当)を形成することとしても良!、。
[0038] レチクル Rの上方には、投影光学系 PLを介してレチクル R上の一対のレチクルァラ ィメントマークとこれらに対応する計測ステージ MST上の一対の基準マーク(以下、「 第 1基準マーク」と呼ぶ)とを同時に観察するための露光波長の光を用いた TTR(Thr ough The Reticle)ァライメント系から成る一対のレチクルァライメント検出系 RAa, RA bが X軸方向に所定距離隔てて設けられて 、る。これらのレチクルァライメント検出系 RAa, RAbとしては、例えば特開平 7— 176468号公報及びこれに対応する米国特 許第 5, 646, 413号明細書などに開示されるものと同様の構成のものが用いられて いる。本国際出願で指定した指定国 (又は選択した選択国)の国内法令が許す限り において、上記公報及び対応する米国特許明細書における開示を援用して本明細 書の記載の一部とする。
[0039] 前記投影ユニット PUは、レチクルステージ RSTの図 1における下方に配置されて いる。投影ユニット PUは、鏡筒 40と、該鏡筒 40内に所定の位置関係で保持された 複数の光学素子から成る投影光学系 PLとを含む。投影光学系 PLとしては、例えば Z 軸方向の共通の光軸 AXを有する複数のレンズ(レンズエレメント)力も成る屈折光学 系が用いられている。この投影光学系 PLは、例えば両側テレセントリックで所定の投 影倍率 (例えば 1Z4倍又は 1Z5倍)を有する。このため、照明系 10からの照明光 IL によってレチクル R上の照明領域が照明されると、このレチクル Rを通過した照明光 I Lにより、投影光学系 PL (投影ユニット PU)を介してその照明領域内のレチクル尺の 回路パターンの縮小像(回路パターンの一部の縮小像)が表面にレジスト (感光剤) が塗布されたウェハ W上の前記照明領域に共役な領域 (露光領域)に形成される。
[0040] なお、本実施形態の露光装置 100では、液浸法を適用した露光が行われるため、 開口数 NAが実質的に増大することに伴いレチクル側の開口が大きくなる。このため 、レンズのみで構成する屈折光学系においては、ペッツヴァルの条件を満足すること が困難となり、投影光学系が大型化する傾向にある。かかる投影光学系の大型化を 避けるために、ミラーとレンズとを含む反射屈折系(カタディ 'ォプトリック系)を用いて も良い。
[0041] また、本実施形態の露光装置 100では、液浸法を適用した露光を行うため、投影 光学系 PLの一部を構成する最も像面側に位置する(ウェハ Wに近い)レンズ (以下、 「先端レンズ」ともいう) 91の近傍には、液浸機構 132の一部を構成する液体供給ノズ ル 51 Aと、液体回収ノズル 51Bとが設けられている。
[0042] 前記液体供給ノズル 51 Aには、その一端が液体供給装置 88 (図 1では不図示、図 6参照)に接続された不図示の供給管の他端が接続されており、前記液体回収ノズ ル 51Bには、その一端が液体回収装置 92 (図 1では不図示、図 6参照)に接続され た不図示の回収管の他端が接続されている。
[0043] 前記液体供給装置 88は、液体のタンク、加圧ポンプ、温度制御装置、並びに供給 管に対する液体の供給 ·停止を制御するためのバルブ等を含む。バルブとしては、 例えば液体の供給'停止のみならず、流量の調整も可能となるように、流量制御弁を 用いることが望ましい。前記温度制御装置は、液体タンク内の液体の温度を、露光装 置本体が収納されているチャンバ(不図示)内の温度と同程度の温度に調整する。
[0044] なお、液体を供給するためのタンク、加圧ポンプ、温度制御装置、ノ レブなどは、 その全てを露光装置 100で備えている必要はなぐ少なくとも一部を露光装置 100が 設置される工場などの設備で代替することもできる。
[0045] 前記液体回収装置 92は、液体のタンク及び吸引ポンプ、並びに回収管を介した液 体の回収 ·停止を制御するためのバルブ等を含む。バルブとしては、前述した液体供 給装置 88側のバルブに対応して流量制御弁を用いることが望ましい。
[0046] なお、液体を回収するためのタンク、吸引ポンプ、バルブなどは、その全てを露光 装置 100で備えている必要はなぐ少なくとも一部を露光装置 100が設置される工場 などの設備で代替することもできる。
[0047] 上記の液体としては、ここでは、 ArFエキシマレーザ光(波長 193nmの光)が透過 する純水(以下、特に必要な場合を除いて、単に「水」と記述する)を用いるものとする 。純水は、半導体製造工場等で容易に大量に入手できると共に、ウェハ上のフォトレ ジストゃ光学レンズ等に対する悪影響がない利点がある。 [0048] ArFエキシマレーザ光に対する水の屈折率 nは、ほぼ 1. 44である。この水の中で は、照明光 ILの波長は、 193nm X lZn=約 134nmに短波長化される。
[0049] 前記液体供給装置 88及び液体回収装置 92は、それぞれコントローラを具備してお り、それぞれのコントローラは、主制御装置 20によって制御されるようになっている( 図 6参照)。液体供給装置 88のコントローラは、主制御装置 20からの指示に応じ、供 給管に接続されたバルブを所定開度で開き、液体供給ノズル 51Aを介して先端レン ズ 91とウェハ W (又は後述するプレート)との間に水を供給する。また、このとき、液体 回収装置 92のコントローラは、主制御装置 20からの指示に応じ、回収管に接続され たバルブを所定開度で開き、液体回収ノズル 51Bを介して先端レンズ 91とウェハ W との間力 液体回収装置 92 (液体のタンク)の内部に水を回収する。このとき、主制 御装置 20は、先端レンズ 91とウェハ Wとの間に液体供給ノズル 51 Aから供給される 水の量と、液体回収ノズル 51Bを介して回収される水の量とが常に等しくなるように、 液体供給装置 88のコントローラ、液体回収装置 92のコントローラに対して指令を与 える。従って、先端レンズ 91とウエノ、 Wとの間に、一定量の水 Lq (図 1参照)が保持さ れる。この場合、先端レンズ 91とウェハ Wとの間に保持された水 Lqは、常に入れ替 わっている。
[0050] 上記の説明から明らかなように、本実施形態の液浸機構 132は、上記液体供給装 置 88、液体回収装置 92、供給管、回収管、液体供給ノズル 51 A及び液体回収ノズ ル 51B等を含む局所液浸機構であり、ウエノ、 Wを露光する場合には、ウェハ W上の 一部に液浸領域が形成される。
[0051] なお、投影ユニット PU下方に計測ステージ MSTが位置する場合にも、上記と同様 に後述する計測テーブル MTBと先端レンズ 91との間に水を満たすことが可能である
[0052] なお、上記の説明では、その説明を簡単にするため、液体供給ノズルと液体回収ノ ズルとがそれぞれ 1つずつ設けられているものとした力 これに限らず、例えば、国際 公開第 99Z49504号パンフレットに開示されるように、ノズルを多数有する構成を採 用することとしても良い。要は、投影光学系 PLの最下端の光学部材 (先端レンズ) 91 とウェハ Wとの間に液体を供給することができるのであれば、その構成はいかなるも のであっても良い。例えば、国際公開第 2004Z053955号パンフレットに開示され ている液浸機構や、欧州特許出願公開第 1420298号明細書に開示されている液 浸機構も本実施形態の露光装置に適用することができる。
[0053] 前記ステージ装置 150は、フレームキャスタ FCと、該フレームキャスタ FC上に設け られたベース盤 12と、該ベース盤 12の上面の上方に配置されたウエノ、ステージ WS T及び計測ステージ MSTと、これらのステージ WST、 MSTの位置を計測する干渉 計 16、 18を含む干渉計システム 118 (図 6参照)と、ステージ WST、 MSTを駆動す るステージ駆動系 124 (図 6参照)と、を備えている。
[0054] 前記フレームキャスタ FCは、ステージ装置 150を斜視図にて示す図 2から分力るよ うに、その X側方向一側と他側の端部近傍に Y軸方向を長手方向とし上方に突出し た凸部 FCa, FCbがー体的に形成された概略平板状の部材カも成る。
[0055] 前記ベース盤 12は、定盤とも呼ばれる板状部材カもなり、フレームキャスタ FCの前 記凸部 FCa, FCbに挟まれた領域上に配置されている。ベース盤 12の上面は平坦 度が非常に高く仕上げられ、ウェハステージ WST及び計測ステージ MSTの移動の 際のガイド面とされている。
[0056] 前記ウェハステージ WSTは、図 2に示されるように、ベース盤 12の上方に配置され たウェハステージ本体 28と、該ウェハステージ本体 28上に不図示の Ζ·チルト駆動 機構を介して搭載されたウェハテーブル WTBとを備えて 、る。 Ζ ·チルト駆動機構は 、実際には、ウェハステージ本体 28上でウェハテーブル WTBを 3点で支持する 3つ のァクチユエータ(例えば、ボイスコイルモータ)等を含み、ウェハテーブル WTBを Ζ 軸方向、 0 χ方向(X軸回りの回転方向)、 0 y方向(Υ軸回りの回転方向)の 3自由度 方向に微小駆動する。
[0057] 前記ウェハステージ本体 28は、断面矩形枠状で X軸方向に延びる中空部材によつ て構成されている。このウェハステージ本体 28の下面には、複数、例えば 4つの不図 示の気体静圧軸受け、例えばエアベアリングが設けられ、これらのエアベアリングを 介してウェハステージ WSTが前述のガイド面の上方に数 μ m程度のクリアランスを 介して非接触で支持されて 、る。
[0058] 前記フレームキャスタ FCの凸部 FCaの上方には、図 2に示されるように、 Y軸方向 に延びる Y軸用の固定子 86が配置されている。同様に、フレームキャスタ FCの凸部 FCbの上方には、 Y軸方向に延びる Y軸用の固定子 87が、配置されている。これら の Y軸用の固定子 86、 87は、それぞれの下面に設けられた不図示の気体静圧軸受 、例えばエアベアリングによって凸部 FCa, FCbの上面に対して所定のクリアランスを 介して浮上支持されている。 Y軸用の固定子 86, 87は、本実施形態では、 Y軸方向 に沿って所定間隔で配置された複数の永久磁石を有する磁極ユニットによって構成 されている。
[0059] 前記ウェハステージ本体 28の内部には、 X軸方向に沿って所定間隔で配置された 複数の永久磁石を有する断面 U字状の磁極ユニットから成る可動子 90が設けられて いる。
[0060] 可動子 90の内部空間には、 X軸方向に延びる X軸用の固定子 80が揷入されてい る。この X軸用の固定子 80は、 X軸方向に沿って所定間隔で配置された複数の電機 子コイルを内蔵する電機子ユニットによって構成されている。この場合、磁極ユニット 力も成る可動子 90と電機子ユニットから成る X軸用の固定子 80とによって、ウェハス テージ WSTを X軸方向に駆動するムービングマグネット型の X軸リニアモータが構成 されている。以下においては、適宜、上記 X軸リニアモータを、その固定子 (X軸用の 固定子) 80と同一の符号を用いて、 X軸リニアモータ 80と呼ぶものとする。なお、 X軸 リニアモータとして、ムービングマグネット型のリニアモータに代えて、ムービングコィ ル型のリニアモータを用いても良 、。
[0061] 前記 X軸用の固定子 80の長手方向の一側と他側の端部には、例えば Y軸方向に 沿って所定間隔で配置された複数の電機子コイルを内蔵する電機子ユニットから成 る可動子 82、 83力 それぞれ固定されている。これらの可動子 82、 83のそれぞれは 、前述した Y軸用の固定子 86、 87にそれぞれ内側カゝら挿入されている。すなわち、 本実施形態では、電機子ユニットから成る可動子 82、 83と磁極ユニットから成る Y軸 用の固定子 86, 87とによって、ムービングコイル型の 2つの Y軸リニアモータが構成 されている。以下においては、上記 2つの Y軸リニアモータのそれぞれを、それぞれ の可動子 82、 83と同一の符号を用いて、適宜、 Y軸リニアモータ 82、 Y軸リニアモー タ 83とも呼ぶものとする。なお、 Y軸リニアモータ 82, 83として、ムービングマグネット 型のリニアモータを用いても良 、。
[0062] すなわち、ウェハステージ WSTは、 X軸リニアモータ 80により、 X軸方向に駆動さ れるとともに、一対の Y軸リニアモータ 82, 83によって X軸リニアモータ 80と一体で Y 軸方向に駆動される。また、ウェハステージ WSTは、 Y軸リニアモータ 82, 83が発生 する Y軸方向の駆動力を僅かに異ならせることにより、 Θ z方向にも回転駆動される。
[0063] 前記ウェハテーブル WTBは、図 4の平面図に示されるように、平面視でほぼ正方 形状を有しており、その上面には、ウェハ Wを保持するピッチャク方式のウェハホル ダ WH及びプレートホルダ PHが設けられて!/、る。
[0064] ウェハホルダ WHは、図 4に示されるように、ウェハテーブル WTB上面の中央部の 所定面積の円形領域内に所定の間隔で設けられた複数の第 1ピン 32, 32,……、 該第 1ピン 32, 32,……が配置された円形領域を取り囲む円環状凸部力 成る第 1リ ム部 30、及び前記円形領域の中心 (ホルダセンタ)との距離が等しいほぼ正三角形 の各頂点の位置にそれぞれ突設された 3つの円筒状の第 2リム部 35A、 35B、 35C 等を備えている。各第 1ピン 32の先端、並びに第 1リム部 30、第 2リム部 35A、 35B、 35Cの上端面は、ほぼ同一高さに設定されている。
[0065] 前記第 2リム部 35A、 35B、 35Cの内周には、平面視円形の貫通孔 39がそれぞれ 形成され、貫通孔 39それぞれの内部には、円柱形状を有し、上下方向(図 4におけ る紙面直交方向)に可動な上下動ピン (センタアップ) 34a, 34b, 34cがそれぞれ設 けられている。これら 3つのセンタアップ 34a〜34cは、ステージ駆動系 124 (図 6参 照)の一部を構成する不図示の上下動機構を介して、上下方向(図 4における紙面 直交方向である Z軸方向)に同時に同一量だけ、昇降(上下動)される。ウェハロード 、ウェハアンロード時には、センタアップ 34a〜34cが上下動機構により駆動されるこ とで、センタアップ 34a〜34cによってウェハ Wを下方力も支持したり、その状態でゥ ェハ Wを上下動させたりすることができる。
[0066] ウェハテーブル WTB上面の前記第 1リム部 30で囲まれた円形領域には、図 4に示 されるように、複数の排気口 36が、その円形領域の中心 (ホルダセンタ)から放射状( ほぼ 120° の中心角の間隔を有する 3本の半径線の方向)に、所定間隔で形成され ている。これら排気口 36は、第 1ピン 32と干渉しない位置に形成されている。各排気 口 36は、それらの直下の配管をそれぞれ介してウェハテーブル WTB内部に形成さ れた 気路 38A, 38B, 38Cにそれぞれ接続され、これらの 気路 38A, 38B, 38 Cが真空排気管 41a、 41b、 41cをそれぞれ介して第 1の真空排気機構 44 (図 6参照 )に接続されている。
[0067] 本実施开態では、ウェハ Wがウェハテーブル WTBのウェハホルダ WH上にロード され、主制御装置 20によって第 1の真空排気機構 44を介して真空排気動作が開始 されると、そのウェハ Wと第 1リム部 30と 3つの第 2リム部 35A、 35B、 35Cとで囲まれ る空間内部が負圧状態となり、そのウェハ Wが複数の第 1ピン 32と第 1リム部 30と 3 つの第 2リム咅 35A、 35B、 35Cとに吸着保持される。
[0068] ウェハテーブル WTB上面の前記第 1リム部 30の外側には、該第 1リム部 30と同心 の円環状の突部から成る第 3リム部 45が突設されている。この第 3リム部 45の外側に は、その内側が第 3リム部 45で区画され、外側がウェハテーブル WTBの外部隔壁 4 8で囲まれた凹部 49が形成されている。この凹部 49の内部底面には、その先端の高 さが第 3リム部 45及び外部隔壁 48とほぼ同一高さとされた、複数の第 2ピン 53が所 定間隔で設けられている。この場合、第 3リム部 45及び外部隔壁 48の上端面の高さ は、第 1リム部 30より幾分低く設定されている。このようにして構成された、第 3リム部 4 5及び外部隔壁 48並びに複数の第 2ピン 53の上に、中央部に円形の開口 50aを有 するほぼ正方形の撥液プレート (例えば撥水プレート) 50が着脱可能に搭載されて いる。この撥液プレート 50は、その周囲全体にわたって外周面力 ウェハテーブル W TBの外部隔壁 48の外面より幾分外側に突出した状態でウェハテーブル WTB上に 搭載されている。すなわち、ウェハテーブル WTB上面の第 3リム部 45及び外部隔壁 48並びに複数の第 2ピン 53を含んで、撥液プレート 50を保持するピッチャック方式 のプレートホルダ PHが構成されて!、る。
[0069] ここで、このプレートホルダ PHの一部を構成する、第 3リム部 45と外部隔壁 48とで 区画された複数の第 2ピン 53が設けられた領域にも、上述したウェハホルダ WHと同 様に複数の排気口(不図示)が、所定間隔で形成され、各排気口は、それらの直下 の配管をそれぞれ介してウェハテーブル WTB内部に形成された不図示の排気路に それぞれ接続され、これらの排気路が不図示の真空排気管をそれぞれ介して図 6〖こ 示される第 2の真空排気機構 56に接続されている。
[0070] 本実施形態では、主制御装置 20によって上記の第 2の真空排気機構 56を介して、 撥液プレート 50と第 3リム部 45と外部隔壁 48とで囲まれる空間(凹部 49の内部空間 )内部が真空吸引され、撥液プレート 50がプレートホルダ PHに吸着保持されている 。ここで、例えば撥液プレート 50の取り外しを容易にするなどのため、上記空間の内 部に前述したセンタアップ 34a〜34cと同様の上下動ピンを設け、該上下動ピンの駆 動機構を、主制御装置 20が制御するようにしても良 、。
[0071] 本実施形態では、上述のプレートホルダ PHに吸着保持された撥液プレート 50の 上面と、ウェハホルダ WHに吸着保持されたウェハ Wの表面とは、ほぼ面一になるよ うに(図 1参照)、ウェハホルダ WH及びプレートホルダ PHをそれぞれ構成する各部 の高さが設定されている。また、プレートホルダ PHに保持された状態では、撥液プレ ート 50の開口 50aの内周エッジは、第 3リム部 45の内周壁にほぼ一致している。すな わち、本実施形態では、第 3リム部 45と撥液プレート 50の開口 50aとの内壁面との内 側にウェハ Wをロードするための凹部 140が形成され、その凹部 140内にウェハホ ルダ WHが設けられている。また、ウェハ Wの外周エッジと撥液プレート 50の開口 50 aの内周エッジとの間のクリアランスは、例えば 0. 1〜0. 4mm程度の値になるように 開口 50aの形状及び大きさが設定されている。また、ウェハ Wがウェハホルダ WHに 保持された状態では、ウェハテーブル WTBの上面に見かけ上フルフラットな面が形 成されるようになって!/、る。
[0072] なお、ウェハテーブル WTBは、低熱膨張率の材料、例えばセラミックス等のある程 度弾性を有する材料より形成されており、全体としてほぼ正方形状のセラミックス等の 材料の表面をエッチングすることによって、第 1リム部 30、第 2リム部 35A, 35B, 35 C、第 3リム部 45、及び複数の第 1ピン 32及び複数の第 2ピン 53等が一体的に形成 されたものとなっている。
[0073] 前記撥液プレート 50の表面には、フッ素系の材料などを用いた撥液処理 (ここでは 撥水コートなどの撥水処理)が施され、撥液面 (撥水面)が形成されている。撥液プレ ート 50の撥液 (撥水)面は、一般的に遠紫外域又は真空紫外域の光に弱ぐ露光光 (照明光) ILの照射によって撥液 (撥水)性能が劣化する。また、撥液プレート 50の上 面に液体の付着跡(ウォーターマークなど)が形成されるおそれもあるため、撥液プレ ート 50は容易に着脱 (交換)可能になっている。なお、撥液プレート 50の保持は、真 空吸着方式だけでなぐ静電吸着方式などの他の方式で行なうこともできる。
[0074] また、ウェハ Wの表面には、レジスト (感光剤)が塗布されて 、る。本実施形態では 、一例として感光剤として ArFエキシマレーザ用の感光剤であって撥液性 (撥水性、 接触角 80° 〜85° )を有するものが用いられている。勿論、この感光剤の上層に撥 液性 (液体との接触角 90〜120° )を有するトップコート層の形成材料を塗布しても 良い。なお、ウェハ Wの表面は、必ずしも撥液性でなくても良ぐ液体との接触角が 6 0° 〜80° 程度のレジストを用いることもできる。また、ウェハ Wの側面及び裏面の少 なくとも一部に撥液処理を施しても良い。同様に、ウェハホルダ WH、プレートホルダ PHの少なくとも一部に撥液処理を施しても良!、。
[0075] 上述のようにして構成されたウェハテーブル WTBの位置は、干渉計システム 118 ( 図 6参照)によって計測される力 これについては後述する。
[0076] 前記計測ステージ MSTは、図 2に示されるように、 X軸方向を長手方向とする Yス テージ 81などの複数の部材の組み合わせによって構成され、その最下面 (ベース盤 12に最も接近している部材の下面)に設けられた複数の気体静圧軸受け、例えばェ ァベアリングを介してベース盤 12の上面 (ガイド面)上方に数/ z m程度のクリアランス を介して非接触で支持されて ヽる。
[0077] 計測ステージ MSTは、図 3の斜視図からも分力るように、 X軸方向に細長い長方形 の板状の計測ステージ本体 81cと該計測ステージ本体 81c上面の X軸方向の一側、 他側にそれぞれ固定された一対の突出部 81a、 8 lbとを有する Yステージ 81と、前 記計測ステージ本体 81cの上面の上方に配置されたレべリングテーブル 52と、該レ ベリングテーブル 52上に設けられた計測テーブル MTBとを備えている。
[0078] 前記 Yステージ 81の一部を構成する計測ステージ本体 81cの X軸方向の一側と他 側の端面には、 Y軸方向に沿って所定間隔で配置された複数の電機子コイルを内蔵 する電機子ユニットから成る可動子 84、 85が、それぞれ固定されている。これらの可 動子 84、 85のそれぞれは、前述した Y軸用の固定子 86、 87にそれぞれ内側力 揷 入されている。すなわち、本実施形態では、電機子ユニットから成る可動子 84, 85と 、該可動子 84, 85それぞれが挿入された磁極ユニットから成る Y軸用の固定子 86, 87とによって、 2つのムービングコイル型の Y軸リニアモータが構成されている。以下 においては、上記 2つの Y軸リニアモータのそれぞれを、それぞれの可動子 84、 85と 同一の符号を用いて、適宜、 Y軸リニアモータ 84、 Y軸リニアモータ 85とも呼ぶものと する。本実施形態では、これらの Y軸リニアモータ 84、 85によって、計測ステージ M STの全体が、 Y軸方向に駆動される。なお、この Y軸リニアモータ 84, 85をムービン グマグネット型のリニアモータとしても良い。
[0079] 前記計測ステージ本体 81cの底面には、前述の複数の気体静圧軸受けが設けら れている。この計測ステージ本体 81c上面の X軸方向の一側、他側の +Y側端部近 傍に、前述の一対の突出部 81a、 81bが相互に対畤して固定されている。これらの突 出部 81a、 81b相互間には、 XY面内で X軸方向にそれぞれ延びる固定子 61、固定 子 63が、 Z軸方向(上下)に所定間隔を隔てて架設されている。
[0080] 前記レべリングテーブル 52の +X側の端面には、 Xボイスコイルモータ 54aの可動 子が設けられ、該 Xボイスコイルモータ 54aの固定子は、計測ステージ本体 81cの上 面に固定されている。また、レべリングテーブル 52の— Y側の端面には、 Yボイスコィ ルモータ 54b、 54cの可動子がそれぞれ設けられ、これらの Yボイスコイルモータ 54b 、 54cの固定子は、計測ステージ本体 81cの上面に固定されている。前記 Xボイスコ ィルモータ 54aは、例えば磁極ユニットから成る可動子と電機子ユニットから成る固定 子とから構成され、これらの間の電磁相互作用により、 X軸方向の駆動力を発生する 。また、前記 Yボイスコイルモータ 54b, 54cも同様に構成され、 Y軸方向の駆動力を 発生する。すなわち、レべリングテーブル 52は、 Xボイスコイルモータ 54aにより Yステ ージ 81に対して、 X軸方向に駆動され、 Yボイスコイルモータ 54b, 54cにより Yステ ージ 81に対して Y軸方向に駆動される。また、ボイスコイルモータ 54b, 54cが発生 する駆動力を異ならせることにより、レべリングテーブル 52を Yステージ 81に対して Z 軸回りの回転方向( Θ z方向)へ駆動することができる。
[0081] 前記レべリングテーブル 52の内部には、 Z軸方向の駆動力を発生する 3つの Zボイ スコイルモータ(図示省略)力 それぞれ配置されて 、る。
[0082] すなわち、レべリングテーブル 52は、前述した Xボイスコイルモータ 54a、 Yボイスコ ィルモータ 54b, 54c、及び内部に配置された不図示の Zボイスコイルモータにより、 6自由度方向(X, Υ, Z, θ χ, θ γ, 0 ζ)に非接触で微小駆動可能とされている。
[0083] 図 3に戻り、前記計測テーブル ΜΤΒは、計測テーブル本体 59と、該計測テーブル 本体 59の +Υ側面に上下に並んで固定された、 X軸方向を長手方向とする断面略 U字状の可動子 62、 64とを備えている。
[0084] 前記可動子 62は、 ΥΖ断面略 U字状の可動子ヨークと、該可動子ヨークの内面(上 下面)に X軸方向に沿って所定間隔でかつ交互に配置された Ν極永久磁石と S極永 久磁石の複数の組から成る永久磁石群とを備え、前述の固定子 61に係合状態とさ れている。可動子 62の可動子ヨークの内部空間には、 X軸方向に沿って交番磁界が 形成されている。前記固定子 61は、例えば X軸方向に沿って所定間隔で配置された 複数の電機子コイルを内蔵する電機子ユニットから成る。すなわち、固定子 61と可動 子 62と〖こよって、計測テーブル ΜΤΒを X軸方向に駆動するムービングマグネット型 の X軸リニアモータ LXが構成されて!、る。
[0085] 前記可動子 64は、 ΥΖ断面略 U字状の可動子ヨークと、該可動子ヨークの内面(上 下面)に 1つずつ設けられた Ν極永久磁石と S極永久磁石とを備え、前述の固定子 6 3に係合状態とされている。可動子 64の可動子ヨークの内部空間には、 +Ζ向き又は —Ζ向きの磁界が形成されている。前記固定子 63は、その内部に、 Ν極磁石と S極 磁石とにより形成される磁界中で X軸方向にのみ電流が流れるような配置で配置され た電機子コイルを備えている。すなわち、可動子 64と固定子 63とによって、計測テー ブル ΜΤΒを Υ軸方向に駆動するムービングマグネット型の Υボイスコイルモータ VY が構成されている。
[0086] これまでの説明から明らかなように、本実施形態では、 Υ軸リニアモータ 82〜85及 び X軸リニアモータ 80、ウェハテーブル WTBを駆動する不図示の Ζ·チルト駆動機 構、計測ステージ MST上の上述した各モータ(54a〜54c, LX, VY及び不図示の Zボイスコイルモータ)により、図 6に示されるステージ駆動系 124が構成されている。 このステージ駆動系 124を構成する各種駆動機構が、図 6に示される主制御装置 20 によって制御される。
[0087] 前記計測テーブル MTBは、露光に関する各種計測を行うための計測器類を、さら に備えている。これをさらに詳述すると、計測テーブル本体 59の上面には、図 3に示 されるように、例えばゼロデュア(ショット社の商品名)や石英ガラス等のガラス材料か ら成るプレート 101が設けられている。このプレート 101にはそのほぼ全面に渡ってク ロムが塗布され、所々に計測器用の領域や、レチクル透過率の計測などのときに用 いられる高低基準反射面領域や、特開平 5— 21314号公報及びこれに対応する米 国特許第 5, 243, 195号明細書ゃ特開平 10— 050600号公報及びこれに対応す る米国特許第 6, 243, 158号明細書などに開示される複数の基準マークが形成さ れた基準マーク領域 FMが設けられている。この基準マーク領域が、計測部材を構 成している。プレート 101の表面は、フラットな面となっている。なお、本国際出願で 指定した指定国 (又は選択した選択国)の国内法令が許す限りにおいて、上記各公 報及び対応する各米国特許明細書における開示を援用して本明細書の記載の一部 とする。
[0088] 前記計測器用の領域には、パターンニングが施され、各種計測用開口パターンが 形成されている。この計測用開口パターンとしては、例えば空間像計測用開ロパタ ーン (例えばスリット状開口パターン)、照明むら計測用ピンホール開口パターン、照 度計測用開口パターン、及び波面収差計測用開口パターンなどが形成されている。
[0089] 前記空間像計測用開口パターンの下方の計測テーブル本体 59の内部には、投影 光学系 PL及び水を介してプレート 101に照射される露光光(照明光) ILを、前記空 間像計測用開口パターンを介して受光する受光系が設けられており、これによつて、 例えば特開 2002— 14005号公報及びこれに対応する米国特許出願公開第 2002 Z0041377号明細書などに開示される投影光学系 PLにより投影されるパターンの 空間像 (投影像)の光強度を計測する空間像計測器が構成されて 、る。本国際出願 で指定した指定国 (又は選択した選択国)の国内法令が許す限りにおいて、上記公 報及び対応する米国特許出願公開明細書における開示を援用して本明細書の記載 の一部とする。
[0090] また、照明むら計測用ピンホール開口パターンの下方の計測テーブル本体 59の内 部には、受光素子を含む受光系が設けられており、これによつて、特開昭 57— 1172 38号公報及びこれに対応する米国特許第 4, 465, 368号明細書などに開示される 投影光学系 PLの像面上で照明光 ILを受光するピンホール状の受光部を有する照 度むら計測器が構成されて!、る。本国際出願で指定した指定国 (又は選択した選択 国)の国内法令が許す限りにおいて、上記公報及び対応する米国特許明細書にお ける開示を援用して本明細書の記載の一部とする。
[0091] また、照度計測用開口パターンの下方の計測テーブル本体 59の内部には、受光 素子を含む受光系が設けられており、これによつて、例えば特開平 11— 16816号公 報及びこれに対応する米国特許出願公開第 2002Z0061469号明細書などに開 示される投影光学系 PLの像面上で水を介して照明光 ILを受光する所定面積の受光 部を有する照度モニタが構成されている。本国際出願で指定した指定国 (又は選択 した選択国)の国内法令が許す限りにおいて、上記公報及び対応する米国特許出 願公開明細書における開示を援用して本明細書の記載の一部とする。
[0092] また、波面収差計測用開口パターンの下方の計測テーブル本体 59の内部には、 例えばマイクロレンズアレイを含む受光系が設けられており、これによつて例えば国 際公開第 99/60361号パンフレット及びこれに対応する欧州特許第 1, 079, 223 号明細書などに開示される波面収差計測器が構成されている。本国際出願で指定し た指定国 (又は選択した選択国)の国内法令が許す限りにおいて、上記国際公開パ ンフレット及び対応する欧州特許明細書における開示を援用して本明細書の記載の 一部とする。
[0093] なお、図 6では上記の空間像計測器、照度むら計測器、照度モニタ及び波面収差 計測器が、計測器群 43として示されている。
[0094] なお、本実施形態では、投影光学系 PLと水とを介して露光光 (照明光) ILによりゥ ェハ Wを露光する液浸露光が行われるのに対応して、照明光 ILを用いる計測に使 用される上記の照度モニタ、照度むら計測器、空間像計測器、波面収差計測器など では、投影光学系 PL及び水を介して照明光 ILを受光することとなる。このため、プレ ート 101の表面に撥水コートを施しても良い。また、上記各計測器は、例えば光学系 などの一部だけが計測ステージ MSTに搭載されていても良いし、計測器全体を計 測ステージ MSTに配置するようにしても良い。また、上述の空間像計測器、照度ムラ 計測器、照度モニタ及び波面収差計測器は、必ずしもその全てが備えられている必 要はなぐ必要に応じて一部のみを搭載するだけでも良い。
[0095] 上述のようにして構成された計測ステージ MST (計測テーブル MTB)の位置は、 後述する干渉計システム 118 (図 6参照)によって計測される。
[0096] また、本実施形態の露光装置 100では、投影ユニット PUを保持する保持部材には 、図 1に示されるオファクシス .ァライメント系(以下、「ァライメント系」と略述する) AL Gが設けられている。このァライメント系 ALGとしては、例えば特開 2001— 257157 号公報及びこれに対応する米国特許出願公開第 2001Z0023918号明細書ゃ特 開平 8— 213306号公報及びこれに対応する米国特許第 5, 783, 833号明細書な どに開示される、ウェハ上のレジストを感光させないブロードバンドな検出光束を対 象マークに照射し、その対象マークからの反射光により受光面に結像された対象マ ークの像と不図示の指標 (ァライメント系 ALG内に設けられた指標板上の指標パター ン)の像とを撮像素子 (CCD等)を用いて撮像し、それらの撮像信号を出力する画像 処理方式の FIA (Field Image Alignment)系のセンサが用いられている。ァライメント 系 ALG力もの撮像信号は、図 6の主制御装置 20に供給される。なお、本国際出願 で指定した指定国 (又は選択した選択国)の国内法令が許す限りにおいて、上記各 公報及び対応する米国特許出願公開明細書、又は米国特許明細書における開示を 援用して本明細書の記載の一部とする。
[0097] なお、ァライメント系 ALGとしては、 FIA系に限らず、コヒーレントな検出光を対象マ ークに照射し、その対象マーク力も発生する散乱光又は回折光を検出する、あるい はその対象マーク力も発生する 2つの回折光 (例えば同次数の回折光、あるいは同 方向に回折する回折光)を干渉させて検出するァライメントセンサを単独である ヽは 適宜組み合わせて用いることは勿論可能である。
[0098] なお、ァライメント系 ALGの光学素子や光学素子を保持する保持部材など、ウェハ テーブル WTBの移動面の近傍に配置され、液体の飛散により液体の付着が懸念さ れる部材には撥水性のカバーを設けても良い。また、光学素子とその光学素子を保 持する保持部材との隙間など、ァライメント系 ALG内部への液体の侵入が懸念され る隙間には Oリングなどシール部材が配置されている。さらに、ァライメント系 ALGの 終端 (下端)の光学素子の表面ゃァライメント系 ALGに固定された干渉計用のミラー 表面など、ウェハテーブル WTBの移動面の近傍に配置された光学部材の表面は撥 液性の材料で被膜されており、水の付着が防止されているばカゝりでなぐ水が付着し てもオペレータなどの作業者が容易に拭き取れるようになって!/、る。
[0099] さらに、本実施形態の露光装置 100では、図 1では図示が省略されているが、照射 系 90a及び受光系 90b (図 6参照)を含む、例えば特開平 6— 283403号公報及びこ れに対応する米国特許第 5, 448, 332号明細書等に開示されるものと同様の斜入 射方式の多点焦点位置検出系が設けられている。本実施形態では、一例として、照 射系 90aが投影ユニット PUの— X側にて投影ユニット PUを保持する保持部材に吊り 下げ支持され、受光系 90bが投影ユニット PUの +X側にて保持部材の下方に吊り下 げ支持されている。すなわち、照射系 90a及び受光系 90bと、投影光学系 PLとが、 同一の部材に取り付けられており、両者の位置関係が一定に維持されている。なお、 本国際出願で指定した指定国 (又は選択した選択国)の国内法令が許す限りにおい て、上記公報及び対応する米国特許明細書における開示を援用して本明細書の記 載の一部とする。
[0100] 次に、干渉計システム 118の構成及び作用について説明する。
[0101] 前記ウェハテーブル WTBの X側の端面及び Y側の端面には、鏡面加工が施 され、図 2に示されるように、反射面 17X, 17Yがそれぞれ形成されている。また、前 記計測テーブル MTBの X側の端面、 +Y側の端面及び Y側の端面には、鏡面 加工が施され、それぞれ反射面 117X, 117Y、 117Yが形成されている。
1 2
[0102] 干渉計システム 118は、図 5に示されるように、 Y軸干渉計 16、 18、 78と、 X軸干渉 計 46、 66、 76とを含む。
[0103] Y軸干渉計 16、 18は、ともに投影光学系 PLの投影中心 (光軸 AX)及びァライメン ト系 ALGの検出中心を結ぶ Y軸に平行な測長軸を有して 、る。これらの Y軸干渉計 16、 18は、ともに、少なくとも 3本の光軸を有する多軸干渉計であり、各光軸の出力 値は独立に計測できるようになつている。また、 X軸干渉計 46は、 Y軸干渉計 16、 18 の測長軸と投影光学系 PLの投影中心で垂直に交差する測長軸を有している。また 、 X軸干渉計 66は、 Y軸干渉計 16、 18の測長軸とァライメント系 ALGの検出中心で 垂直に交差する測長軸を有している。これらの X軸干渉計 46、 66は、ともに少なくと も 2本の光軸を有する多軸干渉計であり、各光軸の出力値は独立に計測できるように なっている。上記 4つの干渉計 16、 18、 46、 66の出力値(計測値)は、図 6に示され る主制御装置 20に供給される。例えば、図 5の状態では、 Y軸干渉計 16からの干渉 計ビーム(測長ビーム)が計測テーブル MTBの反射面 117Yに投射され、 Y軸干渉
1
計 18力もの干渉計ビーム(測長ビーム)がウェハテーブル WTBの反射面 17Yに投 射され、 X軸干渉計 46からの干渉計ビーム(測長ビーム)が計測テーブル MTBの反 射面 117Xに投射され、 X軸干渉計 66からの干渉計ビーム(測長ビーム)がウェハテ 一ブル WTBの反射面 17Xに投射されている。干渉計 16、 18、 46、 66ではそれぞ れの各光軸の測長ビームの前記各反射面からの反射光を受光することで、光軸毎に 各反射面の基準位置(一般には投影ユニット PU側面や、オファクシス'ァライメント系 ALG (図 6,図 5等参照)の側面に固定ミラーを配置し、そこを基準面とする)からの計 測方向の変位を計測する。
[0104] 図 5の場合、主制御装置 20では Y軸干渉計 18力もの出力値に基づいて、ウェハテ 一ブル WTBの Y軸方向の位置 (Y位置)のみならず、 X軸回りの回転量(ピッチング 量)及び Z軸回りの回転量 (ョーイング量)をも計測する。また、主制御装置 20では、 Y軸干渉計 16からの出力値に基づいて、計測テーブル MTBの Y軸方向の位置 (Y 位置)のみならず、 X軸回りの回転量 (ピッチング量)及び Z軸回りの回転量 (ョーイン グ量)をも計測する。また、主制御装置 20では、 X軸干渉計 66の出力値 (計測値)に 基づいて、ウェハテーブル WTBの X軸方向の位置(X位置)のみならず、 Y軸回りの 回転量 (ローリング量)をも計測する。また、主制御装置 20では X軸干渉計 46からの 出力値に基づ 、て、計測テーブル MTBの X位置及びローリング量を計測する。
[0105] 図 5からわ力るように、本実施形態では、 Y軸干渉計 18からの干渉計ビームは、ゥ エノ、ステージ WSTのァライメント時及び露光時の移動範囲の全域で常に移動鏡 17 Yに投射され、 Y軸干渉計 16力もの干渉計ビームは、計測ステージ MSTの移動範 囲の全域で常に移動鏡 117Yに投射されるようになっている。従って、 Y軸方向につ
1
いては、図 5中に二点鎖線で示されるウェハ交換位置にウェハステージ WSTが移動 した場合などを除き、ステージ WST、 MSTの Y位置は、主制御装置 20により Y軸干 渉計 18、 16の計測値に基づいて管理される。 [0106] この一方、図 2及び図 5からもわ力るように、主制御装置 20は、 X軸干渉計 46からの 干渉計ビームのみが、反射面 17Xに当たる範囲で、 X軸干渉計 46の出力値にもとづ いてウェハテーブル WTB (ウェハステージ WST)の X位置を管理するとともに、 X軸 干渉計 46からの干渉計ビームのみ力 反射面 117Xに当たる範囲で、 X軸干渉計 4 6の出力値に基づ 、て計測テーブル MTB (計測ステージ MST)の X位置を管理す る。また、主制御装置 20は、 X軸干渉計 66からの干渉計ビームのみ力 反射面 17X に当たる範囲で、 X軸干渉計 66の出力値にもとづいてウェハテーブル WTB (ウェハ ステージ WST)の X位置を管理するとともに、 X軸干渉計 66からの干渉計ビームのみ 力 反射面 117Xに当たる範囲で、 X軸干渉計 66の出力値に基づいて計測テープ ル MTB (計測ステージ MST)の X位置を管理する。
[0107] また、主制御装置 20は、 X軸干渉計 46及び X軸干渉計 66からの干渉計ビームが 同時に反射面 17Xに当たっている範囲を含め、ウェハァライメントの際にはウェハテ 一ブル WTB (ウェハステージ WST)の X位置は、 X軸干渉計 66を用いて管理し、露 光の際のウェハテーブル WTB (ウェハステージ WST)の X位置は、 X軸干渉計 46を 用いて管理する。これにより、ウェハァライメント時及び露光時のいずれにおいても、 アッベ誤差なぐウェハテーブル WTB (ウェハステージ WST)の X位置を管理するこ とがでさる。
[0108] 残りの X軸干渉計 76、 Y軸干渉計 78は、干渉計 46、 66、 18で管理できないウェハ 交換位置近傍にあるときのウェハステージ WSTの位置を管理するための干渉計で ある。これらの干渉計 76、 78の計測値に基づいて、主制御装置 20は、干渉計 46, 6 6、 18の出力値に基づいて X位置を管理できない間の、ウェハテーブル WTB (ゥェ ハステージ WSTの位置を管理する。
[0109] また、計測ステージ MST力 図 5の状態により更に +Y側の待機位置にあるときは 、 X軸干渉計 66は勿論、 X軸干渉計 46からの干渉計ビームも反射面 117Xに当たつ ていない。この状態力 計測ステージ MSTを Y方向に移動する際、主制御装置 2 0は、 X軸干渉計 46からの干渉計ビームが、反射面 117Xに当たらない状態力も反 射面 117Xに当たり始めた直後の時点で、それまで制御に用いられて 、なかった X 軸干渉計 46をリセットし、それ以後は、 X軸干渉計 46を用いて、計測テーブル MTB (計測ステージ MST)の X位置を管理する。その他の干渉計は、隣接する干渉計の 出力(計測値)を用いたリセット(つなぎリセット)動作を行うことができるようになって ヽ る。すなわち、各干渉計のリセツット直前の時点で、隣接する 2つの干渉計力もの測 長ビームが反射面に同時に照射されるようになった時点で、その直前までウェハステ ージ WST又は計測ステージ MSTの位置制御に用いられて 、た X軸干渉計又は Y 軸干渉計の計測値をそのまま引き継いでリセット対象の干渉計をリセット (プリセット) することで、支障なくそのリセット後の干渉計を用いて、ウエノ、ステージ WST又は計 測ステージ MSTの位置を管理することができる。勿論、計測テーブル MTBが待機 位置にあるときに、計測テーブル MTBの X軸方向の位置を計測する干渉計を追加し ても良い。
[0110] さらに、本実施形態の露光装置 100では、ウェハ交換位置(ローデイングポジション )力 ウェハステージ WSTの移動可能範囲の +X側端部近傍かつ Y側端部近傍 の位置に定められており、このウェハ交換位置にウェハステージ WSTがあるときに、 レチクルァライメント及びァライメント系 ALGのベースライン計測が行われるようになつ ている。ウェハ交換位置にウェハステージ WSTがあるときには、 Y軸干渉計 18から の干渉計ビーム(測長ビーム)力 計測テーブル MTBの反射面 117Yに当たってい
2
るので、これに先立って、主制御装置 20は、その Y軸干渉計 18の計測値をリセットし ている。そして、主制御装置 20は、そのリセット後の Y軸干渉計 18と X軸干渉計 46と を用いて計測テーブル MTBの位置を管理しつつ、レチクルァライメント及びァラィメ ント系 ALGのベースライン計測の一連の動作を開始する。これは、ウェハァライメント 時及び露光時のウェハテーブル WTB (ウェハステージ WST)の位置計測に用いら れる Y軸干渉計 18を用いて計測テーブル MTBの位置を管理しつつ計測テーブル MTB上の前述の基準マーク領域 FMを用いてベースラインを計測し、その計測した ベースラインを用いて露光の際のウェハテーブル WTBの位置制御を行うことで、制 御に用いられる干渉計の相違に起因する位置誤差が生じないようにするためである
[0111] 本実施形態では、レチクルァライメントの際には、主制御装置 20によって、液浸機 構 132の液体供給装置 88及び液体回収装置 92の各バルブの開閉制御が前述した ようにして行われ、投影光学系 PLの先端レンズ 91と計測テーブル MTBの基準マー ク領域 FMとの間に水 Lqが常時満たされる。そして、レチクル R上の少なくとも一対の レチクルァライメントマークと対応する基準マーク領域 FM上の少なくとも一対の第 1 基準マークとの相対位置 (第 1の相対位置) 1S 主制御装置 20によってレチクルァラ ィメント検出系 RAa、 RAbを用いて検出され、その後計測テーブル MTBがベースラ インの設計値に基づいて基準マーク領域 FMがァライメント系 ALGの直下に位置す る位置まで移動され、基準マーク領域 FM上に水 Lqが存在しない状態で、基準マー ク領域 FM上の第 2基準マークがァライメント系 ALGを用いて検出され、そのァラィメ ント系 ALGの検出中心と第 2基準マークとの相対位置 (第 2の相対位置)が、検出さ れる。そして、主制御装置 20では、上記第 1の相対位置と第 2の相対位置とベースラ インの設計値と一対の第 1基準マークと第 2基準マークとの位置関係とに基づいて、 ァライメント系 ALGのベースラインを算出する。
[0112] 本実施形態では、 3つの Y軸干渉計 16, 18, 78と、 3つの X軸干渉計 46, 66, 76 とによって、図 6の干渉計システム 118が構成されている力 このような干渉計システ ムの構成は一例に過ぎず、本発明がこれに限定されないことは勿論である。
[0113] 図 1に戻り、露光装置 100には、ウェハステージ WSTにウェハを搬送する搬送ァー ム 70が設けられている。この搬送アーム 70は、ウェハの中心位置及び回転角を検出 する不図示のブリアライメント装置とウェハ交換位置にあるウェハステージ WSTとの 間で、ウェハを搬送するものであれば良ぐスライド方式のアームを用いても良いし、 水平多関節型のロボットアームなどを用いても良い。本実施形態では、この搬送ァー ム 70、不図示のブリアライメント装置及び該プリアライメント装置に対して外部力 ゥ ェハを搬送する搬送部等を含んで、ウェハステージ WSTにウェハを搬送する搬送 系 72 (図 6参照)が構成されて!ヽる。
[0114] 図 6には、露光装置 100の制御系の主要な構成が示されている。この制御系は、装 置全体を統括的に制御するマイクロコンピュータ (又はワークステーション)から成る 主制御装置 20を中心として構成されている。
[0115] ところで、ウェハテーブル WTB、計測テーブル MTBの XY面内の位置は、上述し た如ぐ干渉計システム 118の各干渉計で 0. 5〜lnm程度の分解能で計測可能で ある力 本実施形態の撥液プレート 50には位置計測の基準となるマーク等が存在し ないので、例えば、全ての Y軸干渉計又は全ての X軸干渉計からの干渉計ビームが ウェハテーブル WTBの反射面に当たらなくなった後に、少なくとも 1つの干渉計をリ セット後にウェハテーブル WTBを基準状態 (又は最後の干渉計ビームが切れる前の 状態)に復帰させることが困難である。また、本実施形態においては、撥液プレート 5 0の周囲がウェハテーブル WTB (反射面)より外側に張り出して 、るため、撥液プレ ート 50の外周エッジが他の部材に衝突しな!、ようにウェハテーブル WTBの位置制 御を行うことが困難である。特に、撥液プレート 50が交換された直後などもウェハテ 一ブル WTBの位置制御が困難になる。かかる点に鑑みて、本実施形態の露光装置 100では、主制御装置 20により、次のようにして撥液プレート 50の位置が計測され、 この計測結果に基づ 、て、ウェハテーブル WTBの位置管理が行われる。
[0116] 図 7には、一例として撥液プレート 50の交換後に実行される、ウェハテーブル WTB の基準状態への復帰動作の際の、主制御装置 20 (内部の CPU)の処理アルゴリズ ムを示すフローチャートが示されている。この処理アルゴリズムが開始されるのは、干 渉計 18の計測値がリセットされた直後に図 8に示される位置にウェハステージ WST が移動したときであるものとする。このとき、ウェハテーブル WTBの位置は、干渉計 1 8、 76の計測値に基づいて、主制御装置 20によって管理されている。なお、ウェハテ 一ブル WTBそのものの Θ z方向の回転誤差は無視できる程度に小さいものとする。 また、前述の如ぐウェハテーブル WTB (ウェハステージ WST)等が移動する際に、 前述した干渉計の計測値のつなぎプリセットが実行される力 以下の処理アルゴリズ ムの説明では、その説明の簡略化のために、干渉計の計測値のつなぎプリセットに 関する説明などは省略するものとし、干渉計システム 118の測長軸で規定されるステ ージ座標系(X, Y)上でウェハステージ WST (ウェハテーブル WTB)の位置が管理 されるものとする。つなぎプリセットで隣接する X軸干渉計の計測値、 Y軸干渉計の計 測値を順次受け継 ヽで 、るので、このように仮定しても特に問題はな 、ものと考えら れる。
[0117] まず、図 7のステップ 202において、撥液プレート 50の外周エッジの計測点の番号 を示す第 1カウンタのカウント値 nを 1に初期化する (ml)。ここで、計測対象の領域 としては、 N個、ここでは 4個の領域、すなわち撥液プレート 50の上下左右の各エツ ジの中央の点が定められているものとする。
[0118] 次のステップ 204では、干渉計システム 118を用いてウェハテーブル WTBの位置 を計測しつつ、撥液プレート 50の外周エッジ上の第 n番目(ここでは第 1番目)の計 測点をァライメント系 ALGの直下に位置決めすべくウェハステージ WSTを移動する
[0119] 図 9 (A)には、ウェハテーブル WTB (ウェハステージ WST)上の撥液プレート 50の 外周エッジ上の第 1番目の計測点がァライメント系 ALGの撮像視野に位置決めされ たときの様子が示されている。なお、図 9 (A)〜図 9 (D)において、符号 ALG'は、ァ ライメント系 ALGの撮像視野を示す。
[0120] 図 7に戻り、ステップ 206では、その外周エッジ上の第 n番目(ここでは、第 1番目) の計測点をァライメント系 ALGを用いて撮像し、その撮像データ (撮像信号)を取り込 むとともに、そのときの干渉計システム 118の計測値を取り込み、不図示のメモリ内に 両者を対応付けて記憶する。
[0121] 次のステップ 208では、第 1カウンタのカウント値 nが N (ここでは N=4)に達したか 否かを判断する。このとき、 n= lであるから、ここでの判断は否定され、ステップ 210 に移行して第 1カウンタのカウント値 nを 1インクリメントした後、ステップ 204に戻る。
[0122] 以降、ステップ 208における判断が肯定されるまで、ステップ 204→206→208→2 10のループの処理を繰り返す。これにより、図 9 (A)の位置から、図 9 (B)、図 9 (C)、 図 9 (D)にそれぞれ示される位置にウェハテーブル WTBが順次位置決めされ、各 位置決め位置で撥液プレート 50の外周エッジがァライメント系 ALGを用いて撮像さ れ、その撮像データと対応するウェハテーブル WTBの位置情報 (干渉計システム 11 8の計測値)力メモリ内に格納される。
[0123] そして、図 9 (D)に示される撥液プレート 50の— X側のエッジの撮像データ等の取 り込みが終了すると、ステップ 208における判断が肯定され、ステップ 212に進む。
[0124] ステップ 212では、メモリ内に記憶されている、各エッジの撮像データ (撮像結果)と 対応する干渉計システム 118の計測結果とに基づ ヽて、画像処理の手法により撥液 プレート 50の第 1番目〜?^番目(ここでは 4番目)の外周エッジの計測点の位置情報 を取得する。
[0125] 次のステップ 214では、得られた N箇所 (ここでは 4箇所)の外周エッジの位置情報 に基づいて、撥液プレート 50の位置情報、例えば撥液プレート 50の所定の基準点( 例えば中心点)のステージ座標系 (X, Y)上における位置情報等を算出した後、必 要に応じてステップ 216の処理を行った後、図 7のフローチャートで示される処理を 終了する。
[0126] このようにして計測された撥液プレート 50の外周エッジの位置情報又は撥液プレー ト 50の位置情報に基づいて、その後のウェハテーブル WTBの位置管理力 主制御 装置 20によって行われる。例えば、主制御装置 20は、ウェハテーブル WTBに搭載 された撥液プレート 50の外周エッジが計測ステージ WSTと衝突しな 、ように、撥液 プレート 50の外周エッジの位置情報又は撥液プレート 50の位置情報に基づ!/、て、ゥ ェハテーブル WTB (ウェハステージ WST)の位置と計測ステージ MSTの位置との 少なくとも一方を制御する。
[0127] ここで、例えば上記ステップ 216の処理を行う場合には、ウェハホルダの一部の位 置情報を前述の撥液プレート 50の位置情報と同様にして取得するとともに、その位 置情報と上記ステップ 212又は 214で取得した撥液プレート 50の位置情報とに基づ いて、ウェハホルダ WH (ウェハテーブル WTB)と撥液プレートとの位置関係を算出 する。
[0128] ここで、例えば、撥液プレート 50の Θ z回転をも計測する場合には、撥液プレート 5 0の外周エッジの計測点を、少なくとも 1つのエッジ上に複数箇所 (すなわち、合計で 5箇所以上)予め設定して、前述の図 7と同様のフローチャートに従って処理を行え ば良い。図 10 (A)には、撥液プレート 50の +Y側端部のエッジ上の複数箇所の計 測点の位置情報を順次計測する際のウェハテーブル WTBの移動の様子が示され ている。そして、この場合には、前述のステップ 214において、撥液プレート 50の位 置情報として、上記基準点の位置情報に加えて、その複数箇所の計測対象の領域 が設定されたエッジ上の少なくとも 2点の位置情報に基づいてそのエッジの Θ z回転 ( すなわち、撥液プレート 50のステージ座標系に対する回転角)をも算出することとす れば良い。 [0129] この場合にお!/、て、撥液プレート 50の 4辺のエッジの各々に複数の計測点を設定 し、各エッジの Θ z回転を求めても良い。例えば、例えば図 10 (B)に模式的に示され るように、 4辺のエッジの各々に 3点の計測点を設定し、得られた各エッジの Θ z回転 の平均値を算出しても良い。なお、実際にはァライメント系 ALGの撮像視野 ALG'が 固定でウェハテーブル WTBが移動するのである力 図 10 (B)では便宜上固定のゥ ェハテーブル WTBに対して撮像視野 ALG'が移動するかのように図示されている。
[0130] なお、本実施形態においては、撥液プレート 50のほぼ中心に対して対称な 2箇所 を含む複数箇所で撥液プレート 50の外周エッジを撮像するようにして ヽるが、撮像 箇所はこれらに限定されず、撥液プレート 50のほぼ中心に対して対称な 2箇所でなく ても良い。例えば、撥液プレート 50の一辺の外周エッジの一箇所と、その一辺と相対 する他辺の外周エッジの一箇所とを含む複数箇所で外周エッジを撮像するようにし ても良い。この場合、少なくとも相対する 2辺の外周エッジのほぼ対称な画像を取得 することができるので、撥液プレート 50の位置情報 (例えば中心位置)を算出すること ができる。
[0131] 次に、本実施形態の露光装置 100で行われる、ウェハテーブル WTB上の撥液プ レート交換から次に撥液プレートの交換が行われるまでの間の、一連の処理につい て、主制御装置 20 (内部の CPU)の処理アルゴリズムを示す図 11、図 12のフローチ ヤートに基づいて、かつ適宜他の図面を参照しつつ説明する。以下の処理アルゴリ ズムの説明では、前述の干渉計の計測値のつなぎプリセットに関する説明などは省 略するものとし、干渉計システム 118の測長軸で規定されるステージ座標系(X, Y) 上でウェハステージ WST (ウェハテーブル WTB)の位置が管理されるものとする。
[0132] まず、図 11のステップ 222において、撥液プレートの開口の内周エッジの位置情報 を計測するサブルーチンの処理を実行する。
[0133] このステップ 222のサブルーチンでは、まず、図 13のステップ 302において、撥液 プレート 50の開口 50aの内周エッジの計測点の順番を示す第 2カウンタのカウント値 mを 1に初期化する(m 1)。ここで、計測点としては、 M個、ここでは 8個、すなわち 撥液プレート 50の開口 50aの中心から上下左右方向を含む中心角 45° の 8方向に 放射状に伸びた 8本のラインのそれぞれと内周エッジとの交点である 8点が定められ ているものとする。
[0134] 次のステップ 304では、干渉計システム 118を用いてウェハテーブル WTBの位置 を計測しつつ、撥液プレート 50の開口 50aの内周エッジ上の第 m番目(ここでは第 1 番目)の計測点をァライメント系 ALGの撮像視野の直下に位置決めすベぐウェハテ ーブノレ WTB (ウェハステージ WST)を移動する。
[0135] 図 15 (A)には、第 1番目の計測点がァライメント系 ALGの撮像視野に位置決めさ れたときの様子が示されている。なお、図 15 (A)〜図 15 (D)、図 16 (A)〜図 16 (D) において、符号 ALG,は、ァライメント系 ALGの撮像視野を示す。
[0136] 次のステップ 306では、開口 50aの内周エッジ上の第 m番目(ここでは、第 1番目) の計測点をァライメント系 ALGを用いて撮像し、その撮像データ (撮像信号)を取り込 むとともに、そのときの干渉計システム 118の計測値を取り込み、不図示のメモリ内に 両者を対応付けて記憶する。
[0137] 次のステップ 308では、第 2カウンタのカウント値 mが M (ここでは M = 8)に達したか 否かを判断する。このとき、 m= lであるから、ここでの判断は否定され、ステップ 310 に移行して第 2カウンタのカウント値 mを 1インクリメントした後、ステップ 304に戻る。
[0138] 以降、ステップ 308における判断が肯定されるまで、ステップ 304→306→308→3 10のループの処理を繰り返す。これ〖こより、図 15 (A)の位置から、図 15 (B)、図 15 ( C)、図 15 (D)、図 16 (A)、図 16 (B)、図 16 (C)、図 16 (D)にそれぞれ示される位 置にウェハテーブル WTBが順次位置決めされ、各位置決め位置で撥液プレート 50 の開口 50aの内周エッジがァライメント系 ALGを用いて撮像され、その撮像データと 対応するウェハテーブル WTBの位置情報 (干渉計システム 118の計測値)がメモリ 内に格納される。
[0139] そして、図 16 (D)に示される開口 50aの内周エッジ上の第 8番目の計測点の撮像 データの取り込みが終了すると、ステップ 308における判断が肯定され、ステップ 31 4に進む。この時点で、図 17 (A)に模式的に示されるように、開口 50aの内周エッジ 上の 8箇所の撮像データ及び対応するウェハテーブル WTBの位置情報のデータが メモリ内に格納されている。なお、実際にはァライメント系 ALGの撮像視野 ALG'が 固定でウェハテーブル WTBが移動するのである力 図 17 (A)では便宜上固定のゥ ェハテーブル WTBに対して撮像視野 ALG'が移動するかのように図示されている。
[0140] ステップ 314では、メモリ内に記憶されている、開口 50aの内周エッジ上の M箇所( ここでは 8箇所)の撮像データ (撮像結果)と対応する干渉計システム 118の計測結 果とに基づいて、画像処理の手法により撥液プレート 50の開口 50aの内周エッジ上 の第 1番目〜第 M番目(ここでは第 8番目)の計測点の位置情報を取得した後、この サブルーチンの処理を終了して、メインルーチンのステップ 224 (図 11参照)にリタ一 ンする。
[0141] ステップ 224では、得られた M箇所(ここでは 8箇所)の開口 50aの内周エッジの位 置情報に基づいて、例えば、最小二乗法などで、撥液プレート 50の開口 50aの位置 情報、例えば開口 50aの所定の基準点(例えば中心点)のステージ座標系(X, Y)上 における位置情報を算出(すなわち内周エッジの位置情報に基づいて、干渉計シス テム 118によって規定されるステージ座標系と開口 50aとの位置関係を決定)した後 、ステップ 226に進む。
[0142] ステップ 226では、上記の M箇所(ここでは 8箇所)の開口 50aの内周エッジの位置 情報に基づいて、撥液プレート 50の開口 50aの形状情報 (この形状情報には少なく とも開口 50aの真円度が含まれる)を所定の演算により算出する。ここで、真円度とは 、開口 50aの理想的な真円からの偏差を示す評価量であって、開口 50aの輪郭の開 口 50aの中心に対する最大半径と最小半径との差として定義できる。ここで、この真 円度の基準となる円の中心は、次の a.〜d.のいずれの方法で算出した中心であって も良い。
a.最小領域中心法 (MZC):開口の輪郭を 2つの同心円ではさんだ時、同心円の半径 差が最小になる中心、 b.最小二乗中心法 (LSC):最小二乗平均円 (基準円力もの偏差 の二乗和が最小となる円)の中心、 c.最小外接円中心法 (MCC):開口の輪郭に最小 で外接する円の中心、 d.最大内接円中心法 (MIC):開口の輪郭に最大で内接する円 の中心
[0143] 次のステップ 228では、上記ステップ 226で算出した真円度が第 1の閾値未満力否 かを判断する。ここで、第 1の閾値は、撥液プレートとして使用が許容される限界の値 が定められている。従って、このステップ 228における判断が否定された場合には、 その撥液プレート 50は、この露光装置では使用できない程度に真円度が不十分な 開口が形成されたプレートであるため、図 12のステップ 264に移行して例えば不図 示のディスプレイに「撥液プレート不良(交換要)」などを表示する等して、撥液プレー トの不良をオペレータに通知した後、本ルーチンの処理を終了する。その後、この通 知(表示)を確認することで、オペレータは、露光装置 100の運転を停止して、撥液プ レート 50の交換をマニュアルにて実行する。なお、撥液プレート 50の交換に用いら れるロボットなどを備えている場合には、主制御装置 20は、交換時期をディスプレイ に表示するとともに、装置の運転を停止して、そのロボットなどを用いて、撥液プレー トの交換を行うようにすることも可能である。
[0144] 一方、上記ステップ 228における判断が肯定された場合には、次のステップ 230に 進んで上記ステップ 226で算出した真円度が第 2の閾値未満であるカゝ否かを判断す る。そして、この判断が否定された場合には、ステップ 234に移行して搬送系 72の搬 送アーム 70と前述のセンタアップ 34a〜34cとを用いて、撥液プレート 50の開口 50a 内部のウェハホルダ WH上に工具ウェハ W1 (図 17 (B)参照)をロードした後、ステツ プ 236の開口内の物体の外周エッジの位置情報の計測を行うサブルーチンに移行 する。ここで、工具ウェハ W1は、デバイス製造に用いられる被処理物体であるウェハ Wに比べて一回り小さい直径 (外径)を有する工具ウェハである。上記と反対に、ステ ップ 230における判断が肯定された場合には、ステップ 232に進んで搬送系 72の搬 送アーム 70と前述のセンタアップ 34a〜34cとを用いて、撥液プレート 50の開口 50a 内部のウェハホルダ WH上にそのウェハ Wをロードした後、上記ステップ 236のサブ ルーチンに移行する。ここで、このロードの際には、先にステップ 222で取得した開口 50aの内周エッジの位置情報又はステップ 224で取得した開口 50aの位置情報に基 づ 、て、ウェハテーブル WTB及び搬送アーム 70の少なくとも一方の位置を制御す る。
[0145] このように、第 2の閾値は、工具ウェハ Wl、ウェハ Wのいずれを選択するかの切り 分けのために定められている。開口 50aの真円度が高い場合には、その開口 50aと 直径が僅かにしか異ならない、デバイス製造用のウェハ Wを開口 50a内部のウェハ ホルダ WH上に支障なくロードできる力 開口 50aの真円度が低い場合には、その開 口 50aの内部のウェハホルダ WH上にウェハ Wをロードすると、そのウェハ Wと開口 50aの内周エッジとが接触する可能性が高ぐロードが困難になるおそれがある。そこ で、後者の場合には、ウェハ Wより小径の工具ウェハ W1をウェハホルダ WH上に口 ードすることとしている。
[0146] ステップ 236のサブルーチンでは、まず図 14のステップ 322において、開口 50a内 の物体(工具ウェハ W1又はウェハ W、以下では、適宜、代表的に工具ウェハ W1で あるものとする)の外周エッジの計測点の番号を示す第 3カウンタのカウント値 kを 1に 初期化する (k 1)。ここで、計測点としては、 K個、ここでは 8個、すなわち工具ゥェ ハ W1の中心から上下左右方向を含む中心角 45° の 8方向に放射状に伸びた 8本 のラインのそれぞれと工具ウェハ W1の外周エッジとの交点である 8点が定められて いるものとする。
[0147] 次のステップ 324では、干渉計システム 118を用いてウェハテーブル WTBの位置 を計測しつつ、撥液プレート 50の開口 50a内の工具ウェハ W1の外周エッジ上の第 k 番目(ここでは第 1番目)の計測点をァライメント系 ALGの撮像視野の直下に位置決 めすべぐウェハテーブル WTB (ウェハステージ WST)を移動する。
[0148] 次のステップ 326では、工具ウェハ W1の外周エッジ上の第 k番目(ここでは、第 1 番目)の計測点をァライメント系 ALGを用いて撮像し、その撮像データ (撮像信号)を 取り込むとともに、そのときの干渉計システム 118の計測値を取り込み、不図示のメモ リ内に両者を対応付けて記憶する。
[0149] 次のステップ 328では、第 3カウンタのカウント値 kが K (ここでは K= 8)に達したか 否かを判断する。このとき、 k= lであるから、ここでの判断は否定され、ステップ 330 に移行して第 3カウンタのカウント値 kを 1インクリメントした後、ステップ 324に戻る。
[0150] 以降、ステップ 328における判断が肯定されるまで、ステップ 324→326→328→3 30のループの処理を繰り返す。これにより、図 17 (B)に示されるように、 8個の計測 点のそれぞれがァライメント系 ALGの撮像視野 ALG'内に位置する位置に、ウェハ テーブル WTBが順次位置決めされ、各位置決め位置で工具ウェハ W1の外周エツ ジがァライメント系 ALGを用いて撮像され、その撮像データと対応するウェハテープ ル WTBの位置情報(干渉計システム 118の計測値)がメモリ内に格納される。 [0151] そして、外周エッジ上の第 8番目の計測点の撮像データの取り込みが終了すると、 ステップ 328における判断が肯定され、ステップ 332に進む。
[0152] ステップ 332では、メモリ内に記憶されている、開口 50a内の物体(工具ウェハ W1 ( 又はウェハ W) )の外周エッジ上の K箇所 (ここでは 8箇所)の撮像データ (撮像結果) と対応する干渉計システム 118の計測結果とに基づいて、画像処理の手法により開 口 50a内の物体の外周エッジ上の第 1番目〜第 K番目(ここでは第 8番目)の計測点 の位置情報を取得した後、このサブルーチンの処理を終了して、メインルーチンのス テツプ 240 (図 12参照)にリターンする。
[0153] ステップ 240では、開口 50aの内周エッジと開口 50a内の物体との位置関係を取得 する。具体的には、開口 50a内の物体の外周エッジ上の上記 K箇所 (ここでは 8箇所 )の位置情報に基づいて、例えば最小二乗法などで算出した物体の位置情報 (例え ばその物体の中心のステージ座標系(X, Y)上における位置情報)と、前述のステツ プ 224で得られた撥液プレート 50の開口 50aの位置情報(例えば開口 50aの中心点 のステージ座標系(X, Y)上における位置情報)とに基づいて、開口 50aの内周エツ ジと開口 50a内の物体との位置関係、例えば開口 50a中心と物体(工具ウェハ W1又 はウェハ W)の中心とのずれの情報を、演算により取得する。
[0154] 次のステップ 242では、ウェハステージ WSTをウェハ交換位置に移動させ、搬送 系 72の搬送アーム 70とセンタアップ 34a〜34cとを用いてウェハホルダ WH上から 物体(工具ウェハ W1又はウェハ W)をアンロードする。
[0155] 次のステップ 244から 1ロット(所定枚数のウェハ)の露光が開始される。
[0156] ステップ 244では、搬送系 72の一部を構成する不図示のブリアライメント装置でプリ ァライメント(中心出し及び回転調整)が行われた 1枚目の被露光基板としてのウェハ Wを、搬送アーム 70を用いて、ウェハ交換位置にあるウェハステージ WST上方まで 搬送し、上記ステップ 240で取得した開口 50aの内周エッジと開口 50a内の物体との 位置関係の情報、例えば前述のずれの情報を考慮して、搬送アーム 70とウェハステ ージ WSTとの位置関係を調整してウェハ Wを搬送アーム 70からウェハテーブル WT B上に設けられたウェハホルダ WH上にロードする。ここで、搬送アーム 70とウェハス テージ WSTとの位置関係の調整は、搬送アーム 70とウェハステージ WSTとの両方 又は一方の位置を調整することで、実現される。このように、ウェハ wのロードの際の 搬送アーム 70とウエノ、ステージ WSTとの位置関係を調整した後、ウエノ、 Wをロード することで、通常は、ウェハ Wの外周エッジと撥液プレート 50aの内周エッジ(ウェハ テーブル WTB上面の凹部 140の内周エッジ)とが接触しないように、且つウェハ W の外周エッジと開口 50aの内周エッジとの間隔が所定値、例えば 0. 3mm程度より小 さくなるように、ウェハ Wをウェハテーブル WTB上方の撥液プレート 50の開口 50aの 内周エッジの内部(ウェハテーブル WTB上面の凹部の内部)のウェハホルダ WH上 にロードすることが可能になる。
[0157] 次のステップ 246では、ウェハステージ WSTをァライメント系 ALGの下方に移動す る。
[0158] 次のステップ 248では、撥液プレート 50の開口 50aの内周エッジとウェハ W (の外 周エッジ)との間隔を、ウェハ Wの全周に渡って、ァライメント系 ALGを用いて、前述 のウェハ W等の外周エッジの位置情報の計測と同様の手順で実行する。このとき、 特に、前述のウェハの外周エッジや開口の内周エッジを計測したときのウェハ中心 力 の 8方向とは異なる方向の計測点を少なくとも複数組設定することが重要である。
[0159] そして、次のステップ 250では、上記ステップ 248の計測結果に基づいて、上記間 隔がウェハの全周に渡って許容範囲内であるかを判断する。通常は、先に説明した ように、ウェハ Wの外周エッジと撥液プレート 50aの内周エッジ(ウェハテーブル WT B上面の凹部の内周エッジ)とが接触しないように、且つウェハ Wの外周エッジと開口 50aの内周エッジとの間隔が例えば 0. 3mmより小さくなるように、ウェハ Wがウエノ、 ホルダ WH上にロードされるので、このステップ 250の判断は肯定され、次のステップ 252に進む。
[0160] この一方、ウェハ Wの外径誤差などに起因してステップ 248の計測結果に基づい てステップ 250の判断を行った結果、この判断結果が否定的となる場合がある。従つ て、このステップ 250の判断が否定された場合には、前述のステップ 242に移行して 、 1枚目のウェハ Wをウェハホルダ上からアンロードする。そして、 2枚目のウェハ W について前述と同様に、ステップ 244、ステップ 246、ステップ 248、ステップ 250の 動作を実行する。この場合、ステップ 244において、 2枚目のウェハ Wをウェハステ ージ(ウェハホルダ)にロードするときに、 1枚目のウェハ Wに関するステップ 248の計 測結果を考慮して、搬送アームとウェハステージとの位置関係が調整される。 2枚目 のウェハ Wに関するステップ 250の判断が肯定された場合には、次のステップ 252に 進む。
[0161] ステップ 252では、ァライメント系 ALGを用いてウェハ W上のァライメントマークを検 出し、その検出結果とその検出時の干渉計システム 118の計測値に基づいて、前記 ァライメントマークの位置情報を検出することでウェハァライメント、例えばェンハンス ト ·グローバル ·ァライメント(EGA)などのウェハァライメントを行う。
[0162] 次のステップ 254では、上記のウェハァライメントの結果として得られたウェハ W上 の複数のショット領域の位置情報と、最新のァライメント系 ALGのベースラインの計測 結果等に基づいて、ウェハ W上の各ショット領域の露光のための走査開始位置 (カロ 速開始位置)へウェハステージ WSTが移動されるショット間移動動作と、各ショット領 域に対するレチクル Rに形成されたパターンを走査露光方式で転写する走査露光動 作とを繰り返すことで、ウェハ W上の複数のショット領域をステップ'アンド'スキャン方 式で露光する。なお、この露光の際には、投影光学系 PLの先端レンズ 91の直下に は常時水が満たされて 、る。
[0163] 次のステップ 256では、 1ロットの全てのウェハの露光が終了したか否かを判断する 。そして、この判断が否定された場合には、ステップ 262に移行してウェハテーブル WTB上のウェハホルダ WHに保持されている露光済みのウェハ Wと新たなウェハと のウェハ交換を行った後、ステップ 252に進み、以降ステップ 256の判断が肯定され るまで、ステップ 252→254→256→262のノレープの処理を繰り返す。
[0164] 一方、上記ステップ 256の判断が肯定された場合には、ステップ 258に進む。
[0165] 次のステップ 258では、例えば照明光 ILの照射履歴などを参照して撥液プレートの 交換時期が到来した力否かを判断する。ここで、本実施形態では、予め実験により、 撥液プレート 50表面の撥水コートの劣化と撥液プレート 50表面に照射される積算ェ ネルギ量との関係が求められており、その関係と照明光 ILの照射履歴に基づいて、 撥水コートが劣化する直前に、撥液プレート 50の交換時期が到来したものと判断す るようになっている。 [0166] そして、交換時期が到来したと判断した場合には、前述のステップ 264に移行し、 交換時期は到来して 、な 、と判断した場合には、次のロットの処理へ移行する。
[0167] このようにして、撥液プレートの交換から次の交換までの間の一連の処理が実行さ れる。
[0168] これまでの説明から明らかなように、本実施形態では、主制御装置 20、より正確に は該主制御装置 20内部の CPUと、該 CPUによって実行されるソフトウェアとによつ て、外周エッジ位置取得装置、内周エッジ位置取得装置、決定装置、形状算出装置 、物体外周エッジ位置取得装置、間隔計測装置、ステージ制御装置及び制御装置 などの少なくとも各一部が実現されている。しかしながら、これらソフトウエアによって 実現される構成部分の少なくともに一部を、ハードウェアによって構成しても良いこと は勿論である。
[0169] 以上説明したように、本実施形態の露光装置 100によると、主制御装置 20により、 撥液プレート 50が着脱可能に搭載されたウェハテーブル WTB (ウェハステージ WS T)の位置を干渉計システム 118を用いて計測しつつ、ァライメント系 ALGを用いて 撥液プレート 50の一部が検出されるとともに、その検出結果と対応する干渉計システ ム 118の計測結果とに基づいて撥液プレート 50の外周エッジの位置情報が取得され る(ステップ 204〜210)。このため、本実施形態のように、ウェハテーブル WTB (ゥ エノ、ステージ WST)上に位置計測用のマークなどが存在しなくても、撥液プレート 50 の外周エッジの位置情報に基づいて、撥液プレート 50の位置、すなわちウェハテー ブル WTB (ウェハステージ WST)の位置を干渉計システムによって規定される移動 座標系 (ステージ座標系)上で管理することが可能になる。
[0170] また、本実施形態のように、撥液プレート 50の外周がウェハテーブル WTBより外側 に張り出している場合にも、撥液プレート 50の外周エッジが他の部材 (例えば、計測 ステージ MST)と衝突しな!、ように、ウェハテープノレ WTB (ウェハステージ WST)の 位置を制御することができる。
[0171] なお、ウェハテーブル WTB (ウェハステージ WST)、あるいは撥液プレート 50に位 置計測用のマークが設けられている場合や、撥液プレート 50の外周がウェハテープ ル WTBより外側に張り出して ヽな 、場合にも、上述のように撥液プレート 50の外周 エッジの位置情報を取得しても良 、ことは言うまでもな!/、。
[0172] また、本実施形態の露光装置 100によると、主制御装置 20により、ウェハテーブル WTBの位置を干渉計システム 118を用いて計測しつつ、ァライメント系 ALGを用い て撥液プレート 50の一部が検出されるとともに、その検出結果と対応する干渉計シス テム 118の計測結果とに基づいて撥液プレート 50の開口 50aの内周エッジの位置情 報が取得される(ステップ 222)。このため、この内周エッジの位置情報に基づいて、 開口 50aの位置や形状などを算出することが可能になる (ステップ 224、 226参照)。
[0173] また、本実施形態の露光装置 100では、主制御装置 20が、例えば真円度が第 2の 閾値未満である場合には、撥液プレート 50の開口 50aの内周エッジの位置情報に基 づ 、て、ウェハ Wを搬送系 72を介してウェハステージ WST (ウェハテーブル WTB) 上の撥液プレート 50の開口 50a内のウェハホルダ WH上にロードする(ステップ 232 ) o従って、撥液プレート 50の開口 50aの内周エッジに関する情報を考慮しない場合 に比べて、ウェハ Wをウェハステージ WST上の撥液プレート 50の開口 50a内にロー ドすることが容易〖こなる。
[0174] また、本実施形態の露光装置 100では、開口 50aの内周エッジと開口 50a内の物 体(工具ウェハ W1又はウェハ W)との位置関係を取得した場合 (ステップ 240参照) 、主制御装置 20は、搬送系 72によりウェハテーブル WTBにウェハ Wを搬送するとき に、上記位置関係の情報を考慮してウェハテーブル WTBと搬送系 72の搬送アーム 70の少なくとも一方を制御して、搬送アーム 70とウェハテーブルとの位置関係を調 整してウェハをロードする (ステップ 244参照)。従って、この取得された位置関係に 基づいて、ウェハをウェハテーブル WTBの凹部 140内に、すなわち撥液プレート 50 の開口 50aの内周エッジの内部に、所望の位置関係でロードすることが可能となる。 この場合、ウェハ Wの外周エッジと撥液プレート 50aの内周エッジ(ウェハテーブル WTB上面の凹部の内周エッジ)とが接触しないように、且つウェハ Wの外周エッジと 開口 50aの内周エッジとの間隔が所定値、例えば 0. 3mmより小さくなるように、ゥェ ハ Wをウェハテーブル WTB上方の撥液プレート 50の開口 50aの内周エッジの内部 (ウェハテーブル WTB上面の凹部内)のウェハホルダ WH上にロードすることが可能 になる。 [0175] なお、図 11及び図 12で説明した動作においては、開口 50aの形状 (真円度)に対 して第 1の閾値と第 2の閾値とを設けて、工具ウェハ W1をウェハホルダに載せて 、る 力 一つの閾値だけを用いて、工具ウエノ、 W1を載せる力否かを判断するようにして も良い。この場合、工具ウエノ、 W1としては、被露光ウェハ Wよりも小径のウェハであ つても良いし、被露光ウェハ Wとほぼ同径のウェハであっても良い。
[0176] また、図 11及び図 12で説明した動作においては、開口 50aの形状情報を取得した 後に、工具ウェハ W1をウェハホルダ上に載せるようにしている力 形状情報の取得 を省いても良い。この場合も、工具ウェハ W1としては、被露光ウェハ Wよりも小径の ウェハであっても良いし、被露光ウェハ Wとほぼ同径のウェハであっても良い。
[0177] また、図 11及び図 12で説明した動作においては、開口 50aの位置情報と形状情 報を求めた後に、工具ウェハ W1をウェハホルダ上に載せている力 開口 50aの位置 情報と形状情報の取得を省いて、工具ウェハ W1をウェハホルダに載せた後に、開 口の位置情報、及び開口の内周エッジと工具ウェハ W1の外周エッジとの位置関係( 間隔含む)を取得することもできる。もちろん、必要に応じて開口 50aの形状情報を取 得することもできる。この場合、工具ウェハ W1としては、被露光ウェハ Wよりも小径の ウェハが望ましいが、被露光ウェハ Wとほぼ同径のウェハであっても良い。
[0178] また、図 11及び図 12で説明した動作においては、 1枚目の被露光基板としてのゥ ェハ Wがウェハホルダに載置されたときに、開口 50aの内周エッジとウェハ Wとの位 置関係(間隔)を計測して 、るが、工具ウェハ W1を用いて得られた情報に基づ 、て 、被露光基板としてのウェハ Wを開口 50a内の所定位置にロードすることができる場 合には、その計測動作 (ステップ 246、 248、 250)を省いても良い。
[0179] また、図 11及び図 12で説明した動作においては、ステップ 258において、 1つの口 ットの露光処理完了後に、撥液プレート 50を交換する力否かを判断している力 ステ ップ 258を省略して、所定時間毎に判断するようにしても良いし、交換の要否を判断 を行なわずに、所定時間が経過したら撥液プレートを交換するようにしても良い。
[0180] そして、露光装置 100によると、上記のようにしてウェハテーブル WTB上方の撥液 プレート 50の開口 50aの内周エッジの内部(ウェハテープノレ WTB上面の凹部内)に 載置されたウェハ Wに照明光 ILを照射して露光が実行される (ステップ 254)。従つ て、露光動作中にウェハ Wと撥液プレート 50との間からの液体 (水) Lqの漏れを抑制 することができ、液浸露光により、高解像度かつ空気中と比べて大焦点深度の露光 を行うことで、レチクル Rのパターンを精度良くウェハ上に転写することができ、例え ば ArFエキシマレーザ光で、デバイスルールとして 45〜100nm程度の微細パター ンの転写を実現することができる。
[0181] 本実施形態の露光装置 100によると、ウェハステージ WST (ウェハテーブル WTB )にはウェハの露光の際に必要となる必要最低限の構成部材、例えばウェハホルダ などのみを設ければ良いので、ウェハステージ WSTの小型、軽量化を実現し、ゥェ ハステージを駆動する駆動機構 (モータ)の小型化及びモータからの発熱量を低減 することができ、ウェハステージ WSTの熱変形や露光精度の低下を極力抑制するこ とがでさる。
[0182] なお、上記実施形態では、撥液プレート 50の外周エッジ上に複数の計測点を設定 し、この複数の計測点の位置情報を取得する場合について説明したが、これに限ら ず、例えば撥液プレート 50上面の外周エッジの位置より内側の位置に、その外周ェ ッジとの位置関係が既知の目印、例えば外周エッジより所定距離 (Dとする)の位置に 外周エッジに平行なライン状の目印を形成し、この目印上に少なくとも 1つの計測点 を設定し、その計測点の位置情報を計測し、その計測結果と上記距離 Dとに基づい て外周エッジの位置を取得することとしても良い。撥液プレート 50には、図 18に示さ れるように、そのエッジ近傍に幅 d、高さ hの曲面 (又は斜面)が存在する場合が多ぐ その高さ hは 0. 1mm程度あるので、ァライメント系 ALGの焦点深度が浅い場合には 、エッジの画像がぼける場合が考えられる。このような場合に、上記のライン状の目印 を、 D>dとなる位置に設け、このライン状の目印をァライメント系 ALGで撮像するよう にしても良い。勿論、 目印は、上述のライン状に限られるものではなぐ外周エッジと の位置関係が既知であれば、形状の如何を問わな 、。
[0183] 同様に、撥液プレート 50の開口 50aの内周エッジについても、その内周エッジとの 位置関係が既知の目印を予め形成し、その目印上の少なくとも 1つの計測点の位置 情報を取得しても良い。例えば、開口 50aの内周エッジの所定距離外側に、開口 50 aと同心の円形のラインを目印として形成しても良い。 [0184] また、撥液プレート 50の外周エッジ等の位置情報の検出に際して、ァライメント系 A LGが有する焦点検出系を用いるのが望ましいが、ァライメント系 ALGが有する焦点 検出系の検出ビームが撥液プレート 50から外れる場合には、その検出ビームが撥液 プレート 50表面に照射できる位置でー且フォーカス合わせを行った後、そのフォー カス状態を維持したまま計測点をァライメント系 ALGの撮像視野に位置決めするい わゆるシフトフォーカス動作を行うことが望まし!/、。
[0185] また、上記実施形態では、 FIA系のセンサ力も成るァライメント系 ALGを用いて、撥 液プレート 50の外周エッジ、開口 50aの内周エッジ、工具ウェハ W1又はウェハ Wの 外周エッジを撮像し、その撮像結果を用いて画像処理の手法により、各計測点の位 置情報を取得する場合について説明した力 検出装置としては、 FIA系以外のセン サ、例えば反射光又は散乱光を検出する装置を用いても良い。また、 FIA系を用い る場合に、落射照明により対象物力 の反射光を検出する方式であっても勿論良い 1S 撥液プレート 50のエッジを下方力も照明し、その透過光を撥液プレート 50の上 方で検出する方式を採用することも可能である。
[0186] なお、上述の実施形態において、撥液プレート 50の交換作業及び撥液プレート 50 の各種計測の少なくとも一方は、投影光学系 PLの像面側に液体 Lqがな 、状態で行 なっても良 、し、計測テーブル MTBと投影光学系 PLとの間に液体 Lqを保持した状 態で行なっても良い。計測テーブル MTBと投影光学系 PLとの間に液体 Lqを保持し 続ける場合には、投影光学系 PLの先端面の濡れた状態を維持することができるので 、ウォーターマークなどの発生を防止できるばかりでなぐ液体 Lqの全回収及び再供 給の作業を省略することができる。
[0187] また、上記実施形態では、ウェハテーブル WTB力 その外周エッジの位置情報が 検出されるプレートが着脱可能に搭載された第 1ステージ (及び移動体)を構成し、 計測ステージ MSTが、第 2ステージを構成する場合について説明したが、これに限 らず、計測テーブル MTBが第 1ステージ (及び移動体)を構成しても良い。すなわち 、計測テーブル MTBに着脱可能に搭載されたプレートの外周エッジの位置情報を 取得するよう〖こしてもよい。この場合、その外周エッジの位置情報に基づいて計測テ 一ブル MTBの移動を制御することができる。この場合、計測テーブル MTBのプレー ト交換作業及びプレートの各種計測の少なくとも一方は、投影光学系 PLの像面側に 液体 Lqがな 、状態で行なっても良!、し、ウェハテーブル WTBと投影光学系 PLとの 間に液体 Lqを保持した状態で実行しても良 、。
[0188] なお、ウェハテーブル WTBの撥液プレート 50の交換動作や撥液プレート 50の外 周エッジ及び撥液プレート 50の開口 50aの内周エッジの計測動作を、計測テーブル MTBと投影光学系 PLとの間に液体 Lqを保持した状態で実行しても良 、。
[0189] すなわち、ウェハテーブル WTB側で撥液プレート 50の交換をする際には、図 19 ( A)に示されるように、液体 Lqが計測テーブル MTB上に位置するように計測テープ ル MTBの位置を制御する。そして、撥液プレート 50の交換終了後、図 19 (B)に示さ れるように、撥液プレート 50の計測テーブル MTB (計測ステージ MST)側( + Y側) の外周エッジをァライメント系 ALGを用いて計測する。これ〖こより、ウェハテーブル W TB (ウェハステージ WST)を計測テーブル MTB (計測ステージ MST)に近づけるこ とが可能となる。
[0190] 次いで、図 19 (C)、図 19 (D)に示されるように、撥液プレート 50の— X側の外周ェ ッジ、撥液プレート 50の +X側の外周エッジを、ァライメント系 ALGを用いて順次計 測する。
[0191] このようにして計測された撥液プレート 50の外周エッジの 3箇所の位置情報又はこ れから求められる撥液プレート 50の位置情報に基づいて、その後のウェハテーブル WTB (ウェハステージ WST)の位置管理が、主制御装置 20によって行われる。
[0192] 上述の撥液プレート 50の外周エッジの位置情報の計測に続き、例えば、ウェハテ 一ブル WTB (の撥液プレート 50)と計測テーブル MTBとを接触(又は近接)させた 状態を維持して両ステージ WST, MSTを一体的に移動し、図 20 (A)に示されるよう に、撥液プレート 50の開口 50aの +Y側の内周エッジをァライメント系 ALGを用いて 計測する。次いで、ウェハテーブル WTB (の撥液プレート 50)と計測テーブル MTB とを接触 (又は近接)させた状態を維持して両ステージ WST, MSTを一体的に順次 移動し、図 20 (B)、図 20 (C)に示されるように、撥液プレート 50の開口 50aの— X側 の内周エッジ、 +X側の内周エッジを、ァライメント系 ALGを用いて順次計測する。こ の場合、ウェハテーブル WTB上にはウェハが載置されていないため、ウェハが載置 される部分に液体 Lqを位置させることはできないが、図 20 (A)〜図 20 (C)に示され るように、内周エッジを計測することができるので、この計測結果に基づいて、上記実 施形態と同様にウェハをウェハホルダ WH上にロードすることが可能である。
[0193] 上述のように、ウェハテーブル WTBの撥液プレート 50の交換動作や撥液プレート 50の外周エッジ及び撥液プレート 50の開口 50aの内周エッジの計測動作を、計測 テーブル MTBと投影光学系 PLとの間に液体 Lqを保持した状態で実行することで、 液体の回収動作及び供給動作が不要となり、それらの動作に要する時間が不要とな り、その分露光工程のスループットの向上が可能となる。
[0194] なお、上述の如ぐ撥液プレート 50の外周エッジ、開口 50aの内周エッジを計測し、 ウェハをウェハホルダ WH上にロードした後には、そのウェハをロードしたウェハステ ージ WST (ウェハテーブル WTB)の撥液プレート 50と計測ステージ WSTとを接触さ せた状態での移動範囲が拡がる。すなわち、ウェハテーブル WTBの全面に液体 Lq を位置させることが可能となる。従って、上記実施形態で説明した図 7、図 11及び図 12のフローチャートに沿った計測方法を用いて再度計測を行っても良い。これにより 、より高精度な計測を行うことが可能となる。
[0195] また、上記実施形態では、撥液プレート 50の外周エッジ、開口 50aの内周エッジ、 工具ウェハ W1又はウェハ Wの外周エッジのそれぞれについて、中心に関して対称 な複数対の箇所に位置情報の計測点が設定される場合について説明したが、これ は、例えばそれぞれの中心点の位置を算出する際に、平均化効果による計測精度 の向上を期待してこのようにしたものに過ぎず、本発明がこれに限定されるものでな いことは勿論である。
[0196] また、上記実施形態では、撥液プレート 50の形状がほぼ正方形で開口 50aが円形 である場合について説明したが、プレートの形状は円形、多角形その他の形状であ つても良く、開口も被処理物体の形状に応じた形状であれば良い。例えば、液晶表 示素子などを被処理物体とする場合には、被処理物体であるガラスプレートの形状 に応じて開口は矩形にすれば良い。
[0197] また、上述の実施形態においては、プレート 50がウェハテーブル WTBに着脱可能 である場合について説明した力 プレート 50がウェハテーブル WTBと一体的に形成 されていてもよい。この場合も、例えばウェハテーブル WTBにウェハ Wを載置するた めに形成された凹部の内周エッジの位置情報を、図 11や図 13で示したように検出 することができる。
[0198] また、上述の実施形態において、図 7を用いて説明したプレートの外周エッジの位 置情報の計測を含む一連の動作と、図 11を用いて説明したプレートの開口の内周ェ ッジの位置情報の計測を含む一連の動作は、必ずしも一緒に行なう必要はなぐどち らか一方だけを行なうこともできる。
[0199] なお、上記実施形態では、液浸露光装置に本発明が適用された場合について説 明したが、本発明の適用範囲がこれに限定されるものではなぐ液浸タイプではない 通常のスキャニング'ステツパなどにも本発明は好適に適用できる。この場合、撥液プ レートに代えて、その表面に撥液面が形成されていないプレートを用いることができる
[0200] また、上記実施形態では、ステージ装置がウェハステージを 1つ、計測ステージを 1 つ具備する場合について説明したが、これに限らず、計測ステージを具備せずに、ゥ ェハを保持するウェハステージを少なくとも 1つ設けることとしても良い。なお、ウェハ ステージを複数備えて 、る場合には、一方のウェハステージでプレートの交換作業 及びプレートの各種計測作業の少なくとも一方は、投影光学系 PLの像面側に液体 L qがない状態で実行しても良いし、他方のウエノ、ステージを投影光学系 PLの下 (像 面側)に配置して、投影光学系と他方のウェハステージとの間に液体 Lqを保持した 状態で実行しても良い。
[0201] また、上記実施形態では、レべリングテーブル 52が 6自由度、計測テーブル MTB 力 S3自由度有する構成を採用した場合について説明したが、これに限らず、レベリン グテーブル 52が 3自由度、計測テーブル MTBが 3自由度有する構成を採用しても 良い。また、レべリングテーブル 52を設けずに、計測テーブル MTBが 6自由度有す る構成を採用することとしてち良 、。
[0202] なお、上記実施形態では、液体として純水(水)を用いるものとしたが、本発明がこ れに限定されないことは勿論である。液体としては、化学的に安定で、照明光 ILの透 過率が高く安全な液体、例えばフッ素系不活性液体を使用しても良い。このフッ素系 不活性液体としては、例えばフロリナート (米国スリーェム社の商品名)が使用できる 。このフッ素系不活性液体は冷却効果の点でも優れている。また、液体として、照明 光 ILに対する透過性があってできるだけ屈折率が高ぐまた、投影光学系やウェハ 表面に塗布されているフォトレジストに対して安定なもの(例えばセダー油等)を使用 することもできる。また、 Fレーザを光源とする場合は、フォンブリンオイルを選択すれ
2
ば良い。
[0203] また、上記実施形態で、回収された液体を再利用するようにしても良ぐこの場合は 回収された液体から不純物を除去するフィルタを液体回収装置、又は回収管等に設 けておくことが望ましい。
[0204] なお、上記実施形態では、投影光学系 PLの最も像面側の光学素子が先端レンズ 91であるものとした力 その光学素子は、レンズに限られるものではなぐ投影光学 系 PLの光学特性、例えば収差 (球面収差、コマ収差等)の調整に用いる光学プレー ト(平行平面板等)であっても良いし、単なるカバーガラスであっても良い。投影光学 系 PLの最も像面側の光学素子 (上記各実施形態では先端レンズ 91)は、照明光 IL の照射によってレジストから発生する飛散粒子又は液体中の不純物の付着等に起因 して液体 (上記各実施形態では水)に接触してその表面が汚れることがある。このた め、その光学素子は、鏡筒 40の最下部に着脱 (交換)自在に固定することとし、定期 的に交換することとしても良い。
[0205] このような場合、液体に接触する光学素子がレンズであると、その交換部品のコスト が高ぐかつ交換に要する時間が長くなつてしまい、メンテナンスコスト (ランニングコ スト)の上昇やスループットの低下を招く。そこで、液体と接触する光学素子を、例え ばレンズ 91よりも安価な平行平面板とするようにしても良 、。
[0206] また、上記実施形態では、ステップ'アンド'スキャン方式等の走査型露光装置に本 発明が適用された場合について説明したが、本発明の適用範囲がこれに限定されな いことは勿論である。すなわちステップ'アンド'リピート方式の投影露光装置、さらに 、ステップ ·アンド'スティツチ方式の露光装置、又はプロキシミティ方式の露光装置な どにも、本発明は適用できる。
[0207] 露光装置の用途としては半導体製造用の露光装置に限定されることなぐ例えば、 角型のガラスプレートに液晶表示素子パターンを転写する液晶用の露光装置や、有 機 EL、薄膜磁気ヘッド、撮像素子 (CCD等)、マイクロマシン及び DNAチップなどを 製造するための露光装置にも広く適用できる。また、半導体素子などのマイクロデバ イスだけでなぐ光露光装置、 EUV露光装置、 X線露光装置、及び電子線露光装置 などで使用されるレチクル又はマスクを製造するために、ガラス基板又はシリコンゥェ ハなどに回路パターンを転写する露光装置にも本発明を適用できる。
[0208] なお、上記実施形態の露光装置の光源は、 ArFエキシマレーザに限らず、 KrFェ キシマレーザ(出力波長 248nm)、 Fレーザ(出力波長 157nm)、 Arレーザ(出力
2 2
波長 126nm)、 Krレーザ(出力波長 146nm)などのパルスレーザ光源や、 g線 (波
2
長 436nm)、 i線 (波長 365nm)などの輝線を発する超高圧水銀ランプなどを用いる ことも可能である。また、 YAGレーザの高調波発生装置などを用いることもできる。こ の他、 DFB半導体レーザ又はファイバーレーザ力 発振される赤外域、又は可視域 の単一波長レーザ光を、例えばエルビウム(又はエルビウムとイッテルビウムの両方) 力 Sドープされたファイバーアンプで増幅し、非線形光学結晶を用いて紫外光に波長 変換した高調波を用いても良い。また、投影光学系は縮小系のみならず等倍および 拡大系のいずれでも良い。
[0209] また、上述の実施形態にお!、ては、光透過性の基板上に所定の遮光パターン (又 は位相パターン'減光パターン)を形成した光透過型マスク(レチクル)を用 ヽる露光 装置について説明した力 このレチクルに代えて、例えば米国特許第 6, 778, 257 号公報に開示されているように、露光すべきパターンの電子データに基づいて、透 過パターン又は反射パターン、あるいは発光パターンを形成する電子マスク(可変成 形マスク)を用いる露光装置に、本発明を適用することも可能である。
[0210] また、国際公開第 2001Z035168号パンフレットに開示されているように、干渉縞 をウェハ W上に形成することによって、ウェハ W上にライン 'アンド'スペースパターン を形成する露光装置 (リソグラフィシステム)にも本発明を適用することもできる。
[0211] なお、上記実施形態では、本発明の位置計測方法、計測方法及びロード方法など 1S 露光装置に適用された場合について説明したが、これに限らず、本発明の位置 計測方法は、所定形状のプレートが移動体上に着脱可能に搭載された移動体を備 えた装置であれば適用が可能であり、本発明の計測方法及びロード方法などは、物 体を載置するための開口が形成されたプレートが、着脱可能に搭載された移動体を 備えた装置であれば適用が可能である。
[0212] なお、半導体デバイスは、デバイスの機能 ·性能設計を行うステップ、この設計ステ ップに基づ 、たレチクルを製作するステップ、シリコン材料からウェハを製作するステ ップ、上記実施形態の露光装置で、マスクに形成されたパターンを感光物体上に転 写するリソグラフィステップ、デバイス組み立てステップ (ダイシング工程、ボンディング 工程、ノ ッケージ工程を含む)、検査ステップ等を経て製造される。この場合、リソダラ フィステップで、上記実施形態の露光装置及びその露光方法が用いられるので、高 精度な露光を長期に渡って実現することができる。従って、微細パターンが形成され た高集積度のマイクロデバイスの生産性を向上することができる。
産業上の利用可能性
[0213] 以上説明したように、本発明の位置計測方法は、移動体上に着脱可能に搭載され たプレートの位置計測に適している。また、本発明の位置制御方法は、その移動体 の位置制御に適している。また、本発明の計測方法は、移動体上に搭載され、物体 を載置するための開口が形成されたプレートに関する情報を計測するのに適してい る。また、本発明のロード方法は、上記移動体上に物体をロードするのに適している 。また、本発明の露光方法及び露光装置、並びにデバイス製造方法は、半導体素子 などのマイクロデバイスの製造に適して 、る。

Claims

請求の範囲
[1] 移動体上に着脱可能に搭載された所定形状のプレートの位置情報を計測する位 置計測方法であって、
前記移動体の位置をその移動座標系を規定する計測装置で計測しつつ、前記プ レートの一部を検出するとともにその検出結果と対応する前記計測装置の計測結果 とに基づいて前記プレートの外周エッジの位置情報を取得する外周エッジ位置取得 工程を含む位置計測方法。
[2] 請求項 1に記載の位置計測方法にぉ 、て、
前記外周エッジ位置取得工程は、前記プレートの外周エッジ及び該外周エッジと の位置関係が既知の前記プレート上の目印の少なくとも一方を撮像する撮像工程と 、前記撮像結果に基づ 、て画像処理の手法により前記外周エッジの位置情報を取 得する画像処理工程とを含むことを特徴とする位置計測方法。
[3] 請求項 2に記載の位置計測方法にぉ 、て、
前記撮像工程では、前記プレートの複数箇所で前記外周エッジ及び前記目印の 少なくとも一方を撮像することを特徴とする位置計測方法。
[4] 請求項 3に記載の位置計測方法にぉ 、て、
前記撮像工程では、前記プレートの中心に関して対称な少なくとも 2箇所を含む複 数箇所で前記外周エッジ及び前記目印の少なくとも一方を撮像することを特徴とする 位置計測方法。
[5] 請求項 3に記載の位置計測方法にぉ 、て、
前記プレートの外周は矩形であり、
前記撮像工程では、前記矩形のプレートの一側の外周エッジの 1箇所と、その外周 エッジと相対する他側の外周エッジの 1箇所とを含む前記外周エッジの複数箇所を 撮像することを特徴とする位置計測方法。
[6] 請求項 1に記載の位置計測方法にぉ 、て、
前記プレートと前記移動体との位置関係を取得する取得工程をさらに含む位置計 測方法。
[7] 請求項 1に記載の位置計測方法にぉ ヽて、 前記移動体は、露光対象の物体が載置される露光ステージであることを特徴とする 位置計測方法。
[8] 請求項 1に記載の位置計測方法にぉ 、て、
前記移動体は、少なくとも一つの計測部材が設けられる計測ステージであることを 特徴とする位置計測方法。
[9] 請求項 1に記載の位置計測方法にぉ 、て、
前記プレートは、前記移動体上に撥液面を形成することを特徴とする位置計測方 法。
[10] 請求項 9に記載の位置計測方法にぉ 、て、
前記移動体上に、液浸領域が形成されることを特徴とする位置計測方法。
[11] 請求項 1に記載の位置計測方法にぉ 、て、
前記移動体は反射面を有し、前記計測装置は前記移動体の反射面を使って前記 移動体の位置を計測する干渉計システムを含むことを特徴とする位置計測方法。
[12] プレートが着脱可能に搭載された移動体の位置を制御する位置制御方法であって 請求項 1〜11の 、ずれか一項に記載の位置計測方法を用いて計測された前記プ レートの外周エッジの位置情報に基づ 、て、前記移動体の位置を制御することを特 徴とする位置制御方法。
[13] 請求項 12に記載の位置制御方法を用いる露光装置。
[14] 請求項 13に記載の露光装置を用いるリソグラフイエ程を含むデバイス製造方法。
[15] 移動体上に着脱可能に搭載され、物体を載置するための開口が形成されたプレー トに関する情報を計測する計測方法であって、
前記プレートの一部を検出し、その検出結果に基づいて前記開口の内周エッジの 位置情報を取得する内周エッジ位置取得工程を含む計測方法。
[16] 請求項 15に記載の計測方法において、
前記内周エッジの位置情報に基づいて前記開口の位置を算出する位置算出工程 をさらに含む計測方法。
[17] 請求項 15に記載の計測方法において、 前記内周エッジの位置情報に基づいて前記開口の形状を算出する形状算出工程 をさらに含む計測方法。
[18] 請求項 17に記載の計測方法において、
前記開口は、円形であり、
前記形状算出工程では、少なくとも前記開口の真円度の算出が行われることを特 徴とする計測方法。
[19] 請求項 15に記載の計測方法において、
前記内周エッジ位置取得工程においては、前記プレートの一部の検出は、前記移 動体の位置をその移動座標系を規定する計測装置で計測しつつ行われ、その検出 結果と対応する前記計測装置の計測結果とに基づ!、て前記開口の内周エッジの複 数箇所の位置情報が取得されることを特徴とする計測方法。
[20] 請求項 19に記載の計測方法において、
前記移動体は反射面を有し、前記計測装置は前記移動体の反射面を用いて前記 移動体の位置を計測する干渉計システムを含むことを特徴とする計測方法。
[21] 請求項 15に記載の計測方法において、
前記内周エッジ位置取得工程は、前記プレートの前記開口の内周エッジ及び該内 周エッジとの位置関係が既知の前記プレート上の目印の少なくとも一方を撮像する 撮像工程と、前記撮像結果に基づ!、て画像処理の手法により前記内周エッジの位 置情報を取得する画像処理工程とを含むことを特徴とする計測方法。
[22] 請求項 21に記載の計測方法にぉ 、て、
前記撮像工程では、前記開口の中心に関してほぼ対称な少なくとも 2箇所を含む 複数箇所で前記内周エッジ及び前記目印の少なくとも一方を撮像することを特徴と する計測方法。
[23] 請求項 15に記載の計測方法において、
前記プレートは、前記移動体上に撥液面を形成することを特徴とする計測方法。
[24] 請求項 23に記載の計測方法にぉ 、て、
前記移動体上に、液浸領域が形成されることを特徴とする計測方法。
[25] 物体を載置するための開口を有するプレートが着脱可能に搭載された移動体上に 物体をロードするロード方法にぉ ヽて、
請求項 15〜24の 、ずれか一項に記載の計測方法を用いて取得された前記プレ ートの開口の内周エッジの位置情報に基づいて、前記物体を前記移動体上の前記 プレートの開口内にロードすることを特徴とするロード方法。
[26] 請求項 25に記載のロード方法において、
前記物体の外周エッジと前記開口の内周エッジとが接触しないように、且つ前記物 体の外周エッジと前記開口の内周エッジとの間隔が所定値より小さくなるように、前記 プレートの開口の内周エッジの位置情報に基づいて、前記物体を前記移動体上の 前記プレートの開口内にロードすることを特徴とするロード方法。
[27] 物体を露光する露光方法であって、
請求項 25に記載のロード方法を用いて、前記移動体上の前記プレートの開口内に 前記物体をロードする工程と;
前記移動体上にロードされた前記物体に露光ビームを照射する工程と; を含む露光方法。
[28] 請求項 27に記載の露光方法を用いるリソグラフイエ程を含むデバイス製造方法。
[29] 移動体上端部の凹部内に被処理物体をロードするロード方法であって、
前記移動体上の凹部内に物体を載置する工程と;
前記凹部の内周エッジと、その凹部内に載置された前記物体との位置関係の情報 を取得する取得工程と;を含むロード方法。
[30] 請求項 29に記載のロード方法において、
前記位置関係の情報に基づ!、て、前記被処理物体と前記移動体との位置関係を 調整して、前記移動体の前記凹部内に前記被処理物体をロードする工程をさらに含 むロード方法。
[31] 請求項 30に記載のロード方法において、
前記移動体の凹部内にロードされた前記被処理物体の外周エッジと前記凹部の内 周エッジとの間隔を計測する工程をさらに含むロード方法。
[32] 請求項 29に記載のロード方法において、
前記位置関係の情報を取得するために前記移動体上の凹部内に載置される物体 は、前記被処理物体よりも小径の工具用基板を含むことを特徴とするロード方法。
[33] 請求項 29に記載のロード方法において、
前記取得工程は、前記凹部の内周エッジの位置情報を取得する内周エッジ取得 工程と、前記物体の外周エッジの位置情報を取得する外周エッジ取得工程とを含む ことを特徴とするロード方法。
[34] 請求項 33に記載のロード方法において、
前記凹部の内周エッジは、前記移動体の最上部を構成する着脱可能なプレートに 形成された開口の内周エッジであり、
前記内周エッジ取得工程では、前記移動体の位置をその移動座標系を規定する 計測装置で計測しつつ、前記プレートの一部が撮像されるとともに、その撮像結果と 対応する前記計測装置の計測結果とに基づいて前記開口の内周エッジの複数箇所 の位置情報が取得され、
前記外周エッジ取得工程では、前記移動体の位置を前記計測装置で計測しつつ 、前記凹部内に載置された物体の一部が撮像されるとともに、その撮像結果と対応 する前記計測装置の計測結果とに基づいて前記凹部内に載置された前記物体の外 周エッジの複数箇所の位置情報が取得され、
前記位置関係の情報は、前記内周エッジの位置情報から取得された前記開口の 中心位置と、前記外周エッジの位置情報から取得された前記物体の中心位置とのず れの情報を含むことを特徴とするロード方法。
[35] 請求項 34に記載のロード方法において、
前記移動体は反射面を有し、前記計測装置は前記移動体の反射面を使って前記 移動体の位置を計測する干渉計システムを含むことを特徴とするロード方法。
[36] 請求項 34に記載のロード方法において、
前記プレートは、前記移動体の上端に撥液面を形成することを特徴とするロード方 法。
[37] 請求項 36に記載のロード方法において、
前記移動体上に、液浸領域が形成されることを特徴とするロード方法。
[38] 請求項 29に記載のロード方法において、 前記被処理物体の外周エッジと前記凹部の内周エッジとが接触しないように、且つ 前記被処理物体の外周エッジと前記凹部の内周エッジとの間隔が所定値より小さく なるように、前記被処理物体を前記移動体の凹部内にロードすることを特徴とする口 ード方法。
[39] 被処理物体を露光する露光方法であって、
請求項 29〜38のいずれか一項に記載のロード方法を用いて、前記移動体上の凹 部内に前記被処理物体を載置する工程と;
前記移動体の前記凹部内に載置された前記被処理物体に露光ビームを照射する 工程と;
を含む露光方法。
[40] 請求項 39に記載の露光方法を用いるリソグラフイエ程を含むデバイス製造方法。
[41] 物体上に露光ビームを照射する露光装置であって、
所定形状のプレートが着脱可能に搭載された第 1ステージと;
前記第 1ステージの位置を計測する位置計測系と;
前記第 1ステージの一部を検出可能な検出装置と;
前記第 1ステージの位置を前記位置計測系を用いて計測しつつ、前記検出装置を 用いて前記プレートの一部を検出するとともに、その検出結果と対応する前記位置計 測系の計測結果とに基づいて前記プレートの外周エッジの位置情報を取得する外周 エッジ位置取得装置と;を備える露光装置。
[42] 請求項 41に記載の露光装置において、
前記第 1ステージは、前記物体を保持する露光ステージを含み、
前記プレートの表面は、前記露光ステージに保持された物体の表面とほぼ面一で あることを特徴とする露光装置。
[43] 請求項 41に記載の露光装置において、
前記第 1ステージは、少なくとも一つの計測部材を有する計測ステージを含むことを 特徴とする露光装置。
[44] 請求項 41に記載の露光装置において、
前記第 1ステージとは独立に移動可能な第 2ステージと; 前記第 1ステージに搭載されたプレートの外周エッジが前記第 2ステージと衝突し な 、ように、前記外周エッジの位置情報に基づ!、て前記第 1ステージの位置と前記 第 2ステージの位置との少なくとも一方を制御する制御装置と;
をさらに備える露光装置。
[45] 請求項 44に記載の露光装置において、
前記制御装置は、前記位置計測系の計測結果と前記外周エッジの位置情報とに 基づいて、前記第 1ステージの位置を制御することを特徴とする露光装置。
[46] 請求項 41に記載の露光装置において、
前記第 1ステージ上に液体を供給して液浸領域を形成するための液浸機構をさら に備え、
前記液浸機構によって供給される液体を介して前記物体に露光ビームが照射され 前記プレートによって、前記第 1ステージの上面に撥液面が形成されることを特徴と する露光装置。
[47] 請求項 41に記載の露光装置において、
前記検出装置は、前記物体上のァライメントマークの検出にも使用されることを特 徴とする露光装置。
[48] 物体上に露光ビームを照射する露光装置であって、
開口が形成された所定形状のプレートが搭載され、前記開口内に物体が載置され る露光ステージと;
前記露光ステージの位置を計測する位置計測系と;
前記露光ステージの一部を検出可能な検出装置と;
前記露光ステージの位置を前記位置計測系を用いて計測しつつ、前記検出装置 を用いて前記プレートの一部を検出するとともに、その検出結果と対応する前記位置 計測系の計測結果とに基づ 、て前記開口の内周エッジの位置情報を取得する内周 エッジ位置取得装置と;を備える露光装置。
[49] 請求項 48に記載の露光装置において、
前記内周エッジの位置情報に基づ!、て、前記位置計測系によって規定される座標 系と前記開口との位置関係を決定する決定装置を、更に備える露光装置。
[50] 請求項 48に記載の露光装置において、
前記内周エッジの位置情報に基づいて、前記開口の形状を求める形状算出装置 をさらに備える露光装置。
[51] 請求項 48に記載の露光装置において、
前記検出装置を用いて、前記露光ステージ上の前記プレートの開口内に載置され た物体の外周エッジの位置情報を取得する物体外周エッジ位置取得装置と; 前記開口の内周エッジの位置情報と、前記開口内に載置された物体の外周エッジ の位置情報とに基づいて、前記開口内に載置された物体の外周エッジと前記開口の 内周エッジとの間隔を計測する間隔計測装置と;をさらに備える露光装置。
[52] 請求項 48に記載の露光装置において、
前記露光ステージに物体を搬送する搬送系と;
前記搬送系により前記露光ステージに物体を搬送するときに、前記内周エッジの位 置情報に基づ 、て、前記露光ステージと前記搬送系の少なくとも一方を制御するス テージ制御装置と;をさらに備える露光装置。
[53] 請求項 52に記載の露光装置において、
前記ステージ制御装置は、前記開口の内周エッジと前記物体の外周エッジとが接 触しないように、且つ前記開口の内周エッジと前記物体の外周エッジとの間隔が所 定の値より小さくなるように、前記露光ステージと前記搬送系との少なくとも一方を制 御することを特徴とする露光装置。
[54] 請求項 48に記載の露光装置において、
前記露光ステージ上に液体を供給して液浸領域を形成するための液浸機構をさら に備え、
前記液浸機構によって供給される液体を介して前記物体に露光ビームが照射され 前記プレートによって、前記露光ステージの表面に撥液面が形成されていることを 特徴とする露光装置。
[55] 請求項 48に記載の露光装置において、 前記露光ステージ上に載置された物体の表面と、前記露光ステージに搭載された プレートの表面とはほぼ面一であることを特徴とする露光装置。
[56] 請求項 48に記載の露光装置において、
前記検出装置は、前記物体上のァライメントマークの検出にも使用されることを特 徴とする露光装置。
[57] 請求項 41〜56のいずれか一項に記載の露光装置を用いるリソグラフイエ程を含む デバイス製造方法。
PCT/JP2005/021214 2004-11-18 2005-11-18 位置計測方法、位置制御方法、計測方法、ロード方法、露光方法及び露光装置、並びにデバイス製造方法 WO2006054682A1 (ja)

Priority Applications (17)

Application Number Priority Date Filing Date Title
CN2005800387259A CN101057316B (zh) 2004-11-18 2005-11-18 位置测量方法、位置控制方法、测量方法、装载方法、曝光方法及曝光装置、及元件制造方法
KR1020157025656A KR101689100B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
EP05807063.2A EP1821336B1 (en) 2004-11-18 2005-11-18 Loading method, exposure method, and device production method
KR1020137004445A KR101437298B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
KR1020127011289A KR101421849B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
KR1020187014038A KR20180054934A (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
KR1020077004097A KR101452483B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법,노광 방법, 노광 장치, 및 디바이스 제조 방법
KR1020137031881A KR101493641B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
JP2006545156A JP4877653B2 (ja) 2004-11-18 2005-11-18 露光装置及びデバイス製造方法
KR1020147034572A KR101670571B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
KR1020147017359A KR101578629B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
KR1020167035238A KR101861949B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
EP18157586.1A EP3346486A1 (en) 2004-11-18 2005-11-18 Exposure method and exposure apparatus, and semiconductor device manufacturing methods
KR1020127011290A KR101421850B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
IL183280A IL183280A0 (en) 2004-11-18 2007-05-17 Position measurment method, position control method, measurement method, loading method, exposure method and exposure device, and device manufacturing method
IL221688A IL221688A (en) 2004-11-18 2012-08-29 A liquid immersion exposure device and a method that reveals infrastructure through an optical and fluid system
IL221687A IL221687A (en) 2004-11-18 2012-08-29 A liquid immersion exposure device and a method that reveals infrastructure through an optical and fluid system

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004-335050 2004-11-18
JP2004335050 2004-11-18

Publications (1)

Publication Number Publication Date
WO2006054682A1 true WO2006054682A1 (ja) 2006-05-26

Family

ID=36407218

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2005/021214 WO2006054682A1 (ja) 2004-11-18 2005-11-18 位置計測方法、位置制御方法、計測方法、ロード方法、露光方法及び露光装置、並びにデバイス製造方法

Country Status (10)

Country Link
US (12) US8059260B2 (ja)
EP (4) EP2772803A1 (ja)
JP (14) JP4877653B2 (ja)
KR (10) KR101670571B1 (ja)
CN (5) CN101057316B (ja)
HK (7) HK1198210A1 (ja)
IL (3) IL183280A0 (ja)
SG (4) SG2014009179A (ja)
TW (8) TWI654661B (ja)
WO (1) WO2006054682A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009115526A (ja) * 2007-11-05 2009-05-28 Daido Steel Co Ltd 被検査物の真円度測定方法
JP2013137315A (ja) * 2013-01-28 2013-07-11 Hitachi High-Technologies Corp 試料搭載装置
JP2014199933A (ja) * 2006-08-31 2014-10-23 株式会社ニコン 露光装置及び露光方法、並びにデバイス製造方法
KR101525342B1 (ko) * 2007-12-28 2015-06-10 가부시키가이샤 니콘 노광 장치, 이동체 구동 시스템, 패턴 형성 장치 및 노광 방법, 그리고 디바이스 제조 방법
JP2016136267A (ja) * 2006-09-01 2016-07-28 株式会社ニコン 露光装置及び露光方法、並びにデバイス製造方法

Families Citing this family (377)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1379224B2 (en) 2001-03-29 2013-10-02 Synergy Pharmaceuticals, Inc. Guanylate cyclase receptor agonists for the treatment of tissue inflammation and carcinogenesis
US7623734B2 (en) * 2004-09-30 2009-11-24 Microsoft Corporation Method and system for automatically inscribing noisy objects in scanned image data within a minimum area rectangle
TWI654661B (zh) 2004-11-18 2019-03-21 日商尼康股份有限公司 位置測量方法、位置控制方法、測量方法、裝載方法、曝光方法及曝光裝置、及元件製造方法
US7352440B2 (en) 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography
US7649611B2 (en) 2005-12-30 2010-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8013982B2 (en) * 2006-08-31 2011-09-06 Nikon Corporation Movable body drive method and system, pattern formation method and apparatus, exposure method and apparatus for driving movable body based on measurement value of encoder and information on flatness of scale, and device manufacturing method
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5058836B2 (ja) * 2007-05-08 2012-10-24 東京エレクトロン株式会社 処理装置、処理方法、被処理体の認識方法および記憶媒体
MX354786B (es) 2007-06-04 2018-03-21 Synergy Pharmaceuticals Inc Agonistas de guanilato ciclasa utiles para el tratamiento de trastornos gastrointestinales, inflamacion, cancer y otros trastornos.
US8969514B2 (en) * 2007-06-04 2015-03-03 Synergy Pharmaceuticals, Inc. Agonists of guanylate cyclase useful for the treatment of hypercholesterolemia, atherosclerosis, coronary heart disease, gallstone, obesity and other cardiovascular diseases
KR101843699B1 (ko) * 2007-07-18 2018-03-29 가부시키가이샤 니콘 계측 방법, 스테이지 장치, 및 노광 장치
JP5241245B2 (ja) * 2008-01-11 2013-07-17 株式会社日立ハイテクノロジーズ 検査装置及び検査方法
AU2009256157B2 (en) * 2008-06-04 2014-12-18 Bausch Health Ireland Limited Agonists of guanylate cyclase useful for the treatment of gastrointestinal disorders, inflammation, cancer and other disorders
EP2296685B1 (en) * 2008-06-04 2015-09-02 Synergy Pharmaceuticals Inc. Agonists of guanylate cyclase useful for the treatment of gastrointestinal disorders, inflammation, cancer and other disorders
ES2624828T3 (es) 2008-07-16 2017-07-17 Synergy Pharmaceuticals Inc. Agonistas de la guanilato ciclasa útiles para el tratamiento de trastornos gastrointestinales, inflamación, cáncer y otros
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7810698B2 (en) * 2008-11-20 2010-10-12 Asm Assembly Automation Ltd. Vision system for positioning a bonding tool
CA2745694C (en) 2008-12-03 2018-03-27 Synergy Pharmaceuticals, Inc. Formulations of guanylate cyclase c agonists and methods of use
EP2196857A3 (en) 2008-12-09 2010-07-21 ASML Netherlands BV Lithographic apparatus and device manufacturing method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9616097B2 (en) 2010-09-15 2017-04-11 Synergy Pharmaceuticals, Inc. Formulations of guanylate cyclase C agonists and methods of use
EP2621509A4 (en) 2010-09-15 2016-08-03 Synergy Pharmaceuticals Inc PREPARATIONS OF GUANYLATE CYCLASE-C AGONISTS AND METHODS OF USE
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
CN102540896B (zh) * 2012-02-29 2013-07-17 清华大学 化学机械抛光传输机器人的非线性模糊结合递归控制系统
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8605294B2 (en) * 2012-03-09 2013-12-10 Chung-Shan Institute of Science and Technology, Armaments, Bureau, Ministry of National Defense Actuating apparatus, actuating system and method for actuating a working stage to move relative to a platform with high-precision positioning capability
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
RU2502952C1 (ru) * 2012-06-15 2013-12-27 федеральное государственное бюджетное научное учреждение "Научно-исследовательский радиофизический институт" Устройство для линейных перемещений с нанометровой точностью в большом диапазоне возможных перемещений
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140136313A1 (en) * 2012-11-14 2014-05-15 Satyam Shaw Categorizing content selections
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CA2905438A1 (en) 2013-03-15 2014-09-25 Synergy Pharmaceuticals Inc. Agonists of guanylate cyclase and their uses
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US20160336101A1 (en) 2013-09-04 2016-11-17 Ckd Corporation Armature coil for electromagnetic actuator, electromagnetic actuator, exposure apparatus, and device manufacturing method
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6351992B2 (ja) * 2014-02-17 2018-07-04 株式会社Screenホールディングス 変位検出装置、基板処理装置、変位検出方法および基板処理方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20180015614A1 (en) * 2015-02-04 2018-01-18 Kawasaki Jukogyo Kabushiki Kaisha Robot shakes automatically adjusting device and method of automatically adjusting shakes of robot
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
EP3264179B1 (en) 2015-02-23 2023-12-27 Nikon Corporation Measurement device, lithography system, exposure apparatus, device manufacturing method, control method and overlay measurement method
KR20240010551A (ko) * 2015-02-23 2024-01-23 가부시키가이샤 니콘 기판 처리 시스템 및 기판 처리 방법, 그리고 디바이스 제조 방법
CN112068406A (zh) 2015-02-23 2020-12-11 株式会社尼康 测量装置、光刻系统、以及组件制造方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
DE102015207275B4 (de) * 2015-04-22 2018-06-07 Robert Bosch Gmbh Maßverkörperung mit signalkompensierenden Markierungen
SG10201603103UA (en) * 2015-04-30 2016-11-29 Canon Kk Imprint device, substrate conveying device, imprinting method, and method for manufacturing article
CN104897102B (zh) * 2015-05-15 2017-11-07 浙江工业大学 一种球笼保持架自动检测系统
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
DE102015219810A1 (de) * 2015-10-13 2017-04-13 Dr. Johannes Heidenhain Gmbh X-Y-Tisch mit einer Positionsmesseinrichtung
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6506153B2 (ja) * 2015-10-27 2019-04-24 株式会社Screenホールディングス 変位検出装置および変位検出方法ならびに基板処理装置
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6207671B1 (ja) * 2016-06-01 2017-10-04 キヤノン株式会社 パターン形成装置、基板配置方法及び物品の製造方法
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
JP6635888B2 (ja) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US11029614B2 (en) 2016-07-26 2021-06-08 Asml Netherlands B.V. Level sensor apparatus, method of measuring topographical variation across a substrate, method of measuring variation of a physical parameter related to a lithographic process, and lithographic apparatus
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP2018054500A (ja) * 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP7101102B2 (ja) * 2018-11-15 2022-07-14 東京エレクトロン株式会社 搬送ロボットシステム、教示方法、及びウエハ収容容器
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11209373B2 (en) 2019-06-21 2021-12-28 Kla Corporation Six degree of freedom workpiece stage
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004053955A1 (ja) * 2002-12-10 2004-06-24 Nikon Corporation 露光装置及びデバイス製造方法
JP2004207696A (ja) * 2002-12-10 2004-07-22 Nikon Corp 露光装置及びデバイス製造方法
JP2004207710A (ja) * 2002-12-10 2004-07-22 Nikon Corp 露光装置及び露光方法、デバイス製造方法
JP2004259966A (ja) * 2003-02-26 2004-09-16 Nikon Corp 露光装置及びデバイス製造方法

Family Cites Families (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2471038A (en) * 1946-10-29 1949-05-24 Jack & Heintz Prec Ind Inc Work centering attachment for metal turning machines
US3059260A (en) 1959-11-16 1962-10-23 Lester R Peilet Spring actuated self-cleaning retractable brush or the like
US4346164A (en) * 1980-10-06 1982-08-24 Werner Tabarelli Photolithographic method for the manufacture of integrated circuits
JPS57117238A (en) 1981-01-14 1982-07-21 Nippon Kogaku Kk <Nikon> Exposing and baking device for manufacturing integrated circuit with illuminometer
JPS57153433A (en) * 1981-03-18 1982-09-22 Hitachi Ltd Manufacturing device for semiconductor
US4457664A (en) * 1982-03-22 1984-07-03 Ade Corporation Wafer alignment station
JPS58202448A (ja) * 1982-05-21 1983-11-25 Hitachi Ltd 露光装置
JPS5919912A (ja) 1982-07-26 1984-02-01 Hitachi Ltd 液浸距離保持装置
DD221563A1 (de) * 1983-09-14 1985-04-24 Mikroelektronik Zt Forsch Tech Immersionsobjektiv fuer die schrittweise projektionsabbildung einer maskenstruktur
DD224448A1 (de) * 1984-03-01 1985-07-03 Zeiss Jena Veb Carl Einrichtung zur fotolithografischen strukturuebertragung
JPS6265326A (ja) 1985-09-18 1987-03-24 Hitachi Ltd 露光装置
US4700595A (en) * 1986-05-16 1987-10-20 Silicon Valley Group, Inc. Balance mechanism for movable jaw chuck of a spin station
JPS63157419A (ja) * 1986-12-22 1988-06-30 Toshiba Corp 微細パタ−ン転写装置
US4880348A (en) * 1987-05-15 1989-11-14 Roboptek, Inc. Wafer centration device
US5102280A (en) * 1989-03-07 1992-04-07 Ade Corporation Robot prealigner
US5238354A (en) * 1989-05-23 1993-08-24 Cybeq Systems, Inc. Semiconductor object pre-aligning apparatus
JP2642216B2 (ja) * 1989-05-23 1997-08-20 サイベック システムズ 半導体物品の予備位置決め方法及び装置
US5194743A (en) * 1990-04-06 1993-03-16 Nikon Corporation Device for positioning circular semiconductor wafers
JPH04305915A (ja) * 1991-04-02 1992-10-28 Nikon Corp 密着型露光装置
JPH04305917A (ja) * 1991-04-02 1992-10-28 Nikon Corp 密着型露光装置
JPH04306915A (ja) 1991-04-04 1992-10-29 Nec Corp レベル変換回路
US5243195A (en) 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
JP3200874B2 (ja) 1991-07-10 2001-08-20 株式会社ニコン 投影露光装置
US5513948A (en) * 1991-05-17 1996-05-07 Kensington Laboratories, Inc. Universal specimen prealigner
JPH0562877A (ja) 1991-09-02 1993-03-12 Yasuko Shinohara 光によるlsi製造縮小投影露光装置の光学系
JPH06124873A (ja) * 1992-10-09 1994-05-06 Canon Inc 液浸式投影露光装置
JP2753930B2 (ja) * 1992-11-27 1998-05-20 キヤノン株式会社 液浸式投影露光装置
KR100300618B1 (ko) 1992-12-25 2001-11-22 오노 시게오 노광방법,노광장치,및그장치를사용하는디바이스제조방법
JP3316833B2 (ja) 1993-03-26 2002-08-19 株式会社ニコン 走査露光方法、面位置設定装置、走査型露光装置、及び前記方法を使用するデバイス製造方法
JP3412704B2 (ja) 1993-02-26 2003-06-03 株式会社ニコン 投影露光方法及び装置、並びに露光装置
US5452078A (en) * 1993-06-17 1995-09-19 Ann F. Koo Method and apparatus for finding wafer index marks and centers
JPH07220990A (ja) * 1994-01-28 1995-08-18 Hitachi Ltd パターン形成方法及びその露光装置
US6225012B1 (en) * 1994-02-22 2001-05-01 Nikon Corporation Method for positioning substrate
JPH07270122A (ja) 1994-03-30 1995-10-20 Canon Inc 変位検出装置、該変位検出装置を備えた露光装置およびデバイスの製造方法
US5546179A (en) * 1994-10-07 1996-08-13 Cheng; David Method and apparatus for mapping the edge and other characteristics of a workpiece
JP3387075B2 (ja) * 1994-12-12 2003-03-17 株式会社ニコン 走査露光方法、露光装置、及び走査型露光装置
JPH08213306A (ja) 1995-02-08 1996-08-20 Nikon Corp 位置検出装置及び該装置を備えた投影露光装置
US5783833A (en) 1994-12-12 1998-07-21 Nikon Corporation Method and apparatus for alignment with a substrate, using coma imparting optics
US5648854A (en) * 1995-04-19 1997-07-15 Nikon Corporation Alignment system with large area search for wafer edge and global marks
JPH08316125A (ja) * 1995-05-19 1996-11-29 Hitachi Ltd 投影露光方法及び露光装置
JPH08316124A (ja) * 1995-05-19 1996-11-29 Hitachi Ltd 投影露光方法及び露光装置
JPH0961111A (ja) * 1995-08-28 1997-03-07 Nikon Corp パターン座標測定方法および装置
JP3639686B2 (ja) * 1996-01-31 2005-04-20 キヤノン株式会社 基板の保持装置とこれを用いた露光装置、及びデバイスの製造方法
JP3651630B2 (ja) 1996-08-05 2005-05-25 株式会社ニコン 投影露光方法及び投影露光装置
US5825043A (en) * 1996-10-07 1998-10-20 Nikon Precision Inc. Focusing and tilting adjustment system for lithography aligner, manufacturing apparatus or inspection apparatus
JPH10199804A (ja) * 1996-11-14 1998-07-31 Nikon Corp 投影露光装置及び投影露光方法並びにデバイス製造方法
JPH10247681A (ja) * 1997-03-04 1998-09-14 Nikon Corp 位置ずれ検出方法及び装置、位置決め装置並びに露光装置
JP3747566B2 (ja) * 1997-04-23 2006-02-22 株式会社ニコン 液浸型露光装置
JP3817836B2 (ja) * 1997-06-10 2006-09-06 株式会社ニコン 露光装置及びその製造方法並びに露光方法及びデバイス製造方法
JPH1116816A (ja) 1997-06-25 1999-01-22 Nikon Corp 投影露光装置、該装置を用いた露光方法、及び該装置を用いた回路デバイスの製造方法
JP4210871B2 (ja) * 1997-10-31 2009-01-21 株式会社ニコン 露光装置
US6164894A (en) * 1997-11-04 2000-12-26 Cheng; David Method and apparatus for integrated wafer handling and testing
KR20010032714A (ko) * 1997-12-03 2001-04-25 오노 시게오 기판 반송방법 및 기판 반송장치, 이것을 구비한 노광장치및 이 노광장치를 이용한 디바이스 제조방법
JPH11176727A (ja) * 1997-12-11 1999-07-02 Nikon Corp 投影露光装置
JP4264676B2 (ja) * 1998-11-30 2009-05-20 株式会社ニコン 露光装置及び露光方法
WO1999049504A1 (fr) 1998-03-26 1999-09-30 Nikon Corporation Procede et systeme d'exposition par projection
US6374149B1 (en) * 1998-05-18 2002-04-16 Texas Instruments Incorporated System and method for determining the center of a wafer on a wafer table
WO1999060361A1 (fr) 1998-05-19 1999-11-25 Nikon Corporation Instrument et procede de mesure d'aberrations, appareil et procede de sensibilisation par projection incorporant cet instrument, et procede de fabrication de dispositifs associe
JP2000058436A (ja) 1998-08-11 2000-02-25 Nikon Corp 投影露光装置及び露光方法
JP2000077314A (ja) * 1998-09-03 2000-03-14 Nikon Corp リソグラフィシステム及び露光装置
US6275742B1 (en) * 1999-04-16 2001-08-14 Berkeley Process Control, Inc. Wafer aligner system
WO2000074120A1 (fr) * 1999-05-28 2000-12-07 Nikon Corporation Procede et appareil d'exposition
US6342705B1 (en) * 1999-09-10 2002-01-29 Chapman Instruments System for locating and measuring an index mark on an edge of a wafer
WO2001035168A1 (en) 1999-11-10 2001-05-17 Massachusetts Institute Of Technology Interference lithography utilizing phase-locked scanning beams
JP3099826B2 (ja) * 1999-12-09 2000-10-16 株式会社ニコン 露光装置、露光方法、及び素子製造方法
TWI240849B (en) * 2000-02-10 2005-10-01 Asml Netherlands Bv Object positioning method for a lithographic projection apparatus
JP2001313250A (ja) 2000-02-25 2001-11-09 Nikon Corp 露光装置、その調整方法、及び前記露光装置を用いるデバイス製造方法
TW546699B (en) 2000-02-25 2003-08-11 Nikon Corp Exposure apparatus and exposure method capable of controlling illumination distribution
JP2001257157A (ja) 2000-03-14 2001-09-21 Nikon Corp アライメント装置、アライメント方法、露光装置、及び露光方法
JP2001332490A (ja) * 2000-03-14 2001-11-30 Nikon Corp 位置合わせ方法、露光方法、露光装置、及びデバイス製造方法
JP2002014005A (ja) 2000-04-25 2002-01-18 Nikon Corp 空間像計測方法、結像特性計測方法、空間像計測装置及び露光装置
US20020041377A1 (en) 2000-04-25 2002-04-11 Nikon Corporation Aerial image measurement method and unit, optical properties measurement method and unit, adjustment method of projection optical system, exposure method and apparatus, making method of exposure apparatus, and device manufacturing method
JP2002134384A (ja) * 2000-10-20 2002-05-10 Nikon Corp 露光方法及び装置、並びにデバイス製造方法
JP2002184665A (ja) * 2000-12-13 2002-06-28 Nikon Corp アライメント装置及びアライメント方法、露光装置
US6788385B2 (en) * 2001-06-21 2004-09-07 Nikon Corporation Stage device, exposure apparatus and method
TW529172B (en) 2001-07-24 2003-04-21 Asml Netherlands Bv Imaging apparatus
US6728596B1 (en) * 2001-11-28 2004-04-27 Therma-Wave, Inc. Wafer prealigner with phase sensitive detection
EP1480258A4 (en) 2002-01-29 2005-11-09 Nikon Corp EXPOSURE DEVICE AND EXPOSURE METHOD
JP2004072076A (ja) * 2002-06-10 2004-03-04 Nikon Corp 露光装置及びステージ装置、並びにデバイス製造方法
KR20050035890A (ko) * 2002-08-23 2005-04-19 가부시키가이샤 니콘 투영 광학계, 포토리소그래피 방법, 노광 장치 및 그 이용방법
SG121822A1 (en) * 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
SG121819A1 (en) * 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
EP1420298B1 (en) 2002-11-12 2013-02-20 ASML Netherlands B.V. Lithographic apparatus
CN101470360B (zh) * 2002-11-12 2013-07-24 Asml荷兰有限公司 光刻装置和器件制造方法
EP1420299B1 (en) * 2002-11-12 2011-01-05 ASML Netherlands B.V. Immersion lithographic apparatus and device manufacturing method
DE60335595D1 (de) 2002-11-12 2011-02-17 Asml Netherlands Bv Lithographischer Apparat mit Immersion und Verfahren zur Herstellung einer Vorrichtung
JP4423559B2 (ja) 2002-12-03 2010-03-03 株式会社ニコン 汚染物質除去方法
JP4595320B2 (ja) * 2002-12-10 2010-12-08 株式会社ニコン 露光装置、及びデバイス製造方法
SG150388A1 (en) 2002-12-10 2009-03-30 Nikon Corp Exposure apparatus and method for producing device
US7242455B2 (en) * 2002-12-10 2007-07-10 Nikon Corporation Exposure apparatus and method for producing device
CN100429748C (zh) * 2002-12-10 2008-10-29 株式会社尼康 曝光装置和器件制造方法
WO2004059710A1 (ja) * 2002-12-24 2004-07-15 Nikon Corporation 収差計測方法、露光方法及び露光装置
WO2004066371A1 (ja) * 2003-01-23 2004-08-05 Nikon Corporation 露光装置
US6903338B2 (en) * 2003-01-30 2005-06-07 Kla-Tencor Technologies Corporation Method and apparatus for reducing substrate edge effects in electron lenses
US7008295B2 (en) * 2003-02-04 2006-03-07 Applied Materials Inc. Substrate monitoring during chemical mechanical polishing
JP4228137B2 (ja) * 2003-02-14 2009-02-25 株式会社ニコン 露光装置及びデバイス製造方法
US7372250B2 (en) * 2003-02-20 2008-05-13 Applied Materials, Inc. Methods and apparatus for determining a position of a substrate relative to a support stage
EP3301511A1 (en) * 2003-02-26 2018-04-04 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
JP2004260117A (ja) * 2003-02-27 2004-09-16 Nikon Corp ステージ装置、露光装置、及びデバイス製造方法
SG125108A1 (en) * 2003-03-11 2006-09-29 Asml Netherlands Bv Assembly comprising a sensor for determining at least one of tilt and height of a substrate, a method therefor and a lithographic projection apparatus
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
JP4362862B2 (ja) 2003-04-01 2009-11-11 株式会社ニコン ステージ装置及び露光装置
JP2004311897A (ja) * 2003-04-10 2004-11-04 Nikon Corp 露光方法及び装置、デバイス製造方法、並びにマスク
SG2012050829A (en) * 2003-04-10 2015-07-30 Nippon Kogaku Kk Environmental system including vacuum scavange for an immersion lithography apparatus
KR101697896B1 (ko) * 2003-04-11 2017-01-18 가부시키가이샤 니콘 액침 리소그래피 머신에서 웨이퍼 교환동안 투영 렌즈 아래의 갭에서 액침 액체를 유지하는 장치 및 방법
JP4315420B2 (ja) * 2003-04-18 2009-08-19 キヤノン株式会社 露光装置及び露光方法
KR101015778B1 (ko) * 2003-06-03 2011-02-22 도쿄엘렉트론가부시키가이샤 기판 처리장치 및 기판 수수 위치의 조정 방법
US7213963B2 (en) * 2003-06-09 2007-05-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP3862678B2 (ja) * 2003-06-27 2006-12-27 キヤノン株式会社 露光装置及びデバイス製造方法
JP4697138B2 (ja) 2003-07-08 2011-06-08 株式会社ニコン 液浸リソグラフィ装置、液浸リソグラフィ方法、デバイス製造方法
US6934661B2 (en) * 2003-12-16 2005-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer edge detector
US7589822B2 (en) * 2004-02-02 2009-09-15 Nikon Corporation Stage drive method and stage unit, exposure apparatus, and device manufacturing method
US20050221736A1 (en) * 2004-03-30 2005-10-06 Nikon Corporation Wafer polishing control system for chemical mechanical planarization machines
US7161664B2 (en) * 2004-04-13 2007-01-09 Electronic Scripting Products, Inc. Apparatus and method for optical determination of intermediate distances
JP2006073915A (ja) * 2004-09-06 2006-03-16 Nikon Corp マーク、搬送装置、露光装置、位置検出方法及び搬送方法並びにデバイス製造方法
WO2006041083A1 (ja) * 2004-10-13 2006-04-20 Nikon Corporation 露光装置、露光方法及びデバイス製造方法
US7119876B2 (en) * 2004-10-18 2006-10-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7583357B2 (en) * 2004-11-12 2009-09-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
TWI654661B (zh) * 2004-11-18 2019-03-21 日商尼康股份有限公司 位置測量方法、位置控制方法、測量方法、裝載方法、曝光方法及曝光裝置、及元件製造方法
US7352440B2 (en) * 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004053955A1 (ja) * 2002-12-10 2004-06-24 Nikon Corporation 露光装置及びデバイス製造方法
JP2004207696A (ja) * 2002-12-10 2004-07-22 Nikon Corp 露光装置及びデバイス製造方法
JP2004207710A (ja) * 2002-12-10 2004-07-22 Nikon Corp 露光装置及び露光方法、デバイス製造方法
JP2004259966A (ja) * 2003-02-26 2004-09-16 Nikon Corp 露光装置及びデバイス製造方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1821336A4 *

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014199933A (ja) * 2006-08-31 2014-10-23 株式会社ニコン 露光装置及び露光方法、並びにデバイス製造方法
JP2015179290A (ja) * 2006-08-31 2015-10-08 株式会社ニコン 露光方法及び露光装置、並びにデバイス製造方法
JP2016027414A (ja) * 2006-08-31 2016-02-18 株式会社ニコン 露光装置及び露光方法、並びにデバイス製造方法
JP2017010043A (ja) * 2006-08-31 2017-01-12 株式会社ニコン 露光装置及び露光方法、並びにデバイス製造方法
TWI594083B (zh) * 2006-08-31 2017-08-01 尼康股份有限公司 Exposure method and device, and device manufacturing method
JP2016136267A (ja) * 2006-09-01 2016-07-28 株式会社ニコン 露光装置及び露光方法、並びにデバイス製造方法
JP2017010042A (ja) * 2006-09-01 2017-01-12 株式会社ニコン 露光装置及び露光方法、並びにデバイス製造方法
JP2009115526A (ja) * 2007-11-05 2009-05-28 Daido Steel Co Ltd 被検査物の真円度測定方法
KR101525342B1 (ko) * 2007-12-28 2015-06-10 가부시키가이샤 니콘 노광 장치, 이동체 구동 시스템, 패턴 형성 장치 및 노광 방법, 그리고 디바이스 제조 방법
JP2013137315A (ja) * 2013-01-28 2013-07-11 Hitachi High-Technologies Corp 試料搭載装置

Also Published As

Publication number Publication date
KR101861949B1 (ko) 2018-07-02
HK1182460A1 (en) 2013-11-29
TW200633009A (en) 2006-09-16
SG2014009153A (en) 2014-05-29
TW201835972A (zh) 2018-10-01
EP2772804A1 (en) 2014-09-03
CN103149802A (zh) 2013-06-12
US8059260B2 (en) 2011-11-15
EP3346486A1 (en) 2018-07-11
JP6399321B2 (ja) 2018-10-03
JP2011155285A (ja) 2011-08-11
JP5721064B2 (ja) 2015-05-20
JP5392512B2 (ja) 2014-01-22
EP2772803A1 (en) 2014-09-03
US20130329200A1 (en) 2013-12-12
TW201338018A (zh) 2013-09-16
KR101578629B1 (ko) 2015-12-17
JP5403296B2 (ja) 2014-01-29
JP5900763B2 (ja) 2016-04-06
JP2012084927A (ja) 2012-04-26
EP1821336A4 (en) 2011-03-09
TW201837984A (zh) 2018-10-16
IL221688A (en) 2013-11-28
KR20150112038A (ko) 2015-10-06
US8072578B2 (en) 2011-12-06
IL221688A0 (en) 2012-10-31
JP5630345B2 (ja) 2014-11-26
JP2012094902A (ja) 2012-05-17
TWI536429B (zh) 2016-06-01
TWI654661B (zh) 2019-03-21
TWI588872B (zh) 2017-06-21
KR20070085211A (ko) 2007-08-27
JP6555554B2 (ja) 2019-08-07
JP4986187B2 (ja) 2012-07-25
CN104360582A (zh) 2015-02-18
HK1198210A1 (en) 2015-03-13
JP2014131082A (ja) 2014-07-10
JP2016075955A (ja) 2016-05-12
US9298108B2 (en) 2016-03-29
US9223230B2 (en) 2015-12-29
JP2012103269A (ja) 2012-05-31
JP4877653B2 (ja) 2012-02-15
KR20120065437A (ko) 2012-06-20
JP2015111682A (ja) 2015-06-18
CN103149803A (zh) 2013-06-12
TW201730928A (zh) 2017-09-01
US20070216893A1 (en) 2007-09-20
CN101057316B (zh) 2013-03-06
KR101452483B1 (ko) 2014-10-21
US9348238B2 (en) 2016-05-24
JP5967393B2 (ja) 2016-08-10
JP2016040624A (ja) 2016-03-24
JP6143135B2 (ja) 2017-06-07
IL221687A (en) 2013-11-28
KR20140098194A (ko) 2014-08-07
KR20130028798A (ko) 2013-03-19
US9857692B2 (en) 2018-01-02
JP2017142538A (ja) 2017-08-17
TWI553703B (zh) 2016-10-11
US20130329208A1 (en) 2013-12-12
TW201630047A (zh) 2016-08-16
SG157404A1 (en) 2009-12-29
JP2019066887A (ja) 2019-04-25
HK1182185A1 (zh) 2013-11-22
US20130329201A1 (en) 2013-12-12
KR101493641B1 (ko) 2015-02-13
JP5447545B2 (ja) 2014-03-19
HK1251949A1 (zh) 2019-05-03
US20060158632A1 (en) 2006-07-20
CN103186057A (zh) 2013-07-03
EP1821336B1 (en) 2019-04-17
KR20120065436A (ko) 2012-06-20
CN103149803B (zh) 2016-03-30
CN103186057B (zh) 2015-12-23
CN104360582B (zh) 2017-06-16
US20160018745A1 (en) 2016-01-21
KR20140018367A (ko) 2014-02-12
SG2014009179A (en) 2014-04-28
CN103149802B (zh) 2015-10-14
KR101421849B1 (ko) 2014-07-24
US20080151267A1 (en) 2008-06-26
TWI538013B (zh) 2016-06-11
US20100134779A1 (en) 2010-06-03
US20180081283A1 (en) 2018-03-22
HK1182459A1 (zh) 2013-11-29
US10222708B2 (en) 2019-03-05
US20080151214A1 (en) 2008-06-26
JP2010118684A (ja) 2010-05-27
TW201324585A (zh) 2013-06-16
KR101437298B1 (ko) 2014-09-02
US8576379B2 (en) 2013-11-05
US20160161861A1 (en) 2016-06-09
US9223231B2 (en) 2015-12-29
IL221687A0 (en) 2012-10-31
KR101670571B1 (ko) 2016-10-28
US20080151257A1 (en) 2008-06-26
EP1821336A1 (en) 2007-08-22
US8054465B2 (en) 2011-11-08
IL183280A0 (en) 2007-09-20
HK1198211A1 (en) 2015-03-13
KR101689100B1 (ko) 2017-01-02
SG10201505315UA (en) 2015-08-28
JP2018067014A (ja) 2018-04-26
TWI393170B (zh) 2013-04-11
JP6229766B2 (ja) 2017-11-15
JP2016173607A (ja) 2016-09-29
CN101057316A (zh) 2007-10-17
TWI649790B (zh) 2019-02-01
TW201334032A (zh) 2013-08-16
HK1206822A1 (en) 2016-01-15
KR20160148059A (ko) 2016-12-23
JPWO2006054682A1 (ja) 2008-06-05
KR101421850B1 (ko) 2014-07-24
KR20180054934A (ko) 2018-05-24
KR20150010775A (ko) 2015-01-28

Similar Documents

Publication Publication Date Title
JP6555554B2 (ja) 露光装置及び露光方法、並びに半導体デバイス製造方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KM KN KP KR KZ LC LK LR LS LT LU LV LY MA MD MG MK MN MW MX MZ NA NG NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU LV MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020077004097

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 200580038725.9

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 2006545156

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 183280

Country of ref document: IL

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2005807063

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2005807063

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 221688

Country of ref document: IL

Ref document number: 221687

Country of ref document: IL