WO2006060543A3 - Use of cl2 and/or hcl during silicon epitaxial film formation - Google Patents
Use of cl2 and/or hcl during silicon epitaxial film formation Download PDFInfo
- Publication number
- WO2006060543A3 WO2006060543A3 PCT/US2005/043420 US2005043420W WO2006060543A3 WO 2006060543 A3 WO2006060543 A3 WO 2006060543A3 US 2005043420 W US2005043420 W US 2005043420W WO 2006060543 A3 WO2006060543 A3 WO 2006060543A3
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- epitaxial film
- film formation
- silicon epitaxial
- during silicon
- substrate
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/02636—Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
- H01L21/02639—Preparation of substrate for selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/20—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02367—Substrates
- H01L21/0237—Materials
- H01L21/02373—Group 14 semiconducting materials
- H01L21/02381—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02436—Intermediate layers between substrates and deposited layers
- H01L21/02439—Materials
- H01L21/02441—Group 14 semiconducting materials
- H01L21/02447—Silicon carbide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02436—Intermediate layers between substrates and deposited layers
- H01L21/02439—Materials
- H01L21/02441—Group 14 semiconducting materials
- H01L21/0245—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02529—Silicon carbide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/0257—Doping during depositing
- H01L21/02573—Conductivity type
- H01L21/02576—N-type
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/0257—Doping during depositing
- H01L21/02573—Conductivity type
- H01L21/02579—P-type
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
Abstract
Priority Applications (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2007544499A JP4918043B2 (en) | 2004-12-01 | 2005-11-30 | Silicon epitaxial film forming method |
KR1020077013924A KR101181040B1 (en) | 2004-12-01 | 2005-11-30 | Use of cl2 and/or hcl during silicon epitaxial film formation |
CN2005800435106A CN101116173B (en) | 2004-12-01 | 2005-11-30 | Use of cl2 and/or hcl during silicon epitaxial film formation |
EP05852606A EP1829089A2 (en) | 2004-12-01 | 2005-11-30 | Use of cl2 and/or hcl during silicon epitaxial film formation |
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/001,774 US7312128B2 (en) | 2004-12-01 | 2004-12-01 | Selective epitaxy process with alternating gas supply |
US11/001,774 | 2004-12-01 | ||
US11/227,974 | 2005-09-14 | ||
US11/227,974 US7682940B2 (en) | 2004-12-01 | 2005-09-14 | Use of Cl2 and/or HCl during silicon epitaxial film formation |
Publications (2)
Publication Number | Publication Date |
---|---|
WO2006060543A2 WO2006060543A2 (en) | 2006-06-08 |
WO2006060543A3 true WO2006060543A3 (en) | 2006-08-31 |
Family
ID=36168375
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/US2005/043420 WO2006060543A2 (en) | 2004-12-01 | 2005-11-30 | Use of cl2 and/or hcl during silicon epitaxial film formation |
Country Status (7)
Country | Link |
---|---|
US (4) | US7682940B2 (en) |
EP (1) | EP1829089A2 (en) |
JP (1) | JP4918043B2 (en) |
KR (1) | KR101181040B1 (en) |
CN (1) | CN102176411B (en) |
TW (1) | TWI327749B (en) |
WO (1) | WO2006060543A2 (en) |
Families Citing this family (116)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101050377B1 (en) * | 2001-02-12 | 2011-07-20 | 에이에스엠 아메리카, 인코포레이티드 | Improved process for deposition of semiconductor films |
US7186630B2 (en) | 2002-08-14 | 2007-03-06 | Asm America, Inc. | Deposition of amorphous silicon-containing films |
US7166528B2 (en) * | 2003-10-10 | 2007-01-23 | Applied Materials, Inc. | Methods of selective deposition of heavily doped epitaxial SiGe |
US7253125B1 (en) | 2004-04-16 | 2007-08-07 | Novellus Systems, Inc. | Method to improve mechanical strength of low-k dielectric film using modulated UV exposure |
US9659769B1 (en) | 2004-10-22 | 2017-05-23 | Novellus Systems, Inc. | Tensile dielectric films using UV curing |
US7790633B1 (en) | 2004-10-26 | 2010-09-07 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US7682940B2 (en) * | 2004-12-01 | 2010-03-23 | Applied Materials, Inc. | Use of Cl2 and/or HCl during silicon epitaxial film formation |
US7510982B1 (en) | 2005-01-31 | 2009-03-31 | Novellus Systems, Inc. | Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles |
US7816236B2 (en) * | 2005-02-04 | 2010-10-19 | Asm America Inc. | Selective deposition of silicon-containing films |
US8137465B1 (en) | 2005-04-26 | 2012-03-20 | Novellus Systems, Inc. | Single-chamber sequential curing of semiconductor wafers |
US8282768B1 (en) | 2005-04-26 | 2012-10-09 | Novellus Systems, Inc. | Purging of porogen from UV cure chamber |
US8980769B1 (en) | 2005-04-26 | 2015-03-17 | Novellus Systems, Inc. | Multi-station sequential curing of dielectric films |
US8454750B1 (en) | 2005-04-26 | 2013-06-04 | Novellus Systems, Inc. | Multi-station sequential curing of dielectric films |
US8889233B1 (en) | 2005-04-26 | 2014-11-18 | Novellus Systems, Inc. | Method for reducing stress in porous dielectric films |
US20070048956A1 (en) * | 2005-08-30 | 2007-03-01 | Tokyo Electron Limited | Interrupted deposition process for selective deposition of Si-containing films |
CN1941296A (en) * | 2005-09-28 | 2007-04-04 | 中芯国际集成电路制造(上海)有限公司 | In-situ silicon-germanium doped and silicon carbide source leakage pole area for strain silicon CMOS transistor |
CN101283121B (en) * | 2005-10-05 | 2012-10-03 | 应用材料公司 | Methods and apparatus for epitaxial film formation |
KR20080089403A (en) * | 2005-12-22 | 2008-10-06 | 에이에스엠 아메리카, 인코포레이티드 | Epitaxial deposition of doped semiconductor materials |
US7579248B2 (en) * | 2006-02-13 | 2009-08-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Resolving pattern-loading issues of SiGe stressor |
WO2007112058A2 (en) * | 2006-03-24 | 2007-10-04 | Applied Materials, Inc. | Carbon precursors for use during silicon epitaxial firm formation |
US20070286956A1 (en) * | 2006-04-07 | 2007-12-13 | Applied Materials, Inc. | Cluster tool for epitaxial film formation |
US7674337B2 (en) * | 2006-04-07 | 2010-03-09 | Applied Materials, Inc. | Gas manifolds for use during epitaxial film formation |
US8278176B2 (en) | 2006-06-07 | 2012-10-02 | Asm America, Inc. | Selective epitaxial formation of semiconductor films |
US7588980B2 (en) * | 2006-07-31 | 2009-09-15 | Applied Materials, Inc. | Methods of controlling morphology during epitaxial layer formation |
DE112007001814T5 (en) | 2006-07-31 | 2009-06-04 | Applied Materials, Inc., Santa Clara | A method of forming carbonaceous silicon epitaxial layers |
US8105955B2 (en) * | 2006-08-15 | 2012-01-31 | Globalfoundries Singapore Pte. Ltd. | Integrated circuit system with carbon and non-carbon silicon |
US7554110B2 (en) * | 2006-09-15 | 2009-06-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | MOS devices with partial stressor channel |
US8465991B2 (en) | 2006-10-30 | 2013-06-18 | Novellus Systems, Inc. | Carbon containing low-k dielectric constant recovery using UV treatment |
US7851232B2 (en) * | 2006-10-30 | 2010-12-14 | Novellus Systems, Inc. | UV treatment for carbon-containing low-k dielectric repair in semiconductor processing |
US10037905B2 (en) | 2009-11-12 | 2018-07-31 | Novellus Systems, Inc. | UV and reducing treatment for K recovery and surface clean in semiconductor processing |
US7837790B2 (en) * | 2006-12-01 | 2010-11-23 | Applied Materials, Inc. | Formation and treatment of epitaxial layer containing silicon and carbon |
US20080132039A1 (en) * | 2006-12-01 | 2008-06-05 | Yonah Cho | Formation and treatment of epitaxial layer containing silicon and carbon |
US7741200B2 (en) * | 2006-12-01 | 2010-06-22 | Applied Materials, Inc. | Formation and treatment of epitaxial layer containing silicon and carbon |
US7906174B1 (en) | 2006-12-07 | 2011-03-15 | Novellus Systems, Inc. | PECVD methods for producing ultra low-k dielectric films using UV treatment |
US7960236B2 (en) * | 2006-12-12 | 2011-06-14 | Applied Materials, Inc. | Phosphorus containing Si epitaxial layers in N-type source/drain junctions |
US7897495B2 (en) * | 2006-12-12 | 2011-03-01 | Applied Materials, Inc. | Formation of epitaxial layer containing silicon and carbon |
US20080138955A1 (en) * | 2006-12-12 | 2008-06-12 | Zhiyuan Ye | Formation of epitaxial layer containing silicon |
US8394196B2 (en) * | 2006-12-12 | 2013-03-12 | Applied Materials, Inc. | Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon |
US20080153266A1 (en) * | 2006-12-21 | 2008-06-26 | Interuniversitair Microeletronica Centrum (Imec) Vzw | Method to improve the selective epitaxial growth (seg) process |
US20080173239A1 (en) * | 2007-01-24 | 2008-07-24 | Yuri Makarov | Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor |
US9064960B2 (en) * | 2007-01-31 | 2015-06-23 | Applied Materials, Inc. | Selective epitaxy process control |
US8242028B1 (en) | 2007-04-03 | 2012-08-14 | Novellus Systems, Inc. | UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement |
JP5380794B2 (en) * | 2007-06-22 | 2014-01-08 | 富士通セミコンダクター株式会社 | Method for manufacturing semiconductor device and method for forming semiconductor layer |
US20080314311A1 (en) * | 2007-06-24 | 2008-12-25 | Burrows Brian H | Hvpe showerhead design |
US7776679B2 (en) * | 2007-07-20 | 2010-08-17 | Stmicroelectronics Crolles 2 Sas | Method for forming silicon wells of different crystallographic orientations |
US7700452B2 (en) * | 2007-08-29 | 2010-04-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained channel transistor |
US8211510B1 (en) | 2007-08-31 | 2012-07-03 | Novellus Systems, Inc. | Cascaded cure approach to fabricate highly tensile silicon nitride films |
US7759199B2 (en) | 2007-09-19 | 2010-07-20 | Asm America, Inc. | Stressor for engineered strain on channel |
US20090149008A1 (en) * | 2007-10-05 | 2009-06-11 | Applied Materials, Inc. | Method for depositing group iii/v compounds |
US7776698B2 (en) | 2007-10-05 | 2010-08-17 | Applied Materials, Inc. | Selective formation of silicon carbon epitaxial layer |
US7772074B2 (en) * | 2007-10-18 | 2010-08-10 | Applied Materials, Inc. | Method of forming conformal silicon layer for recessed source-drain |
US7939447B2 (en) * | 2007-10-26 | 2011-05-10 | Asm America, Inc. | Inhibitors for selective deposition of silicon containing films |
US7772097B2 (en) * | 2007-11-05 | 2010-08-10 | Asm America, Inc. | Methods of selectively depositing silicon-containing films |
US7655543B2 (en) * | 2007-12-21 | 2010-02-02 | Asm America, Inc. | Separate injection of reactive species in selective formation of films |
JP4635062B2 (en) * | 2008-03-11 | 2011-02-16 | 株式会社東芝 | Manufacturing method of semiconductor device |
JP5235142B2 (en) * | 2009-01-21 | 2013-07-10 | 株式会社日立国際電気 | Semiconductor device manufacturing method and substrate processing apparatus |
EP2304074A1 (en) * | 2008-06-04 | 2011-04-06 | Dow Corning Corporation | Method of reducing memory effects in semiconductor epitaxy |
US7906817B1 (en) | 2008-06-06 | 2011-03-15 | Novellus Systems, Inc. | High compressive stress carbon liners for MOS devices |
CN102361011B (en) * | 2008-06-11 | 2016-06-22 | 美格纳半导体有限会社 | The method forming the grid of semiconductor device |
US9050623B1 (en) | 2008-09-12 | 2015-06-09 | Novellus Systems, Inc. | Progressive UV cure |
JP5273150B2 (en) * | 2008-09-26 | 2013-08-28 | 信越半導体株式会社 | Manufacturing method of silicon epitaxial wafer |
JP2010141079A (en) * | 2008-12-11 | 2010-06-24 | Hitachi Kokusai Electric Inc | Method of manufacturing semiconductor device |
US8486191B2 (en) * | 2009-04-07 | 2013-07-16 | Asm America, Inc. | Substrate reactor with adjustable injectors for mixing gases within reaction chamber |
US8183132B2 (en) * | 2009-04-10 | 2012-05-22 | Applied Materials, Inc. | Methods for fabricating group III nitride structures with a cluster tool |
US8568529B2 (en) * | 2009-04-10 | 2013-10-29 | Applied Materials, Inc. | HVPE chamber hardware |
WO2010124261A2 (en) * | 2009-04-24 | 2010-10-28 | Applied Materials, Inc. | Substrate pretreatment for subsequent high temperature group iii depositions |
US20100273291A1 (en) * | 2009-04-28 | 2010-10-28 | Applied Materials, Inc. | Decontamination of mocvd chamber using nh3 purge after in-situ cleaning |
JP2012525718A (en) * | 2009-04-29 | 2012-10-22 | アプライド マテリアルズ インコーポレイテッド | Method for forming an in situ pre-GaN deposition layer in HVPE |
US8211784B2 (en) * | 2009-10-26 | 2012-07-03 | Advanced Ion Beam Technology, Inc. | Method for manufacturing a semiconductor device with less leakage current induced by carbon implant |
US8367528B2 (en) | 2009-11-17 | 2013-02-05 | Asm America, Inc. | Cyclical epitaxial deposition and etch |
JP5610798B2 (en) * | 2010-03-12 | 2014-10-22 | キヤノン株式会社 | Manufacturing method of scintillator |
US20110256692A1 (en) | 2010-04-14 | 2011-10-20 | Applied Materials, Inc. | Multiple precursor concentric delivery showerhead |
US8076250B1 (en) * | 2010-10-06 | 2011-12-13 | Applied Materials, Inc. | PECVD oxide-nitride and oxide-silicon stacks for 3D memory application |
US8778767B2 (en) | 2010-11-18 | 2014-07-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuits and fabrication methods thereof |
WO2012102755A1 (en) * | 2011-01-28 | 2012-08-02 | Applied Materials, Inc. | Carbon addition for low resistivity in situ doped silicon epitaxy |
US10011920B2 (en) * | 2011-02-23 | 2018-07-03 | International Business Machines Corporation | Low-temperature selective epitaxial growth of silicon for device integration |
TWI534291B (en) | 2011-03-18 | 2016-05-21 | 應用材料股份有限公司 | Showerhead assembly |
US8809170B2 (en) | 2011-05-19 | 2014-08-19 | Asm America Inc. | High throughput cyclical epitaxial deposition and etch process |
US9537004B2 (en) | 2011-05-24 | 2017-01-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Source/drain formation and structure |
US9595438B2 (en) * | 2011-09-12 | 2017-03-14 | Nasp Iii/V Gmbh | Method for producing a III/V Si template |
JP2013089889A (en) * | 2011-10-21 | 2013-05-13 | Elpida Memory Inc | Semiconductor device and method for manufacturing the same |
KR20130081956A (en) * | 2012-01-10 | 2013-07-18 | 삼성전자주식회사 | Method for growing nitride semiconductor |
US9012310B2 (en) | 2012-06-11 | 2015-04-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Epitaxial formation of source and drain regions |
US9925569B2 (en) | 2012-09-25 | 2018-03-27 | Applied Materials, Inc. | Chamber cleaning with infrared absorption gas |
US9157730B2 (en) | 2012-10-26 | 2015-10-13 | Applied Materials, Inc. | PECVD process |
US9059212B2 (en) | 2012-10-31 | 2015-06-16 | International Business Machines Corporation | Back-end transistors with highly doped low-temperature contacts |
US8900958B2 (en) | 2012-12-19 | 2014-12-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Epitaxial formation mechanisms of source and drain regions |
US9252008B2 (en) | 2013-01-11 | 2016-02-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Epitaxial formation mechanisms of source and drain regions |
US8853039B2 (en) | 2013-01-17 | 2014-10-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Defect reduction for formation of epitaxial layer in source and drain regions |
US20140220756A1 (en) * | 2013-02-01 | 2014-08-07 | Globalfoundries Inc. | Methods of forming semiconductor devices by forming a semiconductor layer above source/drain regions prior to removing a gate cap layer |
JP5931780B2 (en) * | 2013-03-06 | 2016-06-08 | 東京エレクトロン株式会社 | Selective epitaxial growth method and film forming apparatus |
US9093468B2 (en) | 2013-03-13 | 2015-07-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Asymmetric cyclic depositon and etch process for epitaxial formation mechanisms of source and drain regions |
US9029226B2 (en) | 2013-03-13 | 2015-05-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices |
US8877592B2 (en) | 2013-03-14 | 2014-11-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Epitaxial growth of doped film for source and drain regions |
SG11201506367TA (en) | 2013-03-15 | 2015-09-29 | Applied Materials Inc | Apparatus and methods for pulsed photo-excited deposition and etch |
US9076652B2 (en) | 2013-05-27 | 2015-07-07 | United Microelectronics Corp. | Semiconductor process for modifying shape of recess |
US8853060B1 (en) | 2013-05-27 | 2014-10-07 | United Microelectronics Corp. | Epitaxial process |
US9293534B2 (en) | 2014-03-21 | 2016-03-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Formation of dislocations in source and drain regions of FinFET devices |
CN104867821B (en) * | 2014-02-25 | 2018-06-08 | 中芯国际集成电路制造(上海)有限公司 | The method for reducing the grain defect in germanium silicon source drain extensions technique |
US9299587B2 (en) | 2014-04-10 | 2016-03-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Microwave anneal (MWA) for defect recovery |
JP6479347B2 (en) * | 2014-06-06 | 2019-03-06 | ローム株式会社 | Device for manufacturing SiC epitaxial wafer, and method for manufacturing SiC epitaxial wafer |
JP6269854B2 (en) * | 2014-10-31 | 2018-01-31 | 富士電機株式会社 | Method for growing silicon carbide epitaxial film |
CN105609406B (en) * | 2014-11-19 | 2018-09-28 | 株式会社日立国际电气 | The manufacturing method of semiconductor devices, substrate processing device, gas supply system |
JP5892527B1 (en) * | 2015-01-06 | 2016-03-23 | 信越化学工業株式会社 | Method for producing FZ silicon single crystal for solar cell and method for producing solar cell |
WO2016164152A1 (en) * | 2015-04-10 | 2016-10-13 | Applied Materials, Inc. | Method to enhance growth rate for selective epitaxial growth |
JP6745166B2 (en) * | 2016-08-12 | 2020-08-26 | 株式会社アルバック | Deposition method |
US9847221B1 (en) | 2016-09-29 | 2017-12-19 | Lam Research Corporation | Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing |
KR101960763B1 (en) * | 2016-11-03 | 2019-03-21 | 주식회사 유진테크 | Method for manufacturing an epitaxial layer in low temperature |
US11011635B2 (en) | 2016-12-12 | 2021-05-18 | Applied Materials, Inc. | Method of forming conformal epitaxial semiconductor cladding material over a fin field effect transistor (FINFET) device |
TWI711716B (en) * | 2017-06-06 | 2020-12-01 | 美商應用材料股份有限公司 | Selective deposition of silicon using deposition-treat-etch process |
JP6971823B2 (en) * | 2017-12-13 | 2021-11-24 | 東京エレクトロン株式会社 | Etching method for silicon-containing film, computer storage medium, and etching device for silicon-containing film |
JP2019186351A (en) * | 2018-04-09 | 2019-10-24 | ルネサスエレクトロニクス株式会社 | Manufacturing method of semiconductor device |
US10566191B1 (en) | 2018-08-30 | 2020-02-18 | Atomera Incorporated | Semiconductor device including superlattice structures with reduced defect densities |
US10811498B2 (en) | 2018-08-30 | 2020-10-20 | Atomera Incorporated | Method for making superlattice structures with reduced defect densities |
US11031242B2 (en) * | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
CN112885709B (en) * | 2021-01-13 | 2024-03-22 | 中电化合物半导体有限公司 | Preparation method of silicon carbide epitaxial structure and semiconductor device |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20020022347A1 (en) * | 2000-08-11 | 2002-02-21 | Jung-Woo Park | Selective epitaxial growth method in semiconductor device |
US20030224566A1 (en) * | 2002-05-29 | 2003-12-04 | Clampitt Darwin A. | Biasable isolation regions using epitaxially grown silicon between the isolation regions |
WO2005071719A1 (en) * | 2004-01-09 | 2005-08-04 | Micron Technology, Inc. | Methods for deposition of semiconductor material |
Family Cites Families (170)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US648420A (en) * | 1900-02-14 | 1900-05-01 | Adolph Martin | Dyer's shell. |
US3675619A (en) | 1969-02-25 | 1972-07-11 | Monsanto Co | Apparatus for production of epitaxial films |
NL187942C (en) | 1980-08-18 | 1992-02-17 | Philips Nv | ZENERDIODE AND METHOD OF MANUFACTURE THEREOF |
US5294286A (en) | 1984-07-26 | 1994-03-15 | Research Development Corporation Of Japan | Process for forming a thin film of silicon |
US5693139A (en) | 1984-07-26 | 1997-12-02 | Research Development Corporation Of Japan | Growth of doped semiconductor monolayers |
JPH0639357B2 (en) | 1986-09-08 | 1994-05-25 | 新技術開発事業団 | Method for growing element semiconductor single crystal thin film |
US5607511A (en) | 1992-02-21 | 1997-03-04 | International Business Machines Corporation | Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers |
US5112439A (en) | 1988-11-30 | 1992-05-12 | Mcnc | Method for selectively depositing material on substrates |
JPH0824191B2 (en) | 1989-03-17 | 1996-03-06 | 富士通株式会社 | Thin film transistor |
EP0413982B1 (en) | 1989-07-27 | 1997-05-14 | Junichi Nishizawa | Impurity doping method with adsorbed diffusion source |
JP2880322B2 (en) | 1991-05-24 | 1999-04-05 | キヤノン株式会社 | Method of forming deposited film |
US5227330A (en) | 1991-10-31 | 1993-07-13 | International Business Machines Corporation | Comprehensive process for low temperature SI epit axial growth |
US5480818A (en) | 1992-02-10 | 1996-01-02 | Fujitsu Limited | Method for forming a film and method for manufacturing a thin film transistor |
JP2917694B2 (en) | 1992-04-02 | 1999-07-12 | 日本電気株式会社 | Compound semiconductor vapor deposition method and apparatus therefor |
JPH0750690B2 (en) | 1992-08-21 | 1995-05-31 | 日本電気株式会社 | Method and apparatus for epitaxial growth of semiconductor crystal using halide |
US5273930A (en) | 1992-09-03 | 1993-12-28 | Motorola, Inc. | Method of forming a non-selective silicon-germanium epitaxial film |
US5236545A (en) | 1992-10-05 | 1993-08-17 | The Board Of Governors Of Wayne State University | Method for heteroepitaxial diamond film development |
JP3255469B2 (en) | 1992-11-30 | 2002-02-12 | 三菱電機株式会社 | Laser thin film forming equipment |
JP3265042B2 (en) | 1993-03-18 | 2002-03-11 | 東京エレクトロン株式会社 | Film formation method |
JPH0729897A (en) | 1993-06-25 | 1995-01-31 | Nec Corp | Manufacture of semiconductor device |
US5372860A (en) | 1993-07-06 | 1994-12-13 | Corning Incorporated | Silicon device production |
JPH07109573A (en) | 1993-10-12 | 1995-04-25 | Semiconductor Energy Lab Co Ltd | Glass substrate and heat treatment |
US5796116A (en) | 1994-07-27 | 1998-08-18 | Sharp Kabushiki Kaisha | Thin-film semiconductor device including a semiconductor film with high field-effect mobility |
JPH0992621A (en) * | 1995-09-28 | 1997-04-04 | Oki Electric Ind Co Ltd | Method for selective growth of semiconductor thin film |
US6342277B1 (en) | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US5916365A (en) | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
US5807792A (en) | 1996-12-18 | 1998-09-15 | Siemens Aktiengesellschaft | Uniform distribution of reactants in a device layer |
US6335280B1 (en) | 1997-01-13 | 2002-01-01 | Asm America, Inc. | Tungsten silicide deposition process |
US6055927A (en) | 1997-01-14 | 2000-05-02 | Applied Komatsu Technology, Inc. | Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology |
JP2953567B2 (en) * | 1997-02-06 | 1999-09-27 | 日本電気株式会社 | Method for manufacturing semiconductor device |
US5849092A (en) | 1997-02-25 | 1998-12-15 | Applied Materials, Inc. | Process for chlorine trifluoride chamber cleaning |
TW417249B (en) | 1997-05-14 | 2001-01-01 | Applied Materials Inc | Reliability barrier integration for cu application |
US6118216A (en) | 1997-06-02 | 2000-09-12 | Osram Sylvania Inc. | Lead and arsenic free borosilicate glass and lamp containing same |
KR100385946B1 (en) | 1999-12-08 | 2003-06-02 | 삼성전자주식회사 | Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
KR100269306B1 (en) | 1997-07-31 | 2000-10-16 | 윤종용 | Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof |
KR100261017B1 (en) | 1997-08-19 | 2000-08-01 | 윤종용 | Method for forming metal wiring of semiconductor device |
US6019838A (en) | 1998-01-05 | 2000-02-01 | Memc Electronic Materials, Inc. | Crystal growing apparatus with melt-doping facility |
US6042654A (en) | 1998-01-13 | 2000-03-28 | Applied Materials, Inc. | Method of cleaning CVD cold-wall chamber and exhaust lines |
US6514880B2 (en) | 1998-02-05 | 2003-02-04 | Asm Japan K.K. | Siloxan polymer film on semiconductor substrate and method for forming same |
TW437017B (en) | 1998-02-05 | 2001-05-28 | Asm Japan Kk | Silicone polymer insulation film on semiconductor substrate and method for formation thereof |
US6383955B1 (en) | 1998-02-05 | 2002-05-07 | Asm Japan K.K. | Silicone polymer insulation film on semiconductor substrate and method for forming the film |
US6797558B2 (en) | 2001-04-24 | 2004-09-28 | Micron Technology, Inc. | Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer |
US6159852A (en) | 1998-02-13 | 2000-12-12 | Micron Technology, Inc. | Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor |
EP1060287B1 (en) | 1998-03-06 | 2005-01-26 | ASM America, Inc. | Method of depositing silicon with high step coverage |
US6019839A (en) | 1998-04-17 | 2000-02-01 | Applied Materials, Inc. | Method and apparatus for forming an epitaxial titanium silicide film by low pressure chemical vapor deposition |
JP4214585B2 (en) | 1998-04-24 | 2009-01-28 | 富士ゼロックス株式会社 | Semiconductor device, semiconductor device manufacturing method and manufacturing apparatus |
US6025627A (en) | 1998-05-29 | 2000-02-15 | Micron Technology, Inc. | Alternate method and structure for improved floating gate tunneling devices |
FR2779572B1 (en) | 1998-06-05 | 2003-10-17 | St Microelectronics Sa | LOW NOISE VERTICAL BIPOLAR TRANSISTOR AND MANUFACTURING METHOD THEREOF |
KR100275738B1 (en) | 1998-08-07 | 2000-12-15 | 윤종용 | Method for producing thin film using atomatic layer deposition |
JP4204671B2 (en) | 1998-09-11 | 2009-01-07 | 三菱電機株式会社 | Manufacturing method of semiconductor device |
KR100287180B1 (en) | 1998-09-17 | 2001-04-16 | 윤종용 | Method for manufacturing semiconductor device including metal interconnection formed using interface control layer |
KR100327328B1 (en) | 1998-10-13 | 2002-05-09 | 윤종용 | Method for forming dielectric layer of capacitor having partially different thickness in the layer |
US6305314B1 (en) | 1999-03-11 | 2001-10-23 | Genvs, Inc. | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
US6200893B1 (en) | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
JP4556329B2 (en) | 1999-04-20 | 2010-10-06 | ソニー株式会社 | Thin film forming equipment |
US20030232554A1 (en) | 1999-05-04 | 2003-12-18 | Blum Ronald D. | Multi-layer tacky and water-absorbing shoe-cleaning product |
US6124158A (en) | 1999-06-08 | 2000-09-26 | Lucent Technologies Inc. | Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants |
US6329088B1 (en) | 1999-06-24 | 2001-12-11 | Advanced Technology Materials, Inc. | Silicon carbide epitaxial layers grown on substrates offcut towards <1{overscore (1)}00> |
KR20010017820A (en) | 1999-08-14 | 2001-03-05 | 윤종용 | Semiconductor device and manufacturing method thereof |
US6391785B1 (en) | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
US6511539B1 (en) | 1999-09-08 | 2003-01-28 | Asm America, Inc. | Apparatus and method for growth of a thin film |
US6489241B1 (en) | 1999-09-17 | 2002-12-03 | Applied Materials, Inc. | Apparatus and method for surface finishing a silicon film |
DE10049257B4 (en) | 1999-10-06 | 2015-05-13 | Samsung Electronics Co., Ltd. | Process for thin film production by means of atomic layer deposition |
FI117942B (en) | 1999-10-14 | 2007-04-30 | Asm Int | Process for making oxide thin films |
TW468212B (en) | 1999-10-25 | 2001-12-11 | Motorola Inc | Method for fabricating a semiconductor structure including a metal oxide interface with silicon |
FR2801420B1 (en) | 1999-11-23 | 2002-04-12 | St Microelectronics Sa | LOW FREQUENCY LOW NOISE VERTICAL BIPOLAR TRANSISTOR AND HIGH CURRENT GAIN, AND MANUFACTURING METHOD THEREOF |
US6780704B1 (en) | 1999-12-03 | 2004-08-24 | Asm International Nv | Conformal thin films over textured capacitor electrodes |
FI118804B (en) | 1999-12-03 | 2008-03-31 | Asm Int | Process for making oxide films |
US6291319B1 (en) | 1999-12-17 | 2001-09-18 | Motorola, Inc. | Method for fabricating a semiconductor structure having a stable crystalline interface with silicon |
US6348420B1 (en) | 1999-12-23 | 2002-02-19 | Asm America, Inc. | Situ dielectric stacks |
EP1123991A3 (en) | 2000-02-08 | 2002-11-13 | Asm Japan K.K. | Low dielectric constant materials and processes |
US6492283B2 (en) | 2000-02-22 | 2002-12-10 | Asm Microchemistry Oy | Method of forming ultrathin oxide layer |
AU2001245388A1 (en) | 2000-03-07 | 2001-09-17 | Asm America, Inc. | Graded thin films |
KR100363088B1 (en) | 2000-04-20 | 2002-12-02 | 삼성전자 주식회사 | Method of manufacturing barrier metal layer using atomic layer deposition method |
US6458718B1 (en) | 2000-04-28 | 2002-10-01 | Asm Japan K.K. | Fluorine-containing materials and processes |
US6630413B2 (en) | 2000-04-28 | 2003-10-07 | Asm Japan K.K. | CVD syntheses of silicon nitride materials |
EP2293322A1 (en) | 2000-06-08 | 2011-03-09 | Genitech, Inc. | Method for forming a metal nitride layer |
US6461909B1 (en) | 2000-08-30 | 2002-10-08 | Micron Technology, Inc. | Process for fabricating RuSixOy-containing adhesion layers |
US20020163013A1 (en) | 2000-09-11 | 2002-11-07 | Kenji Toyoda | Heterojunction bipolar transistor |
US6969539B2 (en) | 2000-09-28 | 2005-11-29 | President And Fellows Of Harvard College | Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide |
KR100378186B1 (en) | 2000-10-19 | 2003-03-29 | 삼성전자주식회사 | Semiconductor device adopting thin film formed by atomic layer deposition and fabrication method thereof |
US6613695B2 (en) | 2000-11-24 | 2003-09-02 | Asm America, Inc. | Surface preparation prior to deposition |
AU2002225761A1 (en) | 2000-11-30 | 2002-06-11 | Asm America, Inc. | Thin films for magnetic devices |
KR100385947B1 (en) | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | Method of forming thin film by atomic layer deposition |
KR20020049875A (en) | 2000-12-20 | 2002-06-26 | 윤종용 | Ferroelectric capacitor in semiconductor memory device and method for manufacturing the same |
JP2002198525A (en) | 2000-12-27 | 2002-07-12 | Toshiba Corp | Semiconductor device and its manufacturing method |
KR100393208B1 (en) | 2001-01-15 | 2003-07-31 | 삼성전자주식회사 | Semiconductor device using doped polycrystalline silicon-germanium layer and method for manufacturing the same |
US6426265B1 (en) | 2001-01-30 | 2002-07-30 | International Business Machines Corporation | Incorporation of carbon in silicon/silicon germanium epitaxial layer to enhance yield for Si-Ge bipolar technology |
US6528374B2 (en) | 2001-02-05 | 2003-03-04 | International Business Machines Corporation | Method for forming dielectric stack without interfacial layer |
KR101050377B1 (en) | 2001-02-12 | 2011-07-20 | 에이에스엠 아메리카, 인코포레이티드 | Improved process for deposition of semiconductor films |
US7026219B2 (en) | 2001-02-12 | 2006-04-11 | Asm America, Inc. | Integration of high k gate dielectric |
US20020117399A1 (en) | 2001-02-23 | 2002-08-29 | Applied Materials, Inc. | Atomically thin highly resistive barrier layer in a copper via |
JP3547419B2 (en) | 2001-03-13 | 2004-07-28 | 株式会社東芝 | Semiconductor device and manufacturing method thereof |
US6812101B2 (en) | 2001-04-02 | 2004-11-02 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device and method for manufacture thereof |
US6576535B2 (en) | 2001-04-11 | 2003-06-10 | Texas Instruments Incorporated | Carbon doped epitaxial layer for high speed CB-CMOS |
JP2002343790A (en) | 2001-05-21 | 2002-11-29 | Nec Corp | Vapor-phase deposition method of metallic compound thin film and method for manufacturing semiconductor device |
TWI307912B (en) | 2001-05-30 | 2009-03-21 | Asm Inc | Low temperature load and bake |
US6828218B2 (en) | 2001-05-31 | 2004-12-07 | Samsung Electronics Co., Ltd. | Method of forming a thin film using atomic layer deposition |
KR100430404B1 (en) * | 2001-06-02 | 2004-05-04 | 삼성전자주식회사 | Method Of Forming Singlecrystalline Silicon Pattern Utilizing Structural Selective Epitaxial Growth Technique and Selective Silicon Etching Technique |
US6391803B1 (en) | 2001-06-20 | 2002-05-21 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane |
US6861334B2 (en) | 2001-06-21 | 2005-03-01 | Asm International, N.V. | Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition |
US6709989B2 (en) | 2001-06-21 | 2004-03-23 | Motorola, Inc. | Method for fabricating a semiconductor structure including a metal oxide interface with silicon |
US20030198754A1 (en) | 2001-07-16 | 2003-10-23 | Ming Xi | Aluminum oxide chamber and process |
US20030066486A1 (en) | 2001-08-30 | 2003-04-10 | Applied Materials, Inc. | Microwave heat shield for plasma chamber |
US6806145B2 (en) | 2001-08-31 | 2004-10-19 | Asm International, N.V. | Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer |
US6960537B2 (en) | 2001-10-02 | 2005-11-01 | Asm America, Inc. | Incorporation of nitrogen into high k dielectric film |
US20030072884A1 (en) | 2001-10-15 | 2003-04-17 | Applied Materials, Inc. | Method of titanium and titanium nitride layer deposition |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
KR20030035152A (en) | 2001-10-30 | 2003-05-09 | 주식회사 하이닉스반도체 | Method for fabricating semiconductor wafer |
US6743681B2 (en) | 2001-11-09 | 2004-06-01 | Micron Technology, Inc. | Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride |
US6590344B2 (en) | 2001-11-20 | 2003-07-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selectively controllable gas feed zones for a plasma reactor |
US6551893B1 (en) | 2001-11-27 | 2003-04-22 | Micron Technology, Inc. | Atomic layer deposition of capacitor dielectric |
US6773507B2 (en) | 2001-12-06 | 2004-08-10 | Applied Materials, Inc. | Apparatus and method for fast-cycle atomic layer deposition |
US7081271B2 (en) | 2001-12-07 | 2006-07-25 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
US6696332B2 (en) | 2001-12-26 | 2004-02-24 | Texas Instruments Incorporated | Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing |
US6790755B2 (en) | 2001-12-27 | 2004-09-14 | Advanced Micro Devices, Inc. | Preparation of stack high-K gate dielectrics with nitrided layer |
US6620670B2 (en) | 2002-01-18 | 2003-09-16 | Applied Materials, Inc. | Process conditions and precursors for atomic layer deposition (ALD) of AL2O3 |
WO2003065424A2 (en) | 2002-01-25 | 2003-08-07 | Applied Materials, Inc. | Apparatus for cyclical deposition of thin films |
US6911391B2 (en) | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
JP3914064B2 (en) | 2002-02-28 | 2007-05-16 | 富士通株式会社 | Method and apparatus for growing mixed crystal film |
US20030216981A1 (en) | 2002-03-12 | 2003-11-20 | Michael Tillman | Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors |
US6825134B2 (en) | 2002-03-26 | 2004-11-30 | Applied Materials, Inc. | Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow |
JP3937892B2 (en) | 2002-04-01 | 2007-06-27 | 日本電気株式会社 | Thin film forming method and semiconductor device manufacturing method |
US7439191B2 (en) | 2002-04-05 | 2008-10-21 | Applied Materials, Inc. | Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications |
US6846516B2 (en) | 2002-04-08 | 2005-01-25 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
US6720027B2 (en) | 2002-04-08 | 2004-04-13 | Applied Materials, Inc. | Cyclical deposition of a variable content titanium silicon nitride layer |
US6869838B2 (en) | 2002-04-09 | 2005-03-22 | Applied Materials, Inc. | Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications |
US20030235961A1 (en) | 2002-04-17 | 2003-12-25 | Applied Materials, Inc. | Cyclical sequential deposition of multicomponent films |
US20030213560A1 (en) | 2002-05-16 | 2003-11-20 | Yaxin Wang | Tandem wafer processing system and process |
US20030215570A1 (en) | 2002-05-16 | 2003-11-20 | Applied Materials, Inc. | Deposition of silicon nitride |
US6723658B2 (en) | 2002-07-15 | 2004-04-20 | Texas Instruments Incorporated | Gate structure and method |
US7105891B2 (en) | 2002-07-15 | 2006-09-12 | Texas Instruments Incorporated | Gate structure and method |
US7449385B2 (en) | 2002-07-26 | 2008-11-11 | Texas Instruments Incorporated | Gate dielectric and method |
US6919251B2 (en) | 2002-07-31 | 2005-07-19 | Texas Instruments Incorporated | Gate dielectric and method |
US7186630B2 (en) | 2002-08-14 | 2007-03-06 | Asm America, Inc. | Deposition of amorphous silicon-containing films |
KR100542736B1 (en) | 2002-08-17 | 2006-01-11 | 삼성전자주식회사 | Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same |
US7199023B2 (en) | 2002-08-28 | 2007-04-03 | Micron Technology, Inc. | Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed |
US6759286B2 (en) | 2002-09-16 | 2004-07-06 | Ajay Kumar | Method of fabricating a gate structure of a field effect transistor using a hard mask |
US6998305B2 (en) | 2003-01-24 | 2006-02-14 | Asm America, Inc. | Enhanced selectivity for epitaxial deposition |
US7098141B1 (en) * | 2003-03-03 | 2006-08-29 | Lam Research Corporation | Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures |
JP3872027B2 (en) | 2003-03-07 | 2007-01-24 | 株式会社東芝 | Cleaning method and semiconductor manufacturing apparatus |
US20040226911A1 (en) | 2003-04-24 | 2004-11-18 | David Dutton | Low-temperature etching environment |
CN100454200C (en) | 2003-06-09 | 2009-01-21 | 喜开理株式会社 | Relative pressure control system and relative flow control system |
US6982433B2 (en) | 2003-06-12 | 2006-01-03 | Intel Corporation | Gate-induced strain for MOS performance improvement |
EP1519420A2 (en) | 2003-09-25 | 2005-03-30 | Interuniversitaire Microelectronica Centrum vzw ( IMEC) | Multiple gate semiconductor device and method for forming same |
JP2005167064A (en) | 2003-12-04 | 2005-06-23 | Sharp Corp | Nonvolatile semiconductor storage device |
US7045432B2 (en) | 2004-02-04 | 2006-05-16 | Freescale Semiconductor, Inc. | Method for forming a semiconductor device with local semiconductor-on-insulator (SOI) |
US7071117B2 (en) | 2004-02-27 | 2006-07-04 | Micron Technology, Inc. | Semiconductor devices and methods for depositing a dielectric film |
US7230274B2 (en) * | 2004-03-01 | 2007-06-12 | Cree, Inc | Reduction of carrot defects in silicon carbide epitaxy |
KR100532509B1 (en) | 2004-03-26 | 2005-11-30 | 삼성전자주식회사 | Trench capacitor using SiGe layer and method of fabricating the same |
US20050241671A1 (en) | 2004-04-29 | 2005-11-03 | Dong Chun C | Method for removing a substance from a substrate using electron attachment |
KR100625175B1 (en) | 2004-05-25 | 2006-09-20 | 삼성전자주식회사 | Semiconductor device having a channel layer and method of manufacturing the same |
US7579280B2 (en) | 2004-06-01 | 2009-08-25 | Intel Corporation | Method of patterning a film |
US7396743B2 (en) * | 2004-06-10 | 2008-07-08 | Singh Kaushal K | Low temperature epitaxial growth of silicon-containing films using UV radiation |
US7361563B2 (en) | 2004-06-17 | 2008-04-22 | Samsung Electronics Co., Ltd. | Methods of fabricating a semiconductor device using a selective epitaxial growth technique |
KR100607409B1 (en) | 2004-08-23 | 2006-08-02 | 삼성전자주식회사 | Method for etching substrate and method for menufacturing semiconductor device using the same |
US7560322B2 (en) | 2004-10-27 | 2009-07-14 | Northrop Grumman Systems Corporation | Method of making a semiconductor structure for high power semiconductor devices |
US7560352B2 (en) | 2004-12-01 | 2009-07-14 | Applied Materials, Inc. | Selective deposition |
US7312128B2 (en) | 2004-12-01 | 2007-12-25 | Applied Materials, Inc. | Selective epitaxy process with alternating gas supply |
US7682940B2 (en) | 2004-12-01 | 2010-03-23 | Applied Materials, Inc. | Use of Cl2 and/or HCl during silicon epitaxial film formation |
US7235492B2 (en) | 2005-01-31 | 2007-06-26 | Applied Materials, Inc. | Low temperature etchant for treatment of silicon-containing surfaces |
US7816236B2 (en) | 2005-02-04 | 2010-10-19 | Asm America Inc. | Selective deposition of silicon-containing films |
JP4832022B2 (en) * | 2005-07-29 | 2011-12-07 | 株式会社日立国際電気 | Substrate processing equipment |
CN101283121B (en) | 2005-10-05 | 2012-10-03 | 应用材料公司 | Methods and apparatus for epitaxial film formation |
WO2007112058A2 (en) | 2006-03-24 | 2007-10-04 | Applied Materials, Inc. | Carbon precursors for use during silicon epitaxial firm formation |
US7674337B2 (en) | 2006-04-07 | 2010-03-09 | Applied Materials, Inc. | Gas manifolds for use during epitaxial film formation |
US20070286956A1 (en) | 2006-04-07 | 2007-12-13 | Applied Materials, Inc. | Cluster tool for epitaxial film formation |
US7588980B2 (en) | 2006-07-31 | 2009-09-15 | Applied Materials, Inc. | Methods of controlling morphology during epitaxial layer formation |
DE112007001814T5 (en) | 2006-07-31 | 2009-06-04 | Applied Materials, Inc., Santa Clara | A method of forming carbonaceous silicon epitaxial layers |
-
2005
- 2005-09-14 US US11/227,974 patent/US7682940B2/en active Active
- 2005-11-30 WO PCT/US2005/043420 patent/WO2006060543A2/en active Application Filing
- 2005-11-30 KR KR1020077013924A patent/KR101181040B1/en active IP Right Grant
- 2005-11-30 JP JP2007544499A patent/JP4918043B2/en not_active Expired - Fee Related
- 2005-11-30 EP EP05852606A patent/EP1829089A2/en not_active Withdrawn
- 2005-11-30 CN CN2011100794676A patent/CN102176411B/en active Active
- 2005-12-01 TW TW094142363A patent/TWI327749B/en active
-
2006
- 2006-07-28 US US11/494,903 patent/US7732305B2/en active Active
-
2010
- 2010-05-12 US US12/779,022 patent/US7960256B2/en active Active
-
2011
- 2011-05-31 US US13/149,865 patent/US8586456B2/en active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20020022347A1 (en) * | 2000-08-11 | 2002-02-21 | Jung-Woo Park | Selective epitaxial growth method in semiconductor device |
US20030224566A1 (en) * | 2002-05-29 | 2003-12-04 | Clampitt Darwin A. | Biasable isolation regions using epitaxially grown silicon between the isolation regions |
WO2005071719A1 (en) * | 2004-01-09 | 2005-08-04 | Micron Technology, Inc. | Methods for deposition of semiconductor material |
Non-Patent Citations (1)
Title |
---|
MARUNO S ET AL: "SELECTIVE EPITAXIAL GROWTH BY ULTRAHIGH-VACUUM CHEMICAL VAPOR DEPOSITION WITH ALTERNATING GAS SUPPLY OF SI2H6 AND CI2", JAPANESE JOURNAL OF APPLIED PHYSICS, JAPAN SOCIETY OF APPLIED PHYSICS, TOKYO, JP, vol. 39, no. 11, PART 1, November 2000 (2000-11-01), pages 6139 - 6142, XP001030245, ISSN: 0021-4922 * |
Also Published As
Publication number | Publication date |
---|---|
CN102176411B (en) | 2013-02-13 |
TWI327749B (en) | 2010-07-21 |
US20110230036A1 (en) | 2011-09-22 |
US8586456B2 (en) | 2013-11-19 |
WO2006060543A2 (en) | 2006-06-08 |
US20100221902A1 (en) | 2010-09-02 |
US7960256B2 (en) | 2011-06-14 |
US7732305B2 (en) | 2010-06-08 |
KR20070086438A (en) | 2007-08-27 |
US7682940B2 (en) | 2010-03-23 |
TW200629377A (en) | 2006-08-16 |
EP1829089A2 (en) | 2007-09-05 |
JP2008522442A (en) | 2008-06-26 |
US20060260538A1 (en) | 2006-11-23 |
CN102176411A (en) | 2011-09-07 |
JP4918043B2 (en) | 2012-04-18 |
KR101181040B1 (en) | 2012-09-07 |
US20060115933A1 (en) | 2006-06-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
WO2006060543A3 (en) | Use of cl2 and/or hcl during silicon epitaxial film formation | |
TWI319210B (en) | Semiconductor device structures and methods of forming semiconductor structures | |
WO2009075244A1 (en) | Method for manufacturing solar cell | |
TWI265550B (en) | Fabrication method, manufacturing method for semiconductor device, and fabrication device | |
WO2004090201A3 (en) | Method for the production of monocrystalline crystals | |
TW200621076A (en) | Organic electroluminescence device and method of production of same | |
TW200501199A (en) | Methods of forming semiconductor mesa structures including self-aligned contact layers and related devices | |
TW200620443A (en) | Film for protecting semiconductor wafer surface and protection method of semiconductor wafer by using the same | |
TW200603261A (en) | Method of forming a recessed structure employing a reverse tone process | |
AU2003279751A8 (en) | Method of fabricating semiconductor by nitrogen doping of silicon film | |
MY147106A (en) | Method for manufacturing epitaxial wafer | |
WO2005071455A3 (en) | Silicon optical device | |
SG144121A1 (en) | Nitride semiconductor substrate and manufacturing method thereof | |
EP1063686A3 (en) | Method of silicide formation in a semiconductor device | |
ATE515794T1 (en) | METHOD FOR PRODUCING A GEOI WAFER (GERMANIUM ON INSULATOR) | |
AU2003270040A8 (en) | Fabrication method for a monocrystalline semiconductor layer on a substrate | |
TW200701335A (en) | Nitride semiconductor device and manufacturing mathod thereof | |
TW200605379A (en) | Photoelectric conversion device, image sensor, and method for manufacturing photoelectric conversion device | |
TW200611323A (en) | Method and system for etching a film stack | |
TW200713420A (en) | Method of fabricating shallow trench isolation structure | |
WO2006081427A3 (en) | Apparatus having a photonic crystal | |
AU2003247130A1 (en) | Method of transferring of a layer of strained semiconductor material | |
TW200746456A (en) | Nitride-based semiconductor device and production method thereof | |
TW200633006A (en) | Methods for forming isolation films | |
TW200623948A (en) | Manufacturing method for organic electronic device |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AK | Designated states |
Kind code of ref document: A2 Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KM KN KP KR KZ LC LK LR LS LT LU LV LY MA MD MG MK MN MW MX MZ NA NG NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW |
|
AL | Designated countries for regional patents |
Kind code of ref document: A2 Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU LV MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG |
|
121 | Ep: the epo has been informed by wipo that ep was designated in this application | ||
WWE | Wipo information: entry into national phase |
Ref document number: 2007544499 Country of ref document: JP |
|
NENP | Non-entry into the national phase |
Ref country code: DE |
|
WWE | Wipo information: entry into national phase |
Ref document number: 200580043510.6 Country of ref document: CN |
|
WWE | Wipo information: entry into national phase |
Ref document number: 1020077013924 Country of ref document: KR |
|
WWE | Wipo information: entry into national phase |
Ref document number: 2005852606 Country of ref document: EP |
|
WWP | Wipo information: published in national office |
Ref document number: 2005852606 Country of ref document: EP |