WO2006104817A2 - Method for reducing dielectric overetch when making contact to conductive features - Google Patents

Method for reducing dielectric overetch when making contact to conductive features Download PDF

Info

Publication number
WO2006104817A2
WO2006104817A2 PCT/US2006/010520 US2006010520W WO2006104817A2 WO 2006104817 A2 WO2006104817 A2 WO 2006104817A2 US 2006010520 W US2006010520 W US 2006010520W WO 2006104817 A2 WO2006104817 A2 WO 2006104817A2
Authority
WO
WIPO (PCT)
Prior art keywords
conductive
dielectric
dielectric material
semiconductor
features
Prior art date
Application number
PCT/US2006/010520
Other languages
French (fr)
Other versions
WO2006104817A3 (en
Inventor
Christopher J. Petti
Original Assignee
Sandisk 3D, Llc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sandisk 3D, Llc filed Critical Sandisk 3D, Llc
Priority to CN2006800155858A priority Critical patent/CN101189714B/en
Priority to EP06739347A priority patent/EP1861874A2/en
Priority to JP2008503170A priority patent/JP2008536300A/en
Publication of WO2006104817A2 publication Critical patent/WO2006104817A2/en
Publication of WO2006104817A3 publication Critical patent/WO2006104817A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/102Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5252Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising anti-fuses, i.e. connections having their state changed from non-conductive to conductive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the invention relates to a method for reducing dielectric overetch when making contact to conductive features.
  • the method makes use of etch selectivities between different dielectric materials.
  • the etch is ideally aligned with the buried conductive feature.
  • the etchant is generally selective between the dielectric material being etched and the material of the conductive feature, and thus will stop when the conductive feature is reached. If the etch is misaligned, some portion of the etched region may not fall on the conductive feature, instead continuing past the conductive feature into fill dielectric, and excessive overetch may occur in this misaligned region. This overetch may reach a conductive feature on another level, causing an undesired electrical short when the via is filled. To avoid excessive overetch due to misalignment, it is usual to widen the conductive feature in the region where the contact is to be made, forming a wider area, sometimes called a landing pad.
  • the present invention is defined by the following claims, and nothing in this section should be taken as a limitation on those claims.
  • the invention is directed to a method to prevent excessive dielectric overetch when forming contact to conductive features.
  • a first aspect of the invention provides for a method for reducing dielectric overetch, the method comprising: depositing a layer or stack of conductive or semiconductor material; patterning and etching the layer or stack of conductive or semiconductor material to form a plurality of conductive or semiconductor features; depositing a layer of a first dielectric material directly on a substantially planar surface; depositing a second dielectric material above the conductive or semiconductor features, wherein the first dielectric layer is above or below the conductive or semiconductor features; etching a void in the second dielectric material wherein the etch is selective between the first and the second dielectric material and the etch stops on the first material; and exposing a portion of the conductive or semiconductor features.
  • Another aspect of the invention provides for a method for reducing dielectric overetch, the method comprising: forming a layer of a first dielectric material; forming conductive or semiconductor features above and in contact with the first dielectric material; depositing a second dielectric material above and contacting the conductive or semiconductor features; etching a void in the second dielectric material, wherein the etch is selective between the first and second dielectric material, and the etch stops on the first dielectric material; and exposing a portion of the conductive or semiconductor features.
  • a preferred embodiment provides for a method for reducing dielectric overetch, the method comprising: depositing a layer or stack of conductive or semiconductor material; patterning and etching the layer or stack of conductive or semiconductor material to form conductive or semiconductor features; depositing first dielectric fill over and between the conductive or semiconductor features; planarizing to coexpose the first dielectric fill and the conductive or semiconductor features, forming a substantially planar surface; depositing a dielectric etch stop layer directly on the planar surface; depositing second dielectric material on the dielectric etch stop layer; etching a void in the second dielectric material, wherein the etch is selective between the second dielectric material and the dielectric etch stop layer, wherein the etch stops on the dielectric etch stop layer; and etching a portion of the dielectric etch stop layer to expose portions of the conductive or semiconductor features.
  • Another aspect of the invention provides for a method for reducing dielectric overetch, the method comprising: depositing a layer or stack of conductive or semiconductor material above a substrate; patterning and etching the conductive or semiconductor material to form a plurality of conductive or semiconductor features separated by gaps; filling the gaps with a first dielectric fill; depositing a second dielectric material directly on the first dielectric fill; depositing a third dielectric material above the conductive or semiconductor features; etching a void in the third dielectric material, wherein the etch is selective between the third dielectric material and the second dielectric material and the etch stops on the second dielectric material; and exposing a portion of the conductive or semiconductor feature.
  • Another preferred embodiment of the invention provides for a method for forming a via connecting device levels in a monolithic three dimensional array, the method comprising: forming first conductive features in a first device level above a substrate; forming a first dielectric etch stop layer in contact with the first conductive features; depositing a second dielectric material above the first conductive features; etching a void in the second dielectric material, wherein the etch is selective between the first dielectric material and the second dielectric material, wherein the etch stops on the first dielectric material; exposing a portion of the first conductive features; forming the via within the void, the via making electrical connection to one of the first conductive features; and monolithically forming at least a second device level above the first device level.
  • Yet another aspect of the invention provides for a method for forming a via connecting device levels in a monolithic three dimensional array, the method comprising: forming first conductive features at a first height above a substrate; forming a first dielectric etch stop layer in contact with the first conductive features; depositing a second dielectric material above the first conductive features; etching a void in the second dielectric material, wherein the etch is selective between the first dielectric material and the second dielectric material, wherein the etch stops on the first dielectric material; exposing a portion of the first conductive features; forming the via within the void, the via making electrical connection to one of the first conductive features; monolithically forming a first device level at a second height above the first height; and monolithically forming a second device level above the first device level.
  • Fig. Ia-Ic are cross-sectional views illustrating how undesirable dielectric overetch may occur when a contact etch is misaligned.
  • Fig. 2a is a plan view illustrating prevention of dielectric overetch by use of a widened "landing pad”.
  • Fig. 2b is a cross-sectional view of such a landing pad.
  • Fig. 3a is a cross-sectional view of the use of dielectric spacers to prevent dielectric overetch.
  • Figs. 3b and 3c are cross-sectional views illustrating formation of dielectric spacers.
  • Figs.4a-4c are cross-sectional views illustrating use of a dielectric etch stop layer to reduce dielectric overetch according to a preferred embodiment of the present invention.
  • Fig. 4d is a cross-sectional view of an alternate embodiment of the present invention.
  • Figs. 5a-5c are cross-sectional views illustrating use of a dielectric etch stop layer to reduce dielectric overetch according to another preferred embodiment of the present invention.
  • Figs. 6a-6d are cross-sectional views illustrating formation of a portion of a first memory level in a monolithic three dimensional memory array wherein a dielectric etch stop layer is used according to the methods of the present invention to reduce dielectric overetch.
  • Figs. 7a and 7b are cross-sectional views illustrating diode configurations that may be used in a memory cell in a monolithic three dimensional memory array.
  • Fig. 8 is a cross-sectional view illustrating electrical connections formed between conductors in a monolithic three dimensional memory array in which the methods of the present invention are used to prevent overetch.
  • Fig. 9 is a cross-sectional view illustrating electrical connections formed between conductors in a monolithic three dimensional memory array in which another embodiment of the present invention is used to prevent overetch.
  • Fig. Ia suppose, for example, that a via is to be formed making electrical connection to metal conductor 12.
  • Metal conductor 12 is formed on a dielectric 10, then covered with dielectric 14.
  • Conductor 8 is formed at a lower level.
  • Silicon dioxide is a frequently used high-quality dielectric. In this example, suppose dielectrics 10 and 14 are both silicon dioxide.
  • the width W of metal conductor 12 may be the feature size, the minimum size of a patterned feature or gap that can be formed in a semiconductor device.
  • the feature size is limited by photolithographic and other constraints. It is generally desirable to maximize density in semiconductor devices.
  • Fig. Ib shows early stages of an etch step in which a void 16 is etched in dielectric 14.
  • the width of void 16 is also W, the feature size. It will be seen that void 16 and metal conductor 12 are slightly misaligned.
  • the etch reaches metal conductor 12.
  • the etchant is chosen to be selective, such that the etch stops at metal conductor 12, and metal conductor 12 itself is etched minimally or not at all. Due to slight misalignment, however, a portion of the etch does not fall on metal conductor 12, and the etch continues, creating a deep overetch 20. This overetch reaches to conductor 8, and will form a short between conductors 8 and 12 when void 16 is filled with a conductive material. The danger of excessive overetch occurring may be particularly acute if the height of metal conductor 12 is very small.
  • Fig. 2a shows a plan view of metal conductor 12, which has width W for its length, and a wider landing pad 22 where contact is to be made.
  • Fig. 2b shows the etch of Fig. Ic; it will be seen that the increased width of the landing pad 22 allows for some misalignment with no resulting overetch.
  • spacers Another way of improving misalignment tolerance during a contact etch is to form spacers.
  • a transistor is formed having a polysilicon gate 26 and dielectric spacers 28.
  • S and D indicate source and drain of the transistor.
  • Spacers 28 can be formed, as shown in Fig. 3b, by depositing a thin layer 28 of a different dielectric material, for example silicon nitride, over polysilicon gate 26.
  • silicon nitride silicon nitride
  • An anisotropic etch follows, which preferentially etches vertically, with very little or no side-etching.
  • silicon nitride is removed from horizontal surfaces, remaining only in spacers 28, as shown in Fig. 3c.
  • silicon dioxide 32 covers polysilicon gate 26.
  • the etch may be slightly misaligned, as shown.
  • Spacers 28, though, effectively widen the gate, and etchants may be used that are highly selective, etching silicon dioxide 32 at a high rate, while etching both silicon nitride spacers 28 and polysilicon gate 26 at a very low rate.
  • pitch is the distance between adjacent occurrences of the same feature in a repeating pattern, for example the distance from the center of one line to the center of the next line.
  • Such a set of tight-pitch conductive lines is formed in, for example, the monolithic three dimensional memory array of Herner et al., US Patent Application No. 10/326,470, "An Improved Method for Making High Density Nonvolatile Memory,” filed December 19, 2002, since abandoned, hereinafter the '470 application and hereby incorporated by reference.
  • Related memories are described in Herner, US Patent Application No. 10/955,549, "Nonvolatile Memory Cell Without a Dielectric Antifuse Having High- and Low-Impedance States,” filed September 29, 2004, hereinafter the '549 application; in Herner et al. US Patent Application No.
  • the methods of the present invention allow electrical contact to be made to a conductive feature covered by dielectric with no necessity for a landing pad, spacers, or any other method requiring a decrease in density.
  • a dielectric material 40 for example silicon nitride.
  • Some conductive material 44 is deposited above silicon nitride 40.
  • conductive material 44 will be described as tungsten, though it will be understood that other conductive materials, such as metals, metal nitrides, metal suicides, doped semiconductor, etc., may be used instead.
  • An adhesion layer 42 for example of titanium nitride, may intervene between tungsten layer 44 and silicon nitride layer 40.
  • Tungsten layer 44 and titanium nitride layer 42 are then patterned and etched to form conductive features 46, in this example a set of fine pitch lines shown in cross-section. Some small amount of overetch may take place, creating some recess into silicon nitride layer 40 (not shown.)
  • a dielectric material 48 preferably silicon dioxide, is deposited over and between lines 46, filling gaps between them and covering them.
  • An etch is begun to form void 50, in which an electrical contact will be formed, making electrical connection to one of the lines, 46A. It will be seen that void 50 and line 46A are slightly misaligned.
  • Fig 4c shows the structure when the etch of void 50 has been completed.
  • An etchant was used that is selective between silicon dioxide 48 and the tungsten of line 46A, and also selective between silicon dioxide 48 and silicon nitride layer 40. The etch thus will stop when it reaches either of these layers. In case of misalignment there will be a limited amount of overetch 52. The overetch 52, however, stops in silicon nitride layer 40, and thus is guaranteed not to reach to an underlying conductive layer, causing an undesired electrical short.
  • first dielectric layer 40 is silicon nitride while the second dielectric material 48 is silicon dioxide.
  • these materials can be reversed, or a different dielectric material can be used for either layer, for example silicon oxynitride, silicon carbon, undoped amorphous or polycrystalline silicon, among many others. The only requirement is that there be some degree of etch selectivity between the two dielectric materials.
  • Figs. 4a-4c silicon nitride layer 40 appeared relatively thick. This thick layer can be replaced with a thinner layer, as shown in Fig. 4d, which is formed over some other thicker dielectric 54, for example silicon dioxide.
  • Layer 40 is preferably between about 200 and about 1200 angstroms, most preferably between about 700 and about 800 angstroms.
  • the dielectrics, etchant, and etch conditions be selected such that etch selectivity between dielectric 48 (silicon dioxide in this example) and dielectric 40 (silicon nitride in this example) is at least about 4:1.
  • dielectric overetch is reduced by a method, the method comprising forming a layer of a first dielectric material; forming conductive or semiconductor features above and in contact with the first dielectric material; depositing a second dielectric material above and contacting the conductive or semiconductor features; etching a void in the second dielectric material, wherein the etch is selective between the first and second dielectric material, and the etch stops on the first dielectric material; and exposing a portion of the conductive or semiconductor features.
  • the conductive features of the example are tight-pitch lines; clearly any other shapes could be formed instead.
  • Figs. 5a-5c illustrate an alternative embodiment. Fabrication begins on a dielectric material 60, preferably silicon dioxide. A conductive material or stack is deposited on dielectric material 60, for example titanium nitride layer 62 and tungsten layer 64 (clearly other conductive materials or stacks can be used.) Tungsten layer 64 and titanium nitride layer 62 are then patterned and etched into conductive patterned features 66, in this example lines. The resulting structure at this point is shown in Fig. 5a.
  • a dielectric fill material 68 preferably silicon dioxide
  • a planarization step for example by chemical mechanical planarization (CMP) is performed next, removing the overfill of silicon dioxide 68, coexposing lines 66 and silicon dioxide 68 and forming a substantially planar surface 70.
  • CMP chemical mechanical planarization
  • a thin dielectric etch stop layer 72 preferably silicon nitride
  • a dielectric material 74 is deposited on silicon nitride etch stop layer 72.
  • An etch step is performed to etch a void 76 in silicon dioxide 74 to make contact to the tungsten layer 64 of one of the lines 66. As shown, there may be some misalignment.
  • a second etch is performed to etch away silicon nitride etch stop layer 72, exposing the top of line 66, as shown. In the misaligned region, the silicon nitride etch will stop on silicon dioxide fill 68.
  • the dielectrics, etchant, and etch conditions be selected such that etch selectivity is between dielectric 74 (silicon dioxide in this example) and dielectric 72 (silicon nitride in this example) be at least about 6:1.
  • dielectric overetch is limited by a method, the method comprising depositing a layer or stack of conductive or semiconductor material; patterning and etching the layer or stack of conductive or semiconductor material to form conductive or semiconductor features; depositing first dielectric fill over and between the conductive or semiconductor features; planarizing to coexpose the first dielectric fill and the conductive or semiconductor features, forming a substantially planar surface; depositing a dielectric etch stop layer directly on the planar surface; depositing second dielectric material on the dielectric etch stop layer; etching a void in the second dielectric material, wherein the etch is selective between the second dielectric material and the dielectric etch stop layer, wherein the etch stops on the dielectric etch stop layer; and etching a portion of the dielectric etch stop layer to expose portions of the conductive or semiconductor features.
  • the conductive features were made of metal. Any conductive material, for example a doped semiconductor material or a conductive suicide or nitride, could be used instead. Such materials can be deposited alone or in a stack.
  • each of the embodiments described is a method for reducing dielectric overetch, the method comprising depositing a layer or stack of conductive or semiconductor material; patterning and etching the layer or stack of conductive or semiconductor material to form a plurality of conductive or semiconductor features; depositing a layer of a first dielectric material directly on a substantially planar surface; depositing a second dielectric material above the conductive or semiconductor features, wherein the first dielectric layer is above or below the conductive or semiconductor features; etching a void in the second dielectric material wherein the etch is selective between the first and the second dielectric material and the etch stops on the first material; and exposing a portion of the conductive or semiconductor features.
  • the monolithic three dimensional memory array to be described is similar to that described in the '470 application, the '549 application, and the '577 application. For simplicity and to avoid obscuring the invention, not all of the detail provided in those applications is included. It will be understood, however, that no teaching of any of the '470 application, the '549 application, or the '577 application is intended to be excluded.
  • a substrate 100 can be any semiconducting substrate as known in the art, such as monocrystalline silicon, IV-IV alloys like silicon-germanium or silicon-germanium-carbon, III-V alloys, II- VII alloys, epitaxial layers over such substrates, or any other semiconducting material.
  • the substrate may include integrated circuits fabricated therein.
  • An insulating layer 102 is formed over substrate 100.
  • the insulating layer 102 can be silicon oxide, silicon nitride, high-dielectric film, Si-C-O-H film, or any other suitable insulating material.
  • insulating layer 102 is silicon dioxide, and this layer is, for example, about 3000 angstroms thick.
  • a first routing layer including conductors Rl is formed, and is covered with additional dielectric 102.
  • a second routing layer of conductors R2 will be formed as well.
  • Dielectric etch stop layer 98 is deposited on insulating layer 102.
  • Dielectric etch stop layer 98 is preferably silicon nitride, though other dielectric materials can be used instead.
  • Dielectric etch stop layer 98 is between about 200 angstroms thick and about 1200 angstroms thick, preferably between about 700 and about 800 angstroms thick.
  • Conductors R2 are formed on dielectric etch stop layer 98. During the step of etching conductors R2, some overetch into silicon nitride layer 98 may take place. For simplicity, this overetch is not shown. Additional insulating material 102 is deposited between conductors R2 and over them. Fig.
  • the first conductors 200 are formed over insulating layer 102.
  • An adhesion layer 104 may be included between insulating layer 102 and the conducting layer 106 to help the conducting layer 106 adhere.
  • Preferred materials for the adhesion layer 104 are tantalum nitride, tungsten nitride, titanium tungsten, sputtered tungsten, titanium nitride, or combinations of these materials. If the overlying conducting layer 106 is tungsten, titanium nitride is preferred for use in adhesion layer 104.
  • Adhesion layer 104 is between about 20 and about 500 angstroms thick, preferably about 200 angstroms thick. (To save space substrate 100 is omitted in Fig. 6b and subsequent figures; its presence will be assumed.)
  • Conducting layer 106 can comprise any conducting material known in the art, including tantalum, titanium, tungsten, copper, cobalt, or alloys thereof. Titanium nitride may be used. Conducting layer is between about 200 and about 2000 angstroms thick, preferably about 1500 angstroms thick.
  • the layers will be patterned and etched using any suitable masking and etching process to form substantially parallel, substantially coplanar conductors 200, shown in Fig. 6b in cross- section.
  • photoresist is deposited, patterned by photolithography and the layers etched, and then the photoresist removed using standard process techniques.
  • Dielectric material 108 is deposited over and between conductor rails 200.
  • Dielectric material 108 can be any known electrically insulating material, such as silicon dioxide.
  • barrier layer 110 preferably of titanium nitride, after planarization of the conductor rails 200.
  • This layer can be formed in any conventional manner. Its thickness can be, for example, about 20 to about 500 angstroms. The thickness of barrier layer 110 is preferably about 200 angstroms.
  • the semiconductor material can be silicon, silicon-germanium, silicon-germanium-carbon, germanium, or other suitable semiconductors or alloys. Silicon is commonly used in the industry, so, for simplicity, this description will refer to the semiconductor material as silicon, but it will be understood that other materials may be substituted.
  • the semiconductor pillar is a junction diode, comprising a bottom heavily doped region of a first conductivity type and a top heavily doped region of a second conductivity type.
  • the middle region, between the top and bottom regions, is an intrinsic or lightly doped region of either the first or second conductivity type.
  • the diode of Fig.7a has a bottom region 112 of N+ (heavily doped n-type) silicon, intrinsic region 114, and P+ top region 116.
  • the diode of Fig.7b is reversed, having bottom region 112 of P+ silicon, intrinsic region 114, and N+ top region 116.
  • the middle region is intrinsic, or not intentionally doped, though in some embodiments it may be lightly doped.
  • An undoped region will never be perfectly electrically neutral, and will always have defects or contaminants that cause it to behave as if slightly n-doped or p-doped.
  • Such a diode can be considered a p-i-n diode.
  • Deposition and doping of layers 112, 114, and 116 can be achieved using many conventional methods, as described in the incorporated applications.
  • heavily doped region 112 is formed by in situ doping with an n-type dopant such as phosphorus by flowing a donor gas during the silicon deposition. Once the desired thickness of layer 112 is formed, flow of the donor gas is stopped, and the rest of the desired thickness of silicon (the thicknesses of layer 114 and 116, in addition to a sacrificial thickness that will be lost in a following CMP step) is deposited undoped.
  • heavily doped layer 116 is formed by an ion implantation step performed later, and thus has not yet been formed at this point and is not shown in Fig. 6c.
  • semiconductor layers 114 and 112 just deposited will be patterned and etched to form semiconductor pillars 300, along with barrier layer 110.
  • Semiconductor pillars 300 should have about the same pitch and about the same width as conductors 200 below, such that each semiconductor pillar 300 is formed on top of a conductor 200. Some misalignment can be tolerated.
  • the semiconductor pillars 300 can be formed using any suitable masking and etching process. [0063] The photolithography techniques described in Chen, US Application No. 10/728436, "Photomask Features with Interior Nonprinting Window Using Alternating Phase Shifting," filed December 5, 2003; or Chen, US Application No.
  • Dielectric material 108 is deposited over and between the semiconductor pillars 300, filling the gaps between them.
  • Dielectric material 108 can be any known electrically insulating material, such as silicon dioxide.
  • the dielectric material on top of the pillars 300 is removed, exposing the tops of pillars 300 separated by dielectric material 108, and leaving a substantially planar surface.
  • This removal of dielectric overfill can be performed by any process known in the art, such as CMP or etchback.
  • the ion implantation of heavily doped top regions 116 should be performed at this point, in this example using a p-type dopant to form P+ regions.
  • a dielectric layer 118 which Will function as a dielectric rupture antifuse can be formed on each pillar 300.
  • This dielectric layer 118 can be formed by an oxidation method, for example by thermal or plasma oxidation. Alternatively the dielectric rupture antifuse can be deposited.
  • the layer can silicon nitride, silicon oxide, silicon oxynitride, or any other suitable dielectric material.
  • Fig. 6d shows the structure at this point.
  • Overlying conductors can be formed in the same manner as the underlying conductors.
  • the overlying conductors will be formed at a height above the height of the first conductors, and extend in a different direction from them, preferably substantially perpendicular to them.
  • Each memory cell comprises a portion of one of the first conductors, one of the first pillars, one of the dielectric rupture antifuses, and a portion of one of the second conductors.
  • the resulting structure is a bottom or first level of memory cells. Additional memory levels can be monolithically formed above the first, as described in the '470 application and the other incorporated references, forming a monolithic three dimensional memory array.
  • a second plurality of pillars can be formed above the upper conductors, and a third plurality of conductors can be formed above them.
  • the upper conductors of one memory level can serve as the lower conductors of an overlying memory level, or an interlevel dielectric can be formed between them.
  • Fig. 8 shows a cross-sectional view of the array after two memory levels, Ml and M2, have been completed.
  • First memory level Ml includes bottom conductors 200, pillars 300, and top conductors 400.
  • Second memory level M2 includes bottom conductors 500, pillars 600, and top conductors 700.
  • memory levels Ml and M2 do not share conductors. In reality, of course, each memory level will include many more memory cells than are shown in Fig. 8.
  • the void is filled with a conductive material.
  • the void is filled during the same deposition step in which bottom conductors 500 of memory level M2 are formed, and thus is formed of the same material. Bottom conductor 500 and via 150, then, are continuous.
  • a dielectric etch stop layer 98 for example of silicon nitride, could be formed immediately underneath each of these sets of conductors.
  • This dielectric etch stop layer 98 if formed of a dielectric material different from the dielectric material covering these conductors 200 and 500, could serve to limit dielectric overetch when an dielectric etch is performed to make contact to them.
  • Via 150 of Figs. 8 and 9 connects device levels in a monolithic three dimensional array.
  • a method to form such a via comprising forming first conductive features in a first device level above a substrate; forming a first dielectric etch stop layer in contact with the first conductive features; depositing a second dielectric material above the first conductive features; etching a void in the second dielectric material, wherein the etch is selective between the first dielectric material and the second dielectric material, wherein the etch stops on the first dielectric material; exposing a portion of the first conductive features; forming the via within the void, the via making electrical connection to one of the first conductive features; and monolithically forming at least a second device level above the first device level.
  • a monolithic three dimensional memory array is one in which multiple memory levels are formed above a- single substrate, such as a wafer, with no intervening substrates.
  • the layers forming one memory level are deposited or grown directly over the layers of an existing level or levels.
  • stacked memories have been constructed by forming memory levels on separate substrates and adhering the memory levels atop each other, as in Leedy, US Patent No. 5,915,167, "Three dimensional structure memory.”
  • the substrates may be thinned or removed from the memory levels before bonding, but as the memory levels are initially formed over separate substrates, such memories are not true monolithic three dimensional memory arrays.
  • a monolithic three dimensional memory array formed above a substrate comprises at least a first memory level formed at a first height above the substrate and a second memory level formed at a second height different from the first height. Three, four, eight, or more memory levels can be formed above the substrate in such a multilevel array.

Abstract

In a first preferred embodiment of the present invention, conductive features (44) are formed on a first dielectric etch stop layer (40) , and a second dielectric material (48) is deposited over and between the conductive features. A via etch to the conductive features which is selective between the first and second dielectrics will stop on the dielectric etch stop layer, limiting overetch. In a second embodiment, a plurality of conductive features (64) is formed in a subtractive pattern and etch process, filled with a dielectric fill (68) , and then a surface formed coexposing the conductive features and dielectric fill. A dielectric etch stop layer (72) is deposited on the surface, then a third dielectric (74) covers the dielectric etch stop layer. When a contact (76) is etched through the third dielectric, this selective etch stops on the dielectric etch stop layer. A second etch makes contact to the conductive features .

Description

METHOD FOR REDUCING DIELECTRIC OVERETCH WHEN MAKING CONTACT TO CONDUCTIVE FEATURES
RELATED APPLICATIONS
This application is related to Dunton et al., "Method for Reducing Dielectric Overetch Using a Dielectric Etch Stop at a Planar Surface," US Application No. , (attorney docket number MA- 138), filed on even date herewith and hereby incorporated by reference.
BACKGROUND OF THE INVENTION
[0001] The invention relates to a method for reducing dielectric overetch when making contact to conductive features. The method makes use of etch selectivities between different dielectric materials.
[0002] In semiconductor devices, it is known to etch through a dielectric material to make electrical contact, for example by way of a via, to a conductive feature such as a line which is covered by the dielectric material. Once the void is etched and a portion of the conductive line is exposed, it is filled with a conductive material such as tungsten.
[0003] The etch is ideally aligned with the buried conductive feature. The etchant is generally selective between the dielectric material being etched and the material of the conductive feature, and thus will stop when the conductive feature is reached. If the etch is misaligned, some portion of the etched region may not fall on the conductive feature, instead continuing past the conductive feature into fill dielectric, and excessive overetch may occur in this misaligned region. This overetch may reach a conductive feature on another level, causing an undesired electrical short when the via is filled. To avoid excessive overetch due to misalignment, it is usual to widen the conductive feature in the region where the contact is to be made, forming a wider area, sometimes called a landing pad.
[0004] Use of a wider landing pad in dense arrays may decrease device density, however. It is desirable, therefore, to etch through dielectric material to form electrical contacts to buried conductive features without compromising density or risking excessive overetch. SUMMARY OF THE PREFERRED EMBODIMENTS
[0005] The present invention is defined by the following claims, and nothing in this section should be taken as a limitation on those claims. In general, the invention is directed to a method to prevent excessive dielectric overetch when forming contact to conductive features.
[0006] A first aspect of the invention provides for a method for reducing dielectric overetch, the method comprising: depositing a layer or stack of conductive or semiconductor material; patterning and etching the layer or stack of conductive or semiconductor material to form a plurality of conductive or semiconductor features; depositing a layer of a first dielectric material directly on a substantially planar surface; depositing a second dielectric material above the conductive or semiconductor features, wherein the first dielectric layer is above or below the conductive or semiconductor features; etching a void in the second dielectric material wherein the etch is selective between the first and the second dielectric material and the etch stops on the first material; and exposing a portion of the conductive or semiconductor features.
[0007] Another aspect of the invention provides for a method for reducing dielectric overetch, the method comprising: forming a layer of a first dielectric material; forming conductive or semiconductor features above and in contact with the first dielectric material; depositing a second dielectric material above and contacting the conductive or semiconductor features; etching a void in the second dielectric material, wherein the etch is selective between the first and second dielectric material, and the etch stops on the first dielectric material; and exposing a portion of the conductive or semiconductor features.
[0008] A preferred embodiment provides for a method for reducing dielectric overetch, the method comprising: depositing a layer or stack of conductive or semiconductor material; patterning and etching the layer or stack of conductive or semiconductor material to form conductive or semiconductor features; depositing first dielectric fill over and between the conductive or semiconductor features; planarizing to coexpose the first dielectric fill and the conductive or semiconductor features, forming a substantially planar surface; depositing a dielectric etch stop layer directly on the planar surface; depositing second dielectric material on the dielectric etch stop layer; etching a void in the second dielectric material, wherein the etch is selective between the second dielectric material and the dielectric etch stop layer, wherein the etch stops on the dielectric etch stop layer; and etching a portion of the dielectric etch stop layer to expose portions of the conductive or semiconductor features. [0009] Another aspect of the invention provides for a method for reducing dielectric overetch, the method comprising: depositing a layer or stack of conductive or semiconductor material above a substrate; patterning and etching the conductive or semiconductor material to form a plurality of conductive or semiconductor features separated by gaps; filling the gaps with a first dielectric fill; depositing a second dielectric material directly on the first dielectric fill; depositing a third dielectric material above the conductive or semiconductor features; etching a void in the third dielectric material, wherein the etch is selective between the third dielectric material and the second dielectric material and the etch stops on the second dielectric material; and exposing a portion of the conductive or semiconductor feature.
[0010] Another preferred embodiment of the invention provides for a method for forming a via connecting device levels in a monolithic three dimensional array, the method comprising: forming first conductive features in a first device level above a substrate; forming a first dielectric etch stop layer in contact with the first conductive features; depositing a second dielectric material above the first conductive features; etching a void in the second dielectric material, wherein the etch is selective between the first dielectric material and the second dielectric material, wherein the etch stops on the first dielectric material; exposing a portion of the first conductive features; forming the via within the void, the via making electrical connection to one of the first conductive features; and monolithically forming at least a second device level above the first device level.
[0011] Yet another aspect of the invention provides for a method for forming a via connecting device levels in a monolithic three dimensional array, the method comprising: forming first conductive features at a first height above a substrate; forming a first dielectric etch stop layer in contact with the first conductive features; depositing a second dielectric material above the first conductive features; etching a void in the second dielectric material, wherein the etch is selective between the first dielectric material and the second dielectric material, wherein the etch stops on the first dielectric material; exposing a portion of the first conductive features; forming the via within the void, the via making electrical connection to one of the first conductive features; monolithically forming a first device level at a second height above the first height; and monolithically forming a second device level above the first device level.
[0012] Each of the aspects and embodiments of the invention described herein can be used alone or in combination with one another. [0013] The preferred aspects and embodiments will now be described with reference to the attached drawings.
BRIEF DESCRIPTION OF THE DRAWINGS
[0014] Fig. Ia-Ic are cross-sectional views illustrating how undesirable dielectric overetch may occur when a contact etch is misaligned.
[0015] Fig. 2a is a plan view illustrating prevention of dielectric overetch by use of a widened "landing pad". Fig. 2b is a cross-sectional view of such a landing pad.
[0016] Fig. 3a is a cross-sectional view of the use of dielectric spacers to prevent dielectric overetch. Figs. 3b and 3c are cross-sectional views illustrating formation of dielectric spacers.
[0017] Figs.4a-4c are cross-sectional views illustrating use of a dielectric etch stop layer to reduce dielectric overetch according to a preferred embodiment of the present invention. Fig. 4d is a cross-sectional view of an alternate embodiment of the present invention.
[0018] Figs. 5a-5c are cross-sectional views illustrating use of a dielectric etch stop layer to reduce dielectric overetch according to another preferred embodiment of the present invention.
[0019] Figs. 6a-6d are cross-sectional views illustrating formation of a portion of a first memory level in a monolithic three dimensional memory array wherein a dielectric etch stop layer is used according to the methods of the present invention to reduce dielectric overetch.
[0020] Figs. 7a and 7b are cross-sectional views illustrating diode configurations that may be used in a memory cell in a monolithic three dimensional memory array.
[0021] Fig. 8 is a cross-sectional view illustrating electrical connections formed between conductors in a monolithic three dimensional memory array in which the methods of the present invention are used to prevent overetch.
[0022] Fig. 9 is a cross-sectional view illustrating electrical connections formed between conductors in a monolithic three dimensional memory array in which another embodiment of the present invention is used to prevent overetch. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
[0023] In semiconductor devices it is often necessary to make electrical connection to a conductor when the conductor has been covered by a dielectric material. Turning to Fig. Ia, suppose, for example, that a via is to be formed making electrical connection to metal conductor 12. Metal conductor 12 is formed on a dielectric 10, then covered with dielectric 14. Conductor 8 is formed at a lower level. Silicon dioxide is a frequently used high-quality dielectric. In this example, suppose dielectrics 10 and 14 are both silicon dioxide.
[0024] The width W of metal conductor 12 may be the feature size, the minimum size of a patterned feature or gap that can be formed in a semiconductor device. The feature size is limited by photolithographic and other constraints. It is generally desirable to maximize density in semiconductor devices.
[0025] Fig. Ib shows early stages of an etch step in which a void 16 is etched in dielectric 14. In this example the width of void 16 is also W, the feature size. It will be seen that void 16 and metal conductor 12 are slightly misaligned.
[0026] As the etch continues, as shown in Fig. Ic, the etch reaches metal conductor 12. The etchant is chosen to be selective, such that the etch stops at metal conductor 12, and metal conductor 12 itself is etched minimally or not at all. Due to slight misalignment, however, a portion of the etch does not fall on metal conductor 12, and the etch continues, creating a deep overetch 20. This overetch reaches to conductor 8, and will form a short between conductors 8 and 12 when void 16 is filled with a conductive material. The danger of excessive overetch occurring may be particularly acute if the height of metal conductor 12 is very small.
[0027] The most common way to avoid a deep overetch is to widen metal conductor 12 at the point where contact is to be made. Fig. 2a shows a plan view of metal conductor 12, which has width W for its length, and a wider landing pad 22 where contact is to be made. Fig. 2b shows the etch of Fig. Ic; it will be seen that the increased width of the landing pad 22 allows for some misalignment with no resulting overetch.
[0028] Another way of improving misalignment tolerance during a contact etch is to form spacers. For example, as shown in Fig. 3a, a transistor is formed having a polysilicon gate 26 and dielectric spacers 28. (S and D indicate source and drain of the transistor.) Spacers 28 can be formed, as shown in Fig. 3b, by depositing a thin layer 28 of a different dielectric material, for example silicon nitride, over polysilicon gate 26. (In this discussion, polycrystalline silicon will be referred to as polysilicon.) An anisotropic etch follows, which preferentially etches vertically, with very little or no side-etching. After the anisotropic etch, silicon nitride is removed from horizontal surfaces, remaining only in spacers 28, as shown in Fig. 3c. Returning to Fig. 3a, silicon dioxide 32 covers polysilicon gate 26. When an etch is performed to form a contact to gate 26, the etch may be slightly misaligned, as shown. Spacers 28, though, effectively widen the gate, and etchants may be used that are highly selective, etching silicon dioxide 32 at a high rate, while etching both silicon nitride spacers 28 and polysilicon gate 26 at a very low rate.
[0029] In a tightly-packed set of conductive lines, however, inclusion of a landing pad or dielectric spacers on each line increases the pitch at which the lines can be formed, decreasing density. (Pitch is the distance between adjacent occurrences of the same feature in a repeating pattern, for example the distance from the center of one line to the center of the next line.)
[0030] Such a set of tight-pitch conductive lines is formed in, for example, the monolithic three dimensional memory array of Herner et al., US Patent Application No. 10/326,470, "An Improved Method for Making High Density Nonvolatile Memory," filed December 19, 2002, since abandoned, hereinafter the '470 application and hereby incorporated by reference. Related memories are described in Herner, US Patent Application No. 10/955,549, "Nonvolatile Memory Cell Without a Dielectric Antifuse Having High- and Low-Impedance States," filed September 29, 2004, hereinafter the '549 application; in Herner et al. US Patent Application No. 10/954,577, "Junction Diode Comprising Varying Semiconductor Compositions," filed September 29, 2004, hereinafter the '577 application; and in Herner et al., US Patent Application No. 11/015,824, "Nonvolatile Memory Cell Comprising a Reduced Height Vertical Diode," filed Dec. 17, 2004, all hereby incorporated by reference. The problem of making contact to conductors formed at tight pitch is particularly acute in these memories, where electrical connections must be formed between multiple memory levels.
[0031] The methods of the present invention allow electrical contact to be made to a conductive feature covered by dielectric with no necessity for a landing pad, spacers, or any other method requiring a decrease in density.
[0032] Turning to Fig.4a, formation begins on a dielectric material 40, for example silicon nitride. Some conductive material 44 is deposited above silicon nitride 40. In this example, conductive material 44 will be described as tungsten, though it will be understood that other conductive materials, such as metals, metal nitrides, metal suicides, doped semiconductor, etc., may be used instead. An adhesion layer 42, for example of titanium nitride, may intervene between tungsten layer 44 and silicon nitride layer 40. Tungsten layer 44 and titanium nitride layer 42 are then patterned and etched to form conductive features 46, in this example a set of fine pitch lines shown in cross-section. Some small amount of overetch may take place, creating some recess into silicon nitride layer 40 (not shown.)
[0033] Next, turning to Fig.4b, a dielectric material 48, preferably silicon dioxide, is deposited over and between lines 46, filling gaps between them and covering them. An etch is begun to form void 50, in which an electrical contact will be formed, making electrical connection to one of the lines, 46A. It will be seen that void 50 and line 46A are slightly misaligned.
[0034] Fig 4c shows the structure when the etch of void 50 has been completed. An etchant was used that is selective between silicon dioxide 48 and the tungsten of line 46A, and also selective between silicon dioxide 48 and silicon nitride layer 40. The etch thus will stop when it reaches either of these layers. In case of misalignment there will be a limited amount of overetch 52. The overetch 52, however, stops in silicon nitride layer 40, and thus is guaranteed not to reach to an underlying conductive layer, causing an undesired electrical short.
[0035] Many variations are possible which fall within the scope of the invention. In the example given, first dielectric layer 40 is silicon nitride while the second dielectric material 48 is silicon dioxide. These materials can be reversed, or a different dielectric material can be used for either layer, for example silicon oxynitride, silicon carbon, undoped amorphous or polycrystalline silicon, among many others. The only requirement is that there be some degree of etch selectivity between the two dielectric materials.
[0036] In Figs. 4a-4c, silicon nitride layer 40 appeared relatively thick. This thick layer can be replaced with a thinner layer, as shown in Fig. 4d, which is formed over some other thicker dielectric 54, for example silicon dioxide. Layer 40 is preferably between about 200 and about 1200 angstroms, most preferably between about 700 and about 800 angstroms. For the embodiment just described, it is preferred that the dielectrics, etchant, and etch conditions be selected such that etch selectivity between dielectric 48 (silicon dioxide in this example) and dielectric 40 (silicon nitride in this example) is at least about 4:1. [0037] The example of Figs. 4a-4c described formation of tungsten lines 46 by a subtractive method: In such a method, conductive material is deposited, patterned and etched to form lines. If desired, lines 46 could be formed by a Damascene method instead.
[0038] In the embodiment just described, dielectric overetch is reduced by a method, the method comprising forming a layer of a first dielectric material; forming conductive or semiconductor features above and in contact with the first dielectric material; depositing a second dielectric material above and contacting the conductive or semiconductor features; etching a void in the second dielectric material, wherein the etch is selective between the first and second dielectric material, and the etch stops on the first dielectric material; and exposing a portion of the conductive or semiconductor features.
[0039] The conductive features of the example are tight-pitch lines; clearly any other shapes could be formed instead.
[0040] Figs. 5a-5c illustrate an alternative embodiment. Fabrication begins on a dielectric material 60, preferably silicon dioxide. A conductive material or stack is deposited on dielectric material 60, for example titanium nitride layer 62 and tungsten layer 64 (clearly other conductive materials or stacks can be used.) Tungsten layer 64 and titanium nitride layer 62 are then patterned and etched into conductive patterned features 66, in this example lines. The resulting structure at this point is shown in Fig. 5a.
[0041] Next, as shown in Fig. 5b, a dielectric fill material 68, preferably silicon dioxide, is deposited over and between lines 66, filling the gaps between them. A planarization step, for example by chemical mechanical planarization (CMP), is performed next, removing the overfill of silicon dioxide 68, coexposing lines 66 and silicon dioxide 68 and forming a substantially planar surface 70. The resulting structure at this point is shown in Fig. 5b.
[0042] Turning to Fig. 5c, next a thin dielectric etch stop layer 72, preferably silicon nitride, is deposited on substantially planar surface 70. This layer is between about 100 and about 1000 angstroms thick, preferably about 500 angstroms thick. Finally a dielectric material 74, preferably silicon dioxide, is deposited on silicon nitride etch stop layer 72. An etch step is performed to etch a void 76 in silicon dioxide 74 to make contact to the tungsten layer 64 of one of the lines 66. As shown, there may be some misalignment. The etch stops on silicon nitride etch stop layer 72. A second etch is performed to etch away silicon nitride etch stop layer 72, exposing the top of line 66, as shown. In the misaligned region, the silicon nitride etch will stop on silicon dioxide fill 68. For the embodiment just described, it is preferred that the dielectrics, etchant, and etch conditions be selected such that etch selectivity is between dielectric 74 (silicon dioxide in this example) and dielectric 72 (silicon nitride in this example) be at least about 6:1.
[0043] In the embodiment just described, dielectric overetch is limited by a method, the method comprising depositing a layer or stack of conductive or semiconductor material; patterning and etching the layer or stack of conductive or semiconductor material to form conductive or semiconductor features; depositing first dielectric fill over and between the conductive or semiconductor features; planarizing to coexpose the first dielectric fill and the conductive or semiconductor features, forming a substantially planar surface; depositing a dielectric etch stop layer directly on the planar surface; depositing second dielectric material on the dielectric etch stop layer; etching a void in the second dielectric material, wherein the etch is selective between the second dielectric material and the dielectric etch stop layer, wherein the etch stops on the dielectric etch stop layer; and etching a portion of the dielectric etch stop layer to expose portions of the conductive or semiconductor features.
[0044] In the exemplary embodiments just described, the conductive features were made of metal. Any conductive material, for example a doped semiconductor material or a conductive suicide or nitride, could be used instead. Such materials can be deposited alone or in a stack.
[0045] To summarize, then, each of the embodiments described is a method for reducing dielectric overetch, the method comprising depositing a layer or stack of conductive or semiconductor material; patterning and etching the layer or stack of conductive or semiconductor material to form a plurality of conductive or semiconductor features; depositing a layer of a first dielectric material directly on a substantially planar surface; depositing a second dielectric material above the conductive or semiconductor features, wherein the first dielectric layer is above or below the conductive or semiconductor features; etching a void in the second dielectric material wherein the etch is selective between the first and the second dielectric material and the etch stops on the first material; and exposing a portion of the conductive or semiconductor features.
[0046] An example will be given of a monolithic three dimensional memory array formed using embodiments of the present invention to prevent excessive dielectric overetch during formation of electrical contact to tungsten conductors. For completeness, this example will include many details, including materials, dimensions, conditions, and process steps. It will be understood by those skilled in the art that many of these details can be modified, augmented, or omitted while the results still fall within the scope of the invention. This example is provided as an illustration only.
[0047] The monolithic three dimensional memory array to be described is similar to that described in the '470 application, the '549 application, and the '577 application. For simplicity and to avoid obscuring the invention, not all of the detail provided in those applications is included. It will be understood, however, that no teaching of any of the '470 application, the '549 application, or the '577 application is intended to be excluded.
EXAMPLE
[0048] Fabrication of a single memory level is described. Additional memory levels can be stacked, each monolithically formed above the one below it.
[0049] Turning to Fig. 6a, formation of the memory begins with a substrate 100. This substrate 100 can be any semiconducting substrate as known in the art, such as monocrystalline silicon, IV-IV alloys like silicon-germanium or silicon-germanium-carbon, III-V alloys, II- VII alloys, epitaxial layers over such substrates, or any other semiconducting material. The substrate may include integrated circuits fabricated therein.
[0050] An insulating layer 102 is formed over substrate 100. The insulating layer 102 can be silicon oxide, silicon nitride, high-dielectric film, Si-C-O-H film, or any other suitable insulating material. In this example, insulating layer 102 is silicon dioxide, and this layer is, for example, about 3000 angstroms thick.
[0051] A first routing layer including conductors Rl is formed, and is covered with additional dielectric 102. A second routing layer of conductors R2 will be formed as well.
[0052] Before formation of conductors R2, however, a dielectric etch stop layer 98 is deposited on insulating layer 102. Dielectric etch stop layer 98 is preferably silicon nitride, though other dielectric materials can be used instead. Dielectric etch stop layer 98 is between about 200 angstroms thick and about 1200 angstroms thick, preferably between about 700 and about 800 angstroms thick. Conductors R2 are formed on dielectric etch stop layer 98. During the step of etching conductors R2, some overetch into silicon nitride layer 98 may take place. For simplicity, this overetch is not shown. Additional insulating material 102 is deposited between conductors R2 and over them. Fig. 6a shows the structure as it appears at this point. [0053] Turning to Fig. 6b, the first conductors 200 are formed over insulating layer 102. An adhesion layer 104 may be included between insulating layer 102 and the conducting layer 106 to help the conducting layer 106 adhere. Preferred materials for the adhesion layer 104 are tantalum nitride, tungsten nitride, titanium tungsten, sputtered tungsten, titanium nitride, or combinations of these materials. If the overlying conducting layer 106 is tungsten, titanium nitride is preferred for use in adhesion layer 104. Adhesion layer 104 is between about 20 and about 500 angstroms thick, preferably about 200 angstroms thick. (To save space substrate 100 is omitted in Fig. 6b and subsequent figures; its presence will be assumed.)
[0054] The next layer to be deposited is conducting layer 106. Conducting layer 106 can comprise any conducting material known in the art, including tantalum, titanium, tungsten, copper, cobalt, or alloys thereof. Titanium nitride may be used. Conducting layer is between about 200 and about 2000 angstroms thick, preferably about 1500 angstroms thick.
[0055] Once all the layers that will form the conductor rails have been deposited, the layers will be patterned and etched using any suitable masking and etching process to form substantially parallel, substantially coplanar conductors 200, shown in Fig. 6b in cross- section. In preferred embodiments, photoresist is deposited, patterned by photolithography and the layers etched, and then the photoresist removed using standard process techniques.
[0056] Next a dielectric material 108 is deposited over and between conductor rails 200. Dielectric material 108 can be any known electrically insulating material, such as silicon dioxide.
[0057] Finally, excess dielectric material 108 on top of conductor rails 200 is removed, exposing the tops of conductor rails 200 separated by dielectric material 108, and leaving a substantially planar surface 109. The resulting structure is shown in Fig. 6b. This removal of dielectric overfill to form planar surface 109 can be performed by any process known in the art, such as CMP or etchback. At this stage, a plurality of substantially parallel first conductors have been formed at a first height above substrate 100.
[0058] Next, turning to Fig. 6c, vertical semiconductor pillars will be formed above completed conductor rails 200. If conductive material 106 was tungsten, it is preferred to deposit barrier layer 110, preferably of titanium nitride, after planarization of the conductor rails 200. This layer can be formed in any conventional manner. Its thickness can be, for example, about 20 to about 500 angstroms. The thickness of barrier layer 110 is preferably about 200 angstroms.
[0059] Next semiconductor material that will be patterned into pillars is deposited. The semiconductor material can be silicon, silicon-germanium, silicon-germanium-carbon, germanium, or other suitable semiconductors or alloys. Silicon is commonly used in the industry, so, for simplicity, this description will refer to the semiconductor material as silicon, but it will be understood that other materials may be substituted.
[0060] In preferred embodiments, the semiconductor pillar is a junction diode, comprising a bottom heavily doped region of a first conductivity type and a top heavily doped region of a second conductivity type. The middle region, between the top and bottom regions, is an intrinsic or lightly doped region of either the first or second conductivity type. The diode of Fig.7a has a bottom region 112 of N+ (heavily doped n-type) silicon, intrinsic region 114, and P+ top region 116. The diode of Fig.7b is reversed, having bottom region 112 of P+ silicon, intrinsic region 114, and N+ top region 116. The middle region is intrinsic, or not intentionally doped, though in some embodiments it may be lightly doped. An undoped region will never be perfectly electrically neutral, and will always have defects or contaminants that cause it to behave as if slightly n-doped or p-doped. Such a diode can be considered a p-i-n diode.
[0061] Deposition and doping of layers 112, 114, and 116 can be achieved using many conventional methods, as described in the incorporated applications. In a preferred embodiment, heavily doped region 112 is formed by in situ doping with an n-type dopant such as phosphorus by flowing a donor gas during the silicon deposition. Once the desired thickness of layer 112 is formed, flow of the donor gas is stopped, and the rest of the desired thickness of silicon (the thicknesses of layer 114 and 116, in addition to a sacrificial thickness that will be lost in a following CMP step) is deposited undoped. In this preferred embodiment, heavily doped layer 116 is formed by an ion implantation step performed later, and thus has not yet been formed at this point and is not shown in Fig. 6c.
[0062] Turning to Fig. 6d, semiconductor layers 114 and 112 just deposited will be patterned and etched to form semiconductor pillars 300, along with barrier layer 110. Semiconductor pillars 300 should have about the same pitch and about the same width as conductors 200 below, such that each semiconductor pillar 300 is formed on top of a conductor 200. Some misalignment can be tolerated. The semiconductor pillars 300 can be formed using any suitable masking and etching process. [0063] The photolithography techniques described in Chen, US Application No. 10/728436, "Photomask Features with Interior Nonprinting Window Using Alternating Phase Shifting," filed December 5, 2003; or Chen, US Application No. 10/815312, Photomask Features with Chromeless Nonprinting Phase Shifting Window," filed April 1, 2004, both owned by the assignee of the present invention and hereby incorporated by reference, can advantageously be used to perform any photolithography step used in formation of a memory array according to the present invention.
[0064] Dielectric material 108 is deposited over and between the semiconductor pillars 300, filling the gaps between them. Dielectric material 108 can be any known electrically insulating material, such as silicon dioxide.
[0065] Next the dielectric material on top of the pillars 300 is removed, exposing the tops of pillars 300 separated by dielectric material 108, and leaving a substantially planar surface. This removal of dielectric overfill can be performed by any process known in the art, such as CMP or etchback. The ion implantation of heavily doped top regions 116 should be performed at this point, in this example using a p-type dopant to form P+ regions.
[0066] If desired a dielectric layer 118 which Will function as a dielectric rupture antifuse can be formed on each pillar 300. This dielectric layer 118 can be formed by an oxidation method, for example by thermal or plasma oxidation. Alternatively the dielectric rupture antifuse can be deposited. The layer can silicon nitride, silicon oxide, silicon oxynitride, or any other suitable dielectric material. Fig. 6d shows the structure at this point.
[0067] Overlying conductors can be formed in the same manner as the underlying conductors. The overlying conductors will be formed at a height above the height of the first conductors, and extend in a different direction from them, preferably substantially perpendicular to them. Each memory cell comprises a portion of one of the first conductors, one of the first pillars, one of the dielectric rupture antifuses, and a portion of one of the second conductors. The resulting structure is a bottom or first level of memory cells. Additional memory levels can be monolithically formed above the first, as described in the '470 application and the other incorporated references, forming a monolithic three dimensional memory array. For example, a second plurality of pillars can be formed above the upper conductors, and a third plurality of conductors can be formed above them. The upper conductors of one memory level can serve as the lower conductors of an overlying memory level, or an interlevel dielectric can be formed between them. [0068] Fig. 8 shows a cross-sectional view of the array after two memory levels, Ml and M2, have been completed. First memory level Ml includes bottom conductors 200, pillars 300, and top conductors 400. Second memory level M2 includes bottom conductors 500, pillars 600, and top conductors 700. In the array shown, memory levels Ml and M2 do not share conductors. In reality, of course, each memory level will include many more memory cells than are shown in Fig. 8.
[0069] Electrical connection must be made from bottom conductors 500 of memory level M2 to conductors R2 below the array. To form this connection, a void is etched in the intervening dielectric. The etch is intended to stop on conductor 160, one of the R2 conductors. The etch is performed, and, in case of misalignment, the etch will stop on dielectric etch stop layer 98, which was formed immediately beneath conductor 160. Thus this etch cannot continue, inadvertently making an unintended connection to an underlying layer.
[0070] The void is filled with a conductive material. In a preferred embodiment, the void is filled during the same deposition step in which bottom conductors 500 of memory level M2 are formed, and thus is formed of the same material. Bottom conductor 500 and via 150, then, are continuous.
[0071] Turning to Fig. 9, if desired the methods of the present invention can be used at other points in the pictured array to prevent overetch. If connection is to be made from above to bottom conductors 200 of memory level Ml or to bottom conductors 500 of memory level M2, for example, a dielectric etch stop layer 98, for example of silicon nitride, could be formed immediately underneath each of these sets of conductors. This dielectric etch stop layer 98, if formed of a dielectric material different from the dielectric material covering these conductors 200 and 500, could serve to limit dielectric overetch when an dielectric etch is performed to make contact to them.
[0072] Via 150 of Figs. 8 and 9 connects device levels in a monolithic three dimensional array. What has been described is a method to form such a via, the method comprising forming first conductive features in a first device level above a substrate; forming a first dielectric etch stop layer in contact with the first conductive features; depositing a second dielectric material above the first conductive features; etching a void in the second dielectric material, wherein the etch is selective between the first dielectric material and the second dielectric material, wherein the etch stops on the first dielectric material; exposing a portion of the first conductive features; forming the via within the void, the via making electrical connection to one of the first conductive features; and monolithically forming at least a second device level above the first device level.
[0073] In addition to the applications previously incorporated, monolithic three dimensional memory arrays are described in Johnson et al., US Patent No. 6,034,882, "Vertically Stacked Field Programmable Nonvolatile Memory and Method of Fabrication"; Lee et al., US Patent Application No. 09/927,648, "Monolithic Three Dimensional Array of Charge Storage Devices Containing a Planarized Surface," filed August 13, 2001; Walker et al., US Patent Application No. 10/335,089, "Method for Fabricating Programmable Memory Array Structures Incoporating Series-Connected Transistor Strings," filed December 31, 2002; Petti et al., US Patent Application No. 10/728,230, "Semiconductor Device Including Junction Diode Contacting Contact-Antifuse Unit Comprising Suicide," filed December 3, 2003; and Petti, US Patent Application 10/955,387, "Fuse Memory Cell Comprising a Diode, the Diode Serving as the Fuse Element," filed September 29, 2004, all hereby incorporated by reference. Where appropriate, the methods of the present invention could be employed in formation of any such memories.
[0074] A monolithic three dimensional memory array is one in which multiple memory levels are formed above a- single substrate, such as a wafer, with no intervening substrates. The layers forming one memory level are deposited or grown directly over the layers of an existing level or levels. In contrast, stacked memories have been constructed by forming memory levels on separate substrates and adhering the memory levels atop each other, as in Leedy, US Patent No. 5,915,167, "Three dimensional structure memory." The substrates may be thinned or removed from the memory levels before bonding, but as the memory levels are initially formed over separate substrates, such memories are not true monolithic three dimensional memory arrays.
[0075] A monolithic three dimensional memory array formed above a substrate comprises at least a first memory level formed at a first height above the substrate and a second memory level formed at a second height different from the first height. Three, four, eight, or more memory levels can be formed above the substrate in such a multilevel array.
[0076] Many techniques can be used to advantageously arrange electrical connections between memory levels and substrate circuitry in monolithic three dimensional memories. Some of these techniques are described in Scheuerlein et al., US Patent No. 6,856,572, "Multi-headed decoder structure utilizing memory array line driver with dual purpose driver device"; in Scheuerlein et al., US Patent Application 10/335,078, "Programmable Memory array Structure Incorporating Series-Connected Transistor Strings and Methods for Fabrication and Operation of Same," filed December 31, 2002; in Scheuerlein et al., US Application No. 10/403752, "Three-Dimensional Memory Device Incorporating Segmented Bit Line Memory Array," filed March 31, 2003; in Scheuerlein et al., US Application No. 10/403,844, "Word Line Arrangement Having Multi-layer Word Line Segments for Three- Dimensional Memory Array," filed March 31, 2003; in Cleeves et al, US Patent Application 10/728,437, "Optimization of Critical Dimensions and Pitch of Patterned Features in and Above a Substrate," filed December 5, 2003, and in Scheuerlein et al., US Patent Application 10/728,451, "High Density Contact to Relaxed Geometry Layers," filed December 5, 2003, all hereby incorporated by reference.
[0077] The present invention has been described in the context of a monolithic three dimensional memory array. As will be apparent to those skilled in the art, however, the methods of the present invention can be advantageously used in any context in which dielectric overetch is to be avoided. Clearly the utility of such an approach is in no way limited to memory or to three dimensional devices.
[0078] The foregoing detailed description has described only a few of the many forms that this invention can take. For this reason, this detailed description is intended by way of illustration, and not by way of limitation. It is only the following claims, including all equivalents, which are intended to define the scope of this invention.

Claims

WHAT IS CLAIMED IS:
1. A method for reducing dielectric overetch, the method comprising: depositing a layer or stack of conductive or semiconductor material; patterning and etching the layer or stack of conductive or semiconductor material to form a plurality of conductive or semiconductor features; depositing a layer of a first dielectric material directly on a substantially planar surface; depositing a second dielectric material above the conductive or semiconductor features, wherein the first dielectric layer is above or below the conductive or semiconductor features; etching a void in the second dielectric material wherein the etch is selective between the first and the second dielectric material and the etch stops on the first material; and exposing a portion of the conductive or semiconductor features.
2. The method of claim 1 wherein, before the step of depositing the first dielectric material, the substantially planar surface coexposes a third dielectric material and the conductive or semiconductor features.
3. The method of claim 2 wherein the step of forming the substantially planar surface comprises planarization by CMP.
4. The method of claim 1 wherein the layer or stack of conductive or semiconductor material is deposited above the first dielectric layer.
5. The method of claim 1 wherein the conductive or semiconductor features comprise a metal.
6. The method of claim 1 wherein the conductive or semiconductor features comprise semiconductor material.
7. The method of claim 1 wherein the conductive or semiconductor features are elements of a monolithic three dimensional memory array.
8. A method for reducing dielectric overetch, the method comprising: forming a layer of a first dielectric material; forming conductive or semiconductor features above and in contact with the first dielectric material; depositing a second dielectric material above and contacting the conductive or semiconductor features; etching a void in the second dielectric material, wherein the etch is selective between the first and second dielectric material, and the etch stops on the first dielectric material; and exposing a portion of the conductive or semiconductor features.
9. The method of claim 8 wherein the first dielectric material is silicon nitride, silicon carbide, or silicon oxynitride.
10. The method of claim 8 wherein the second dielectric material is silicon dioxide.
11. The method of claim 8 wherein the step of forming the conductive or semiconductor features comprises: depositing a layer or stack of conductive or semiconductor material; and patterning and etching the layer or stack of conductive or semiconductor material to form the conductive or semiconductor features.
12. The method of claim 8 wherein the conductive or semiconductor features are formed above a monocrystalline semiconductor substrate.
13. The method of claim 8 wherein the conductive or semiconductor features are elements in a monolithic three dimensional memory array.
14. A method for reducing dielectric overetch, the method comprising: depositing a layer or stack of conductive or semiconductor material; patterning and etching the layer or stack of conductive or semiconductor material to form conductive or semiconductor features; depositing first dielectric fill over and between the conductive or semiconductor features; planarizing to coexpose the first dielectric fill and the conductive or semiconductor features, forming a substantially planar surface; depositing a dielectric etch stop layer directly on the planar surface; depositing second dielectric material on the dielectric etch stop layer; etching a void in the second dielectric material, wherein the etch is selective between the second dielectric material and the dielectric etch stop layer, wherein the etch stops on the dielectric etch stop layer; and etching a portion of the dielectric etch stop layer to expose portions of the conductive or semiconductor features.
15. The method of claim 14 wherein the dielectric etch stop layer comprises silicon nitride, silicon oxynitride, or silicon carbide.
16. The method of claim 14 wherein the planarizing step is performed by CMP.
17. The method of claim 14 wherein the conductive or semiconductor features are elements in a monolithic three dimensional memory array.
18. The method of claim 14 wherein the conductive or semiconductor features are lines.
19. The method of claim 14 wherein the conductive or semiconductor features comprise a metal.
20. The method of claim 19 wherein the metal is tungsten or a tungsten alloy or compound.
21. A method for reducing dielectric overetch, the method comprising: depositing a layer or stack of conductive or semiconductor material above a substrate; patterning and etching the conductive or semiconductor material to form a plurality of conductive or semiconductor features separated by gaps; filling the gaps with a first dielectric fill; depositing a second dielectric material directly on the first dielectric fill; depositing a third dielectric material above the conductive or semiconductor features; etching a void in the third dielectric material, wherein the etch is selective between the third dielectric material and the second dielectric material and the etch stops on the second dielectric material; and exposing a portion of the conductive or semiconductor feature.
22. The method of claim 21 wherein the first dielectric fill and the second dielectric material are the same dielectric material.
23. The method of claim 21 wherein the first dielectric fill and the second dielectric material are not the same dielectric material.
24. The method of claim 21 wherein the second dielectric material is silicon nitride, silicon oxynitride, or silicon carbide.
25. The method of claim 21 wherein the conductive or semiconductor features are lines.
26. The method of claim 21 wherein, before the step of depositing the third dielectric material, the second dielectric material and the conductive or semiconductor features are coexposed in a substantially planar surface.
27. The method of claim 21 wherein the second dielectric material is deposited on a substantially planar surface, the substantially planar surface coexposing the first dielectric material and the conductive or semiconductor features.
28. The method of claim 21 wherein the conductive or semiconductor features comprise a metal.
29. The method of claim 21 wherein the conductive or semiconductor features comprise semiconductor material.
30. The method of claim 21 wherein the substrate comprises monocrystalline silicon.
31. The method of claim 21 wherein the conductive or semiconductor features are elements of a monolithic three dimensional memory array.
32. A method for forming a via connecting device levels in a monolithic three dimensional array, the method comprising: forming first conductive features in a first device level above a substrate; forming a first dielectric etch stop layer in contact with the first conductive features; depositing a second dielectric material above the first conductive features; etching a void in the second dielectric material, wherein the etch is selective between the first dielectric material and the second dielectric material, wherein the etch stops on the first dielectric material; exposing a portion of the first conductive features; forming the via within the void, the via making electrical connection to one of the first conductive features; and monolithically forming at least a second device level above the first device level.
33. The method of claim 32 wherein the substrate comprises monocrystalline silicon.
34. The method of claim 32 wherein the first conductive features comprise a layer or stack of metal or deposited semiconductor material.
35. The method of claim 34 wherein the first conductive features comprise rail-shaped conductors.
36. The method of claim 32 wherein the first device level is a first memory level of memory cells.
37. The method of claim 36 wherein the second device level is a second memory level of memory cells.
38. A method for forming a via connecting device levels in a monolithic three dimensional array, the method comprising: forming first conductive features at a first height above a substrate; forming a first dielectric etch stop layer in contact with the first conductive features; depositing a second dielectric material above the first conductive features; etching a void in the second dielectric material, wherein the etch is selective between the first dielectric material and the second dielectric material, wherein the etch stops on the first dielectric material; exposing a portion of the first conductive features; forming the via within the void, the via making electrical connection to one of the first conductive features; monolithically forming a first device level at a second height above the first height; and monolithically forming a second device level above the first device level.
39. The method of claim 38 wherein the substrate comprises monocrystalline silicon.
40. The method of claim 38 wherein the first conductive features comprise a layer or stack of metal or deposited semiconductor material.
41. The method of claim 40 wherein the first conductive features comprise rail-shaped conductors.
42. The method of claim 38 wherein the first device level is a first memory level of memory cells.
43. The method of claim 42 wherein the second device level is a second memory level of memory cells.
PCT/US2006/010520 2005-03-25 2006-03-21 Method for reducing dielectric overetch when making contact to conductive features WO2006104817A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN2006800155858A CN101189714B (en) 2005-03-25 2006-03-21 Method for reducing dielectric overetch when making contact to conductive features
EP06739347A EP1861874A2 (en) 2005-03-25 2006-03-21 Method for reducing dielectric overetch when making contact to conductive features
JP2008503170A JP2008536300A (en) 2005-03-25 2006-03-21 Method for reducing dielectric overetching in making contacts to conductive features

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/089,771 US7521353B2 (en) 2005-03-25 2005-03-25 Method for reducing dielectric overetch when making contact to conductive features
US11/089,771 2005-03-25

Publications (2)

Publication Number Publication Date
WO2006104817A2 true WO2006104817A2 (en) 2006-10-05
WO2006104817A3 WO2006104817A3 (en) 2006-11-23

Family

ID=36808162

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/010520 WO2006104817A2 (en) 2005-03-25 2006-03-21 Method for reducing dielectric overetch when making contact to conductive features

Country Status (7)

Country Link
US (4) US7521353B2 (en)
EP (1) EP1861874A2 (en)
JP (1) JP2008536300A (en)
KR (1) KR20080005494A (en)
CN (2) CN101189714B (en)
TW (1) TWI329904B (en)
WO (1) WO2006104817A2 (en)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060249753A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. High-density nonvolatile memory array fabricated at low temperature comprising semiconductor diodes
US7521353B2 (en) * 2005-03-25 2009-04-21 Sandisk 3D Llc Method for reducing dielectric overetch when making contact to conductive features
US7422985B2 (en) * 2005-03-25 2008-09-09 Sandisk 3D Llc Method for reducing dielectric overetch using a dielectric etch stop at a planar surface
US7728390B2 (en) * 2005-05-06 2010-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-level interconnection memory device
KR100895853B1 (en) * 2006-09-14 2009-05-06 삼성전자주식회사 Stacked memory and method for forming the same
JP2010118530A (en) * 2008-11-13 2010-05-27 Toshiba Corp Nonvolatile semiconductor memory device
US8461038B2 (en) * 2011-03-02 2013-06-11 Texas Instruments Incorporated Two-track cross-connects in double-patterned metal layers using a forbidden zone
US8372743B2 (en) * 2011-03-02 2013-02-12 Texas Instruments Incorporated Hybrid pitch-split pattern-split lithography process
US8575020B2 (en) * 2011-03-02 2013-11-05 Texas Instruments Incorporated Pattern-split decomposition strategy for double-patterned lithography process
US8802561B1 (en) * 2013-04-12 2014-08-12 Sandisk 3D Llc Method of inhibiting wire collapse
US10546772B2 (en) 2016-03-30 2020-01-28 Intel Corporation Self-aligned via below subtractively patterned interconnect

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999016118A1 (en) * 1997-09-25 1999-04-01 Advanced Micro Devices, Inc. Process for fabricating semiconductor device including antireflective etch stop layer
US6072237A (en) * 1996-03-15 2000-06-06 Taiwan Semiconductor Manufacturing Company Borderless contact structure
US6162722A (en) * 1999-05-17 2000-12-19 United Microelectronics Corp. Unlanded via process
US6258712B1 (en) * 1998-12-31 2001-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a borderless contact
US6472308B1 (en) * 1996-11-21 2002-10-29 Advanced Micro Devices, Inc. Borderless vias on bottom metal
US20030109123A1 (en) * 2000-01-24 2003-06-12 Toshiyuki Orita Method of forming a via hole in a semiconductor device
US20050014322A1 (en) * 2002-12-19 2005-01-20 Matrix Semiconductor Method for making high density nonvolatile memory

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4499557A (en) * 1980-10-28 1985-02-12 Energy Conversion Devices, Inc. Programmable cell for use in programmable electronic arrays
US4646266A (en) * 1984-09-28 1987-02-24 Energy Conversion Devices, Inc. Programmable semiconductor structures and methods for using the same
JP2934353B2 (en) * 1992-06-24 1999-08-16 三菱電機株式会社 Semiconductor device and manufacturing method thereof
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5244837A (en) * 1993-03-19 1993-09-14 Micron Semiconductor, Inc. Semiconductor electrical interconnection methods
TW272310B (en) * 1994-11-09 1996-03-11 At & T Corp Process for producing multi-level metallization in an integrated circuit
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6008116A (en) * 1997-12-18 1999-12-28 Advanced Micro Devices, Inc. Selective etching for improved dielectric interlayer planarization
US6034882A (en) * 1998-11-16 2000-03-07 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
US6365453B1 (en) * 1999-06-16 2002-04-02 Micron Technology, Inc. Method and structure for reducing contact aspect ratios
US6329118B1 (en) * 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
US6395639B1 (en) * 1999-09-16 2002-05-28 Agere Systems Guardian Corporation Process for improving line width variations between tightly spaced and isolated features in integrated circuits
US6544887B1 (en) * 2000-03-31 2003-04-08 Lam Research Corporation Polycide etch process
US6856572B2 (en) * 2000-04-28 2005-02-15 Matrix Semiconductor, Inc. Multi-headed decoder structure utilizing memory array line driver with dual purpose driver device
KR100363091B1 (en) * 2000-06-27 2002-11-30 삼성전자 주식회사 Semiconductor memory device having self-aligned contacts and method of fabricating the same
EP2988331B1 (en) * 2000-08-14 2019-01-09 SanDisk Technologies LLC Semiconductor memory device
TW508860B (en) * 2000-08-30 2002-11-01 Mitsui & Amp Co Ltd Paste-like thin electrode for battery, its manufacturing method, and battery
JP2003100869A (en) * 2001-09-27 2003-04-04 Toshiba Corp Semiconductor device and its manufacturing method
TW511233B (en) 2001-11-01 2002-11-21 United Microelectronics Corp Oxygen-doped silicon carbide etch stop layer
US6975016B2 (en) * 2002-02-06 2005-12-13 Intel Corporation Wafer bonding using a flexible bladder press and thinned wafers for three-dimensional (3D) wafer-to-wafer vertical stack integration, and application thereof
KR100445638B1 (en) * 2002-07-26 2004-08-25 삼성전자주식회사 Interconnection structure connecting electrically isolated regions and method of fabricatinging the same
US7063597B2 (en) * 2002-10-25 2006-06-20 Applied Materials Polishing processes for shallow trench isolation substrates
US6909152B2 (en) * 2002-11-14 2005-06-21 Infineon Technologies, Ag High density DRAM with reduced peripheral device area and method of manufacture
US7285464B2 (en) * 2002-12-19 2007-10-23 Sandisk 3D Llc Nonvolatile memory cell comprising a reduced height vertical diode
US8637366B2 (en) * 2002-12-19 2014-01-28 Sandisk 3D Llc Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
US6946719B2 (en) * 2003-12-03 2005-09-20 Matrix Semiconductor, Inc Semiconductor device including junction diode contacting contact-antifuse unit comprising silicide
US7176064B2 (en) * 2003-12-03 2007-02-13 Sandisk 3D Llc Memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide
US7005350B2 (en) * 2002-12-31 2006-02-28 Matrix Semiconductor, Inc. Method for fabricating programmable memory array structures incorporating series-connected transistor strings
US7505321B2 (en) * 2002-12-31 2009-03-17 Sandisk 3D Llc Programmable memory array structure incorporating series-connected transistor strings and methods for fabrication and operation of same
US6879505B2 (en) * 2003-03-31 2005-04-12 Matrix Semiconductor, Inc. Word line arrangement having multi-layer word line segments for three-dimensional memory array
US7233024B2 (en) * 2003-03-31 2007-06-19 Sandisk 3D Llc Three-dimensional memory device incorporating segmented bit line memory array
US7115517B2 (en) * 2003-04-07 2006-10-03 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
US7202162B2 (en) * 2003-04-22 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition tantalum nitride layer to improve adhesion between a copper structure and overlying materials
US7511352B2 (en) 2003-05-19 2009-03-31 Sandisk 3D Llc Rail Schottky device and method of making
US7125792B2 (en) * 2003-10-14 2006-10-24 Infineon Technologies Ag Dual damascene structure and method
US6918821B2 (en) * 2003-11-12 2005-07-19 Dow Global Technologies, Inc. Materials and methods for low pressure chemical-mechanical planarization
US7172840B2 (en) * 2003-12-05 2007-02-06 Sandisk Corporation Photomask features with interior nonprinting window using alternating phase shifting
US7423304B2 (en) * 2003-12-05 2008-09-09 Sandisck 3D Llc Optimization of critical dimensions and pitch of patterned features in and above a substrate
US7474000B2 (en) * 2003-12-05 2009-01-06 Sandisk 3D Llc High density contact to relaxed geometry layers
US7050290B2 (en) * 2004-01-30 2006-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated capacitor
US20050221200A1 (en) * 2004-04-01 2005-10-06 Matrix Semiconductor, Inc. Photomask features with chromeless nonprinting phase shifting window
US20060067117A1 (en) * 2004-09-29 2006-03-30 Matrix Semiconductor, Inc. Fuse memory cell comprising a diode, the diode serving as the fuse element
US7224013B2 (en) * 2004-09-29 2007-05-29 Sandisk 3D Llc Junction diode comprising varying semiconductor compositions
US7037774B1 (en) * 2004-10-21 2006-05-02 Integrated Device Technology, Inc. Self-aligned contact structure and process for forming self-aligned contact structure
US7300876B2 (en) * 2004-12-14 2007-11-27 Sandisk 3D Llc Method for cleaning slurry particles from a surface polished by chemical mechanical polishing
US7521353B2 (en) * 2005-03-25 2009-04-21 Sandisk 3D Llc Method for reducing dielectric overetch when making contact to conductive features
US7422985B2 (en) * 2005-03-25 2008-09-09 Sandisk 3D Llc Method for reducing dielectric overetch using a dielectric etch stop at a planar surface

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6072237A (en) * 1996-03-15 2000-06-06 Taiwan Semiconductor Manufacturing Company Borderless contact structure
US6472308B1 (en) * 1996-11-21 2002-10-29 Advanced Micro Devices, Inc. Borderless vias on bottom metal
WO1999016118A1 (en) * 1997-09-25 1999-04-01 Advanced Micro Devices, Inc. Process for fabricating semiconductor device including antireflective etch stop layer
US6258712B1 (en) * 1998-12-31 2001-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a borderless contact
US6162722A (en) * 1999-05-17 2000-12-19 United Microelectronics Corp. Unlanded via process
US20030109123A1 (en) * 2000-01-24 2003-06-12 Toshiyuki Orita Method of forming a via hole in a semiconductor device
US20050014322A1 (en) * 2002-12-19 2005-01-20 Matrix Semiconductor Method for making high density nonvolatile memory

Also Published As

Publication number Publication date
CN102683267B (en) 2015-04-08
TW200703559A (en) 2007-01-16
CN102683267A (en) 2012-09-19
TWI329904B (en) 2010-09-01
US8497204B2 (en) 2013-07-30
US20130295764A1 (en) 2013-11-07
US20110189840A1 (en) 2011-08-04
US7928007B2 (en) 2011-04-19
CN101189714A (en) 2008-05-28
EP1861874A2 (en) 2007-12-05
KR20080005494A (en) 2008-01-14
WO2006104817A3 (en) 2006-11-23
CN101189714B (en) 2012-03-28
US7521353B2 (en) 2009-04-21
US20090142921A1 (en) 2009-06-04
JP2008536300A (en) 2008-09-04
US8741768B2 (en) 2014-06-03
US20060216931A1 (en) 2006-09-28

Similar Documents

Publication Publication Date Title
US8741768B2 (en) Method for reducing dielectric overetch when making contact to conductive features
US7790607B2 (en) Method for reducing dielectric overetch using a dielectric etch stop at a planar surface
US7238607B2 (en) Method to minimize formation of recess at surface planarized by chemical mechanical planarization
US20050012119A1 (en) Method for making high density nonvolatile memory
US8722518B2 (en) Methods for protecting patterned features during trench etch
TWI381490B (en) Method of making a nonvolatile memory device
US8674404B2 (en) Additional metal routing in semiconductor devices
US20100283053A1 (en) Nonvolatile memory array comprising silicon-based diodes fabricated at low temperature
US8071475B2 (en) Liner for tungsten/silicon dioxide interface in memory

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200680015585.8

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application
ENP Entry into the national phase

Ref document number: 2008503170

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2006739347

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 1020077022850

Country of ref document: KR

NENP Non-entry into the national phase

Ref country code: RU