WO2007001832A1 - Plasma treatment of dielectric material - Google Patents

Plasma treatment of dielectric material Download PDF

Info

Publication number
WO2007001832A1
WO2007001832A1 PCT/US2006/022997 US2006022997W WO2007001832A1 WO 2007001832 A1 WO2007001832 A1 WO 2007001832A1 US 2006022997 W US2006022997 W US 2006022997W WO 2007001832 A1 WO2007001832 A1 WO 2007001832A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
gas
precursor
range
hafnium
Prior art date
Application number
PCT/US2006/022997
Other languages
French (fr)
Inventor
Shankar Muthukrishnan
Rahul Sharangpani
Tejal Goyani
Pravin K. Narwankar
Shreyas S. Kher
Khaled Z. Ahmed
Yi Ma
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to JP2008518216A priority Critical patent/JP2008544091A/en
Publication of WO2007001832A1 publication Critical patent/WO2007001832A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31637Deposition of Tantalum oxides, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2

Abstract

In one embodiment, a method for forming a dielectric material is provided which includes exposing a substrate sequentially to a metal-containing precursor and an oxidizing gas while forming metal oxide during an atomic layer deposition (ALD) process and subsequently exposing the substrate to an inert plasma process and a thermal annealing process. Generally, the metal oxide contains hafnium, tantalum, titanium, aluminum, zirconium, lanthanum, or combinations thereof. In one example, the substrate is exposed to an inert plasma gas that is free or substantially free of nitrogen. Subsequently, the substrate is exposed to an environment of oxygen during a thermal annealing process. In another example, a metal oxide material is formed during an ALD process by exposing the substrate sequentially to a metal precursor and an oxidizing gas containing water vapor. The water vapor may be formed from a catalytic water vapor generator consuming a hydrogen source and an oxygen source.

Description

PLASMA TREATMENT OF DIELECTRIC MATERIALS
BACKGROUND OF THE INVENTION
Field of the Invention
[0001] Embodiments of the invention generally relate to methods for depositing materials on substrates, and more specifically, to methods for depositing and stabilizing dielectric materials while forming a dielectric stack.
[00023 In the field of semiconductor processing, flat-panel display processing or other electronic device processing, vapor deposition processes have played an important role in depositing materials on substrates. As the geometries of electronic devices continue to shrink and the density of devices continues to increase, the size and aspect ratio of the features are becoming more aggressive, e.g., feature sizes of 65 nm or smaller and aspect ratios of 10 or greater are being considered. Accordingly, conformal deposition of materials to form these devices is becoming increasingly important.
[0003] While conventional chemical vapor deposition (CVD) has proved successful for device geometries and aspect ratios down to 0.15 μm, the more aggressive device geometries require an alternative deposition technique. One technique that is receiving considerable attention is atomic layer deposition (ALD). During an ALD process, reactant gases are sequentially introduced into a process chamber containing a substrate. Generally, a first reactant is pulsed into the process chamber and is adsorbed onto the substrate surface. A second reactant is pulsed into the process chamber and reacts with the first reactant to form a deposited material. A purge step is typically carried out between the delivery of each reactant gas. The purge step may be a continuous purge with the carrier gas or a pulse purge between the delivery of the reactant gases.
[0004] Atomic layer deposition processes have been successfully implemented for depositing dielectric layers, barrier layers and conductive layers. High-k dielectric materials deposited by ALD processes for gate and capacitor applications include hafnium oxide, hafnium silicate, zirconium oxide, or tantalum oxide. Dielectric materials, such as high-k dielectric materials, may experience morphological changes when exposed to high temperatures (>500°C) during subsequent fabrication processes. For example, titanium nitride is often deposited on hafnium oxide or zirconium oxide by a chemical vapor deposition (CVD) process at about 6000C. At such high temperature, the hafnium oxide or zirconium oxide may crystallize, loosing amorphousity and low leakage properties. Also, even if full crystallization of the dielectric material is avoided, exposure to high temperatures may form grain growth and/or phase separation of the dielectric material resulting in poor device performance due to high current leakage.
[0005] Therefore, there is a need for a process to form dielectric materials, especially high-k dielectric materials, which are morphologically stable during exposure to high temperatures during subsequent fabrication processes.
SUMMARY OF THE INVENTION
[0006] In one embodiment, a method for forming a dielectric material on a substrate is provided which includes exposing the substrate sequentially to a metal- containing precursor and an oxidizing gas during an ALD process to form a metal oxide material thereon and subsequently exposing the substrate to an inert plasma process and a thermal annealing process. The inert plasma process exposes the substrate to a plasma formed from an inert gas for about 30 seconds to about 5 minutes. In one example, the substrate may be heated to a temperature within a range from about 6000C to about 1 ,2000C for as long as 2 minutes during the thermal annealing process. Thereafter, the substrate containing the metal oxide is exposed to a nitrogen-free, argon plasma having a power output of about 1 ,800 watts for a time period within a range from about 1 minute to about 3 minutes during the inert plasma process. Subsequently, the substrate may be thermally annealed within an annealing chamber containing oxygen for about 10 seconds to about 30 seconds at temperature within a range from about 8000C to about 1 ,1000C.
[0007] Generally, the metal oxide material has a thickness within a range from about 5 Λ to about 100 A and contains hafnium, tantalum, titanium, aluminum, zirconium, lanthanum, or combinations thereof. In one example, a hafnium oxide layer with a thickness of about 40 A has a capacitance of at least about 2.4 μF/cm2. In other examples, the method provides a pretreatment process to remove native oxides from the substrate surface and subsequently form a chemical oxide layer during a wet-clean process. In another example, the method provides exposing the substrate to a post deposition annealing process after depositing the metal oxide layer and prior to the inert plasma process.
[0008] In other embodiments described herein, metal oxide layers may be formed by an ALD process that sequentially exposes the substrate to an oxidizing gas and at least one metal precursor to form the metal oxide layer thereon. The oxidizing gas may contain water vapor formed by flowing a hydrogen source gas and an oxygen source gas into a water vapor generator. The metal precursor may include a hafnium precursor, a zirconium precursor, an aluminum precursor, a tantalum precursor, a titanium precursor, a lanthanum precursor, or combinations thereof. In one example, a method for forming a hafnium-containing material on a substrate is provide which includes exposing the substrate to a deposition process to form a dielectric material containing hafnium oxide thereon, exposing the substrate to an inert plasma process that uses a nitrogen-free argon plasma and further exposing the substrate to a thermal annealing process within an oxygen-containing environment.
[0009] In an alternative embodiment, a method for forming a dielectric material on a substrate is provide which includes exposing the substrate to a deposition process to form a metal oxide layer thereon and subsequently exposing the substrate to a nitridation plasma process and to a thermal annealing process to form a metal oxynitride layer. The metal oxide layer is usually substantially free of silicon and may contain hafnium, tantalum, titanium, aluminum, zirconium, lanthanum, or combinations thereof. The nitridation plasma process may last for about 1 minute to about 3 minutes with a power output within a range from about 900 watts to about 1 ,800 watts. The substrate may be heated to a temperature within a range from about 6000C to about 1 ,2000C for as long as 2 minutes during the thermal annealing process. In one example, a substrate is exposed to a nitridation plasma process using a process gas containing about 50 volumetric percent (vol%) or less of nitrogen gas to form a dielectric material with a nitrogen concentration within a range from about 5 atomic percent (at%) to about 25 at%. The substrate is thermally annealed within the process chamber containing oxygen for about 10 seconds to about 30 seconds at a temperature within a range from about 8000C to about 1 ,100°C.
[0010] Generally, a dielectric oxynitride material having a thickness within a range from about 5 A to about 100 Λ has a capacitance of about 2.4 μF/cm2 or less. In one example, the dielectric oxynitride material with a thickness of about 50 A has a capacitance of about 2.35 μF/cm2. In some examples, the method provides pretreatment processes to remove native oxides from the substrate surface and subsequently form a chemical oxide layer during a wet-clean process. In other examples, the method provides exposing the substrate to a post deposition annealing process after depositing the metal oxide layer and prior to the nitridation plasma process.
[0011] In another embodiment, a method for forming a hafnium-containing material on a substrate is provided which includes exposing a substrate to a deposition process to form a dielectric material containing hafnium oxide thereon, exposing the substrate to a nitridation plasma process to form hafnium oxynitride from the hafnium oxide and exposing the substrate to a thermal annealing process.
BRIEF DESCRIPTION OF THE DRAWINGS
[0012] So that the manner in which the above recited features of the invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of the invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. [0013] Figure 1 illustrates a process sequence for forming a dielectric material according to one embodiment described herein;
[0014] Figures 2A-2C depict a substrate during various stages of the process sequence referred to in Figure 1 ;
[0015] Figure 3 graphically illustrates electrical properties of a dielectric material formed according to one embodiment described herein;
[0016] Figure 4 illustrates a process sequence for forming a dielectric material according to another embodiment described herein;
[0017] Figures 5A-5C depict a substrate during various stages of the process sequence referred to in Figure 4; and
[0018] Figures 6A-6B graphically illustrate electrical properties of a dielectric material formed according to one embodiment described herein.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
[001 !3] Embodiments of the invention provide methods for preparing dielectric materials used in a variety of applications, especially for high-k dielectric materials used in transistor and capacitor fabrication. An atomic layer deposition (ALD) process may be used to control elemental composition of the formed dielectric compounds. In one embodiment, a dielectric material or a dielectric stack is prepared by depositing a dielectric layer containing a metal oxide during on a substrate an ALD process, exposing the substrate to an inert gas plasma process while densifying the dielectric layer and subsequently exposing the substrate to a thermal annealing process. In another embodiment, a dielectric material or a dielectric stack is prepared by depositing a dielectric layer containing a metal oxide on a substrate during an ALD process, exposing the dielectric layer to a nitridation process to form a metal oxynitride from the metal oxide and subsequently exposing the substrate to a thermal annealing process. [0020] The dielectric layers usually contain a metal oxide and may be deposited by an ALD process, a conventional chemical vapor deposition (CVD) process or a physical vapor deposition (PVD) process. The dielectric layers contain oxygen and at least one additional element, such as hafnium, tantalum, titanium, aluminum, zirconium, lanthanum, or combinations thereof. For example, the dielectric layers may contain hafnium oxide, zirconium oxide, tantalum oxide, aluminum oxide, lanthanum oxide, titanium oxide, derivatives thereof, or combinations thereof. In one embodiment, the dielectric layer contains a metal oxide substantially free of silicon. Embodiments of the invention provide an ALD process that exposes the substrate sequentially to a metal precursor and an oxidizing gas to form the dielectric layer. In one example, the oxidizing gas contains water vapor formed by flowing a hydrogen source gas and an oxygen source gas into a water vapor generator. The hydrogen source gas may be hydrogen gas or forming gas and the oxygen source gas may be oxygen gas or nitrous oxide.
Inert plasma stabilization of dielectric material
[0021] In Figure 1 , a flow chart illustrates an exemplary process 100 for forming a dielectric material, such as a metal oxide material {e.g., HfOx or TaOx). Figures 2A- 2C correspond to process 100 to illustrate the formation of a dielectric material used in a semiconductor device, such as a transistor or a capacitor. Layer 201 , containing oxide layer 202 disposed on layer 201 , is exposed to an inert plasma process to form plasma-treated oxide layer 204 (Figure 2B) that is subsequently converted to post anneal layer 206 by a thermal annealing process (Figure 2C).
[0022] Prior to depositing oxide layer 202, layer 201 may be exposed to a pretreatment process in order to terminate the substrate surface with a preferable functional group. Functional groups that are useful prior to starting a deposition process as described herein include hydroxyls (OH), alkoxy (OR, where R = Me, Et, Pr or Bu), haloxyls (OX, where X = F, Cl, Br, or I), halides (F, Cl, Br, or I), oxygen radicals, and aminos or amidos (NR or NR2, where R = H, Me, Et, Pr, or Bu). The pretreatment process may expose the substrate to a reagent, such as NH3, B2H6, SiH4, SiH6, H2O, HF, HCI, O2, O3, H2O, H2O2, H2, atomic-H, atomic-N, atomic-O, alcohols, amines, plasmas thereof, derivatives thereof, or combination thereof. The functional groups may provide a base for an incoming chemical precursor to attach on the substrate surface. The pretreatment process may expose substrate 200 to the reagent for a period within a range from about 1 second to about 2 minutes, preferably, from about 5 seconds to about 60 seconds. Pretreatment processes may also include exposing substrate 200 to an RCA solution (SC1/SC2), an HF-last solution, water vapor from WVG or ISSG systems, peroxide solutions, acidic solutions, basic solutions, plasmas thereof, derivatives thereof, or combinations thereof. Useful pretreatment processes are described in commonly assigned U.S. Pat. No. 6,858,547, and co-pending U.S. Ser. No. 10/302,752, filed November 21 , 2002, and published as US 2003-0232501 , which are both incorporated herein by reference in their entirety for the purpose of describing pretreatment methods and compositions of pretreatment solutions.
[0023] In one example of a pretreatment process, a native oxide layer is removed prior to exposing substrate 200 to a wet-clean process to form a chemical oxide layer having a thickness of about 10 A or less, such as within a range from about 5 A to about 7 A. Native oxides may be removed by a HF-last solution. The wet-clean process may be performed in a TEMPEST™ wet-clean system, available from Applied Materials, Inc., located in Santa Clara, California. In another example, substrate 200 is exposed to water vapor derived from a WVG system for about 15 seconds prior to starting an ALD process. The water vapor may be formed by flowing a hydrogen source gas (e.g., hydrogen gas or forming gas) and an oxygen source gas (e.g., oxygen gas or nitrous oxide) into the WVG system.
[0024] In one embodiment of process 100, oxide layer 202 is formed on layer 201 , during step 402, by vapor deposition processes, such as ALD, CVD, PVD, thermal techniques, or combinations thereof, as depicted in Figure 5A. In a preferred embodiment, oxide layer 202 may be deposited by ALD processes and apparatuses as described in commonly assigned and co-pending U.S. Ser. No. 11/127,767, filed May 12, 2005, and published as US 2005-0271813, and in commonly assigned and co-pending U.S. Ser. No. 11/127,753, filed May 12, 2005, and published as US 2005-0271812, which are incorporated herein by reference in their entirety for the purpose of describing methods and apparatuses used during ALD processes. Oxide layer 202 is generally deposited with a film thickness within a range from about 5 A to about 300 A, preferably, from about 10 A to about 200 A, and more preferably, from about 20 A to about 100 A. In some example, oxide layer 202 has a thickness within a range from about 10 A to about 60 A, preferably, from about 30 A to about 40 A.
[0025] Oxide layer 202 is deposited on the substrate surface and may have a variety of compositions that are homogenous, heterogeneous, or graded and may be a single layer, multiple layered stacks, or laminates. Oxide layer 202 is a high-k dielectric material generally containing a metal oxide. Therefore, oxide layer 202 contains bxygen and at least one metal, such as hafnium, zirconium, titanium, tantalum, lanthanum, aluminum, or combinations thereof. Although some silicon diffusion into oxide layer 202 may occur from the substrate, oxide layer 202 is usually substantially free of silicon. Oxide layer 202 may have a composition that includes hafnium-containing materials, such as hafnium oxides (HfOx or HfOa), hafnium oxynitrides (HfOxNy), hafnium aluminates (HfAIxOy), hafnium lanthanum oxides (HfLaxOy), zirconium-containing materials, such as zirconium oxides (ZrOx or ZrO2), zirconium oxynitrides (ZrOxNy), zirconium aluminates (ZrAIxOy), zirconium lanthanum oxides (ZrLaxOy), other aluminum-containing materials or lanthanum- containing materials, such as aluminum oxides (AI2O3 or AIOx), aluminum oxynitrides (AIOxNy), lanthanum aluminum oxides (LaAIxOy), lanthanum oxides (LaOx or La2O3), alloys thereof, derivatives thereof, or combinations thereof. Other dielectric materials useful for oxide layer 202 may include titanium oxides (TiOx or TiO2), titanium oxynitrides (TiOxNy), tantalum oxides (TaOx or Ta2O5), and tantalum oxynitrides (TaOxNy). Laminate films that are useful dielectric materials for oxide layer 202 include HfO2/AI2O3, La2O3ZAI2O3 and HfO2ZLa2O3ZAI2O3.
[0026] In one embodiment, substrate 200 may be optionally exposed to a post deposition anneal (PDA) process. Substrate 200 containing oxide layer 202 is transferred to an annealing chamber, such as the CENTURA® RADIANCE® RTP chamber available from Applied Materials, Inc., located in Santa Clara, California and exposed to the PDA process. The annealing chamber may be on the same cluster tool as the deposition chamber andZor the plasma chamber, so substrate 200 may be annealed without being exposed to the ambient environment. Substrate 200 may be heated to a temperature within a range from about 600°C to about 1 ,2000C, preferably, from about 6000C to about 1 ,1500C, and more preferably, from about 600°C to about 1 ,0000C. The PDA process may last for a time period within a range from about 1 second to about 5 minutes, preferably, from about 1 minute to about 4 minutes, and more preferably, from about 2 minutes to about 4 minutes. Generally, the chamber atmosphere contains at least one annealing gas, such as oxygen (O2), ozone (O3), atomic oxygen (O), water (H2O), nitric oxide (NO), nitrous oxide (N2O), nitrogen dioxide (NO2), dinitrogen pentoxide (N2Os), nitrogen (N2), ammonia (NH3), hydrazine (N2H4), derivatives thereof, or combinations thereof. Often the annealing gas contains nitrogen and at least one oxygen-containing gas, such as oxygen. The chamber may have a pressure within a range from about 5 Torr to about 100 Torr, for example, about 10 Torr. In one example of a PDA process, substrate 200 containing oxide layer 202 is heated to a temperature of about 6000C for about 4 minutes within an oxygen atmosphere.
[0027] In step 104, oxide layer 202 is exposed to an inert plasma process to densify the dielectric material while forming plasma-treated layer 204, as depicted in Figure 2B. The inert plasma process may include a decoupled inert gas plasma process performed by flowing an inert gas into a decoupled plasma nitridation (DPN) chamber or a remote inert gas plasma process by flowing an inert gas into a process chamber equipped by a remote plasma system.
[0028] In one embodiment of an inert plasma process, substrate 200 is transferred into a DPN chamber, such as the CENTURA® DPN chamber, available from Applied Materials, Inc., located in Santa Clara, California. In one aspect, the DPN chamber is on the same cluster tool as the ALD chamber used to deposit the oxide layer 202. Therefore, substrate 200 may be exposed to an inert plasma process without being exposed to the ambient environment. During the inert plasma process, the oxide layer 202 is bombarded with ionic argon formed by flowing argon into the DPN chamber. Gases that may be used in an inert plasma process include argon, helium, neon, xenon, or combinations thereof. [0029] If any nitrogen is co-flowed with the inert gas, the nitrogen will nitridize the dielectric material, such as converting metal oxides into metal oxynitrides. Trace amounts of nitrogen that likely exist in a DPN chamber used for nitridation process may inadvertently combine with the inert gas while performing a plasma process. The inert plasma process uses a gas that contains at least one inert gas and no nitrogen (N2) or only a trace amount of nitrogen. In one embodiment, the nitrogen concentration due to residual nitrogen within the inert gas is about 1 vol% or less, preferably about 0.1% or less, and more preferably about 100 ppm or less, for example, about 50 ppm. In one example, the inert plasma process comprises argon and is free of nitrogen or substantially free of nitrogen. Therefore, the inert plasma process increases the stability and density of the dielectric material, while decreasing the equivalent oxide thickness (EOT) unit.
[0030] The inert plasma process proceeds for a time period from about 10 seconds to about 5 minutes, preferably, from about 30 seconds to about 4 minutes, and more preferably, from about 1 minute to about 3 minutes. Also, the inert plasma process is conducted at a plasma power setting within a range from about 500 watts to about 3,000 watts, preferably, from about 700 watts to about 2,500 watts, and more preferably, from about 900 watts to about 1 ,800 watts. Generally, the plasma process is conducted with a duty cycle of about 50% to about 100% and a pulse frequency at about 10 kHz. The DPN chamber may have a pressure within a range from about 10 mTorr to about 80 mTorr. The inert gas may have a flow rate within a range from about 10 standard cubic centimeters per minute (seem) to about 5 standard liters per minute (slm), preferably, from about 50 seem to about 750 seem, and more preferably, from about 100 seem to about 500 seem. In a preferred embodiment, the inert plasma process is a nitrogen free argon plasma produced in a DPN chamber.
[0031] In another embodiment, the process chamber used to deposit oxide layer 202 is also used during an inert plasma process to form plasma-treated layer 204 without transferring substrate 200 between process chambers. For example, a remote argon plasma is exposed to oxide layer 202 to form plasma-treated layer 204 directly within a process chamber configured with a remote-plasma device, such as an ALD chamber or a CVD chamber. Other inert plasma processes to form plasma- treated layer 204 are contemplated, such as laser annealing substrate 200.
[0032] In step 106, substrate 200 is exposed to a thermal annealing process. In one embodiment, substrate 200 is transferred to an annealing chamber, such as the CENTURA® RADIANCE® RTP chamber available from Applied Materials, Inc., located in Santa Clara, California, and exposed to the thermal annealing process. The annealing chamber may be on the same cluster tool as the deposition chamber and/or the nitridation chamber, such that substrate 200 may be annealed without being exposed to the ambient environment. Substrate 200 may be heated to a temperature within a range from about 6000C to about 1 ,200°C, preferably, from about 700°C to about 1 ,150°C, and more preferably, from about 8000C to about 1 ,0000C. The thermal annealing process may last for a time period within a range from about 1 second to about 120 seconds, preferably, from about 2 seconds to about 60 seconds, and more preferably, from about 5 seconds to about 30 seconds. Generally, the chamber atmosphere contains at least one annealing gas, such as oxygen (O2), ozone (O3), atomic oxygen (O), water (H2O), nitric oxide (NO), nitrous oxide (N2O), nitrogen dioxide (NO2), dinitrogen pentoxide (N2O5), nitrogen (N2), ammonia (NH3), hydrazine (N2H4), derivatives thereof, or combinations thereof. Often the annealing gas contains nitrogen and at least one oxygen-containing gas, such as oxygen. The chamber may have a pressure within a range from about 5 Torr to about 100 Torr, for example, about 10 Torr. In one example of a thermal annealing process, substrate 200 is heated to a temperature of about 1 ,0500C for about 15 seconds within an oxygen atmosphere. In another example, substrate 200 is heated to a temperature of about 1 ,1000C for about 25 seconds within an atmosphere containing equivalent volumetric amounts of nitrogen and oxygen.
[0033] The thermal annealing process converts plasma-treated layer 204 to a dielectric material or post anneal layer 206, as depicted in Figure 5C. The thermal annealing process repairs any damage caused by plasma bombardment during step 104 and reduces the fixed charge of post anneal layer 206. The dielectric material remains amorphous and may have a nitrogen concentration within a range from about 5 at% to about 25 at%, preferably, from about 10 at% to about 20 at%, for example, about 15 at%. Post anneal layer 206 has a film thickness within a range from about 5 A to about 300 A, preferably, from about 10 A to about 200 A, and more preferably, from about 20 A to about 100 A. In some examples, post anneal layer 206 has a thickness within a range from about 10 A to about 60 A, preferably, from about 30 A to about 40 A.
[0034] Figure 3 graphically illustrates the capacitance versus voltage measured on two substrates each containing hafnium oxide but exposed to different plasma processes. Substrate A was exposed to a nitridation plasma process, while Substrate B was exposed to an inert plasma process. Subsequently, Substrates A and B were each exposed to a thermal annealing process at about 1 ,0000C, as described herein. The capacitance measured on both surfaces reveal Substrate B had a higher capacitance than Substrate A. Substrate A had a maximum capacitance of about 2.35 μF/cm2, while Substrate B had a maximum capacitance of about 2.55 μF/cm2.
[0035] In one embodiment, a dielectric material or post anneal layer 206 deposited by the deposition process described herein generally has a capacitance within a range from about 2 μF/cm2 to about 4 μF/cm2, preferably, from about 2.2 μF/cm2 to about 3 μF/cm2, and more preferably, from about 2.4 μF/cm2 to about 2.8 μF/cm2. In one example, the dielectric material is nitrogen-free or substantially nitrogen-free with a capacitance of at least about 2.4 μF/cm2.
Nitrogen stabilization of dielectric material
[0036] Figure 4 illustrates an exemplary process 400 for forming a dielectric material, such as a metal oxynitride material {e.g., HfOxNy or TaOxNy). Figures 5A- 5C correspond to process 400 to illustrate the formation of a dielectric material used in a semiconductor device, such as a transistor or a capacitor. Layer 501 , containing oxide layer 502 disposed on layer 501 , is exposed to a nitridation process to form oxynitride layer 504 (Figure 5B) that is subsequently converted to post anneal layer 506 by a thermal annealing process (Figure 5C). [0037] Prior to depositing oxide layer 502, layer 501 may be exposed to a pretreatment process in order to terminate the substrate surface with a variety of functional groups. Functional groups useful before starting a deposition process as described herein include hydroxyls (OH), alkoxy (OR, where R = Me, Et, Pr, or Bu), haloxyls (OX, where X = F, Cl, Br, or I), halides (F, Cl, Br, or I), oxygen radicals, and aminos or amidos (NR or NR2, where R = H, Me, Et, Pr, or Bu). The pretreatment process may expose the substrate to a reagent, such as NH3, B2H6, SiH4, SiHβ, H2O, HF, HCI, O2, O3, H2O, H2O2, H2, atomic-H, atomic-N, atomic-O, alcohols, amines, plasmas thereof, derivatives thereof, or combination thereof. The functional groups may provide a base for an incoming chemical precursor to attach on the substrate surface. The pretreatment process may expose substrate 500 to the reagent for a period within a range from about 1 second to about 2 minutes, preferably, from about 5 seconds to about 60 seconds. Pretreatment processes may also include exposing substrate 500 to an RCA solution (SC1/SC2), an HF-last solution, water vapor from WVG or ISSG systems, peroxide solutions, acidic solutions, basic solutions, plasmas thereof, derivatives thereof, or combinations thereof. Useful pretreatment processes are described in commonly assigned United States Patent No. 6,858,547 and co-pending U.S. Ser. No. 10/302,752, filed November 21 , 2002, entitled, "Surface Pre-Treatment for Enhancement of Nucleation of High Dielectric Constant Materials," and published as US 2003-0232501 , are both incorporated herein by reference in their entirety for the purpose of describing pretreatment methods and compositions of pretreatment solutions.
[0038] In one example of a pretreatment process, a native oxide layer is removed prior to exposing substrate 500 to a wet-clean process to form a chemical oxide layer having a thickness of about 10 A or less, such as within a range from about 5 A to about 7 A. Native oxides may be removed by a HF-last solution. The wet-clean process may be performed in a TEMPEST™ wet-clean system, available from Applied Materials, Inc., located in Santa Clara, California. In another example, substrate 500 is exposed to water vapor derived from a WVG system for about 15 seconds prior to starting an ALD process. [0039] In one embodiment of process 400, oxide layer 502 is formed on layer 501 , during step 402, by vapor deposition processes, such as ALD, CVD, PVD, thermal techniques, or combinations thereof, as depicted in Figure 5A. In a one embodiment, oxide layer 502 may be deposited by ALD processes and apparatuses as described in process 100. Oxide layer 502 is generally deposited with a film thickness within a range from about 5 A to about 300 A, preferably, from about 10 A to about 200 A, and more preferably, from about 20 A to about 100 A. In some example, oxide layer 502 has a thickness within a range from about 10 A to about 60 A, preferably, from about 30 A to about 40 A.
[0040] Oxide layer 502 is deposited on the substrate surface and may have a variety of compositions that are homogenous, heterogeneous or graded and maybe a single layer, multiple layered stacks or laminates. Oxide layer 502 is a high-k dielectric material generally containing a metal oxide or a metal oxynitride. Therefore, oxide layer 502 contains oxygen and at least one metal, such as hafnium, zirconium, titanium, tantalum, lanthanum, aluminum or combinations thereof. Although some silicon diffusion into oxide layer 502 may occur from the substrate, oxide layer 502 is usually substantially free of silicon. Oxide layer 502 may have a composition that includes hafnium-containing materials, such as hafnium oxides (HfOx or HfO2), hafnium oxynitrides (HfOxNy), hafnium aluminates (HfAIxOy), hafnium lanthanum oxides (HfLaxOy), zirconium-containing materials, such as zirconium oxides (ZrOx or ZrO2), zirconium oxynitrides (ZrOxNy), zirconium aluminates (ZrAIxOy), zirconium lanthanum oxides (ZrLaxOy), other aluminum-containing materials or lanthanum-containing materials, such as aluminum oxides (AI2O3 or AIOx), aluminum oxynitrides (AIOxNy), lanthanum aluminum oxides (LaAIxOy), lanthanum oxides (LaOx or La2Os), alloys thereof, derivatives thereof, or combinations thereof. Other dielectric materials useful for oxide layer 502 may include titanium oxides (TiOx or TiO2), titanium oxynitrides (TiOxNy), tantalum oxides (TaOx or Ta2Os), and tantalum oxynitrides (TaOxNy). Laminate films that are useful dielectric materials for oxide layer 502 include HfO2/AI2O3, La2O3ZAI2O3 and HfO2ZLa2O3ZAI2O3. [0041] In one embodiment, substrate 500 may be optionally exposed to a post deposition anneal (PDA) process. Substrate 500 containing oxide layer 502 is
J' transferred to an annealing chamber, such as the CENTURA® RADIANCE® RTP chamber available from Applied Materials, Inc., located in Santa Clara, California and exposed to the PDA process. The annealing chamber may be on the same cluster tool as the deposition chamber and/or the nitridation chamber, such as that substrate 500 may be annealed without being exposed to the ambient environment. Substrate 500 may be heated to a temperature within a range from about 600°C to about 1 ,2000C1 preferably, from about 600°C to about 1 ,150°C, and more preferably, from about 600°C to about 1 ,0000C. The PDA process may last for a time period within a range from about 1 second to about 5 minutes, preferably, from about 5 seconds to about 4 minutes, and more preferably, from about 1 minute to about 4 minutes. Generally, the chamber atmosphere contains at least one annealing gas, such as oxygen (O2), ozone (O3), atomic oxygen (O), water (H2O), nitric oxide (NO), nitrous oxide (N2O), nitrogen dioxide (NO2), dinitrogen pentoxide (N2O5), nitrogen (N2), ammonia (NH3), hydrazine (N2H4), derivatives thereof, or combinations thereof. Often the annealing gas contains nitrogen and at least one oxygen-containing gas, such as oxygen. The chamber may have a pressure within a range from about 5 Torr to about 100 Torr, for example, about 10 Torr. In one example of a PDA process, substrate 500 containing oxide layer 502 is heated to a temperature of about 6000C for about 4 minutes within an oxygen atmosphere.
[0042] In step 404, oxide layer 502 is exposed to a nitridation process that physically incorporates nitrogen atoms into the dielectric material to form oxynitride layer 504, as depicted in Figure 5B. The nitridation process also increases the density of the dielectric material. The nitridation process may include decoupled plasma nitridation (DPN), remote plasma nitridation, hot-wired induced atomic-N, and nitrogen incorporation during dielectric deposition (e.g., during ALD or CVD processes). The oxynitride layer 504 is usually nitrogen-rich at the surface. The nitrogen concentration of oxynitride layer 504 may be within a range from about 5 at% to about 40 at%, preferably, from about 10 at% to about 25 at%. Preferably, the nitridation process exposes the oxide layer 502 to nitrogen plasma, such as a DPN process.
[0043] In one embodiment of a nitridation process, substrate 500 is transferred into a DPN chamber, such as the CENTURA® DPN chamber, available from Applied Materials, Inc., located in Santa Clara, California. In one aspect, the DPN chamber is on the same cluster tool as the ALD chamber used to deposit the oxide layer 502. Therefore, the substrate may be exposed to a nitridation process without being exposed to the ambient environment. During a DPN process, the oxide layer 502 may be bombarded with atomic-N formed by co-flowing nitrogen (N2) and an inert or noble gas plasma, such as argon. Besides nitrogen, other nitrogen-containing gases may be used to form a nitrogen plasma, such as ammonia (NH3), hydrazines (e.g., N2H4 or MeN2H3), amines (e.g., Me3N, Me2NH, or MeNH2), anilines (e.g., C6H5NH2), and azides (e.g., MeN3 or Me3SiN3). Gases that may be used in a plasma process include argon, helium, neon, xenon, or combinations thereof.
[0044] A nitridation plasma contains a nitrogen source gas and an inert gas, such that a process gas containing a mixture of nitrogen and an inert gas may be introduced into the plasma chamber or nitrogen and an inert gas may be flowed or co-flowed into the plasma chamber. The nitrogen concentration of a nitridation plasma may be within a range from about 5 vol% to about 95 vol%, preferably, from about 25 vol% to about 70 vol%, and more preferably, from about 40 vol% to about 60 vol% while the remainder is an inert gas. Usually, the nitrogen concentration within the nitridation plasma is about 50 vol% or less. In one example, the nitrogen concentration is about 50 vol% and the argon concentration is about 50 vol%. In another example, the nitrogen concentration is about 40 vol% and the argon concentration is about 60 vol%. In another example, the nitrogen concentration is about 25 vol% and the argon concentration is about 75 vol%.
[0045] The nitrogen may have a flow rate within a range from about 10 seem to about 5 slm, preferably, from about 50 seem to about 500 seem, and more preferably, from about 100 seem to about 250 seem. The inert gas may have a flow rate within a range from about 10 seem to about 5 slm, preferably, from about 50 sccm to about 750 seem, and more preferably, from about 100 seem to about 500 seem. A process gas containing nitrogen and an inert gas or flowing or co-flowing nitrogen and an inert gas may have a combined flow rate within a range from about 10 sccm to about 5 slm, preferably, from about 100 sccm to about 750 sccm, and more preferably, from about 200 sccm to about 500 sccm. The DPN chamber may have a pressure within a range from about 10 mTorr to about 80 mTorr. The nitridation process proceeds at a time period from about 10 seconds to about 5 minutes, preferably, from about 30 seconds to about 4 minutes, and more preferably, from about 1 minute to about 3 minutes. Also, the nitridation process is conducted at a plasma power setting within a range from about 500 watts to about 3,000 watts, preferably, from about 700 watts to about 2,500 watts, and more preferably, from about 900 watts to about 1 ,800 watts. Generally, the plasma process is conducted with a duty cycle of about 50% to about 100% and a pulse frequency at about 10 kHz. In a preferred embodiment, the nitridation process is a DPN process and includes a plasma by co-flowing argon and nitrogen.
[0046] In another embodiment, the process chamber used to deposit oxide layer 502 is also used during a nitridation process to form oxynitride layer 504 without transferring substrate 500 between process chambers. For example, a nitrogen remote-plasma is exposed to oxide layer 502 to form oxynitride layer 504 directly in process chamber configured with a remote-plasma device, such as an ALD chamber or a CVD chamber. Radical nitrogen compounds may also be produced by heat or hot-wires and used during nitridation processes. Other nitridation processes to form oxynitride layer 504 are contemplated, such as annealing the substrate in a nitrogen-containing environment, and/or including a nitrogen precursor into an additional half reaction within the ALD cycle while forming the oxynitride layer 504. For example, an additional half reaction during an ALD cycle to form hafnium oxide may include a pulse of ammonia followed by a pulse of purge gas.
[0047] In step 406, substrate 500 is exposed to a thermal annealing process. In one embodiment, substrate 500 is transferred to an annealing chamber, such as the CENTURA® RADIANCE® RTP chamber available from Applied Materials, Inc., located in Santa Clara, California, and exposed to the thermal annealing process. The annealing chamber may be on the same cluster tool as the deposition chamber and/or the nitridation chamber, such that substrate 500 may be annealed without being exposed to the ambient environment. Substrate 500 may be heated to a temperature within a range from about 600°C to about 1 ,200°C, preferably, from about 700°C to about 1 ,150°C, and more preferably, from about 800°C to about 1 ,000°C. The thermal annealing process may last for a time period within a range from about 1 second to about 120 seconds, preferably, from about 2 seconds to about 60 seconds, and more preferably, from about 5 seconds to about 30 seconds. Generally, the chamber atmosphere contains at least one annealing gas, such as oxygen (O2), ozone (O3), atomic oxygen (O), water (H2O), nitric oxide (NO), nitrous oxide (N2O), nitrogen dioxide (NO2), dinitrogen pentoxide (N2O5), nitrogen (N2), ammonia (NH3), hydrazine (N2H4), derivatives thereof, or combinations thereof. Often the annealing gas contains nitrogen and at least one oxygen-containing gas, such as oxygen. The chamber may have a pressure within a range from about 5 Torr to about 100 Torr, for example, about 10 Torr. In one example of a thermal annealing process, substrate 500 is heated to a temperature of about 1 ,0500C for about 15 seconds within an oxygen atmosphere. In another example, substrate 500 is heated to a temperature of about 1 ,100°C for about 25 seconds within an atmosphere containing equivalent volumetric amounts of nitrogen and oxygen.
[0048] The thermal annealing process converts oxynitride layer 504 to a dielectric material or post anneal layer 506, as depicted in Figure 5C. The thermal annealing process repairs any damage caused by plasma bombardment during step 404 and reduces the fixed charge of post anneal layer 506. The dielectric material remains amorphous and may have a nitrogen concentration within a range from about 5 at% to about 25 at%, preferably, from about 10 at% to about 20 at%, for example, about 15 at%. Post anneal layer 506 has a film thickness within a range from about 5 A to about 300 A, preferably, from about 10 A to about 200 A, and more preferably, from about 20 A to about 100 A. In some examples, post anneal layer 506 has a thickness within a range from about 10 A to about 60 A, preferably, from about 30 A to about 40 A. [0049] In one example, Figure 6A graphically illustrates the capacitance versus voltage measured on three substrates each containing hafnium oxide but were not exposed or exposed to different thermal processes. Substrate A was not exposed to a plasma process or a thermal annealing process, Substrate B was exposed to a nitridation plasma process and a thermal annealing process at about 500°C and Substrate C was exposed to a nitridation plasma process and a thermal annealing process at about 1 ,000°C described herein. The capacitance measured on the surfaces reveals Substrate C has a higher capacitance than Substrate B, which has a higher capacitance than Substrate A. Substrate A has a capacitance of about 1.75 μF/cm2, Substrate B has a maximum capacitance of about 1.95 μF/cm2 and Substrate C has a maximum capacitance of about 2.35 μF/cm2. Also, Substrate B, having already been annealed, is more thermally stable than Substrate A. Substrate A will probably crystallize upon exposure to elevated temperatures experienced in subsequent fabrication processes, while Substrate B will remain amorphous.
[0050] Figure 6B graphically illustrates the current leakage measured on each surface to reveal Substrate C had a current density of two magnitudes lower than both Substrates A and B. Substrates A and B each had a current density greater than about 100 A/cm2, while Substrate C had a current density less than about 1 A/cm2.
[0051] Furthermore, Substrates B and C, having already been annealed, are more thermally stable than Substrate A, while Substrate C, having been annealed at a higher temperature, is more thermally stable than Substrate B. Substrate A will probably crystallize upon exposure to elevated temperatures experienced in subsequent fabrication processes, while Substrate C will remain amorphous. Substrate B may crystallize if the elevated temperature reaches over about 5000C.
[0052] In another embodiment, a dielectric material or post anneal layer 506 deposited by the deposition process described herein generally has a capacitance within a range from about 1.5 μF/cm2 to about 3 μF/cm2, preferably, from about 2 μF/cm2 to about 2.7 μF/cm2, and more preferably, from about 2.2 μF/cm2 to about 2.5 μF/cm2. In one example, the dielectric material contains nitrogen and has a capacitance of about 2.35 μF/cm2 or less.
[0053] An equivalent oxide thickness (EOT) standard may be used to compare the performance of a high-K dielectric material within a MOS gate to the performance of a silicon oxide (SiO2) based material within a MOS gate. An EOT value correlates to a thickness of the high-k dielectric material needed to obtain the same gate capacitance as a thickness of the silicon oxide material. Since (as the name implies) high-K dielectric materials have a higher dielectric constant (K) than does silicon dioxide which is about 3.9, then a correlation between thickness of a material and the K value of a material may be evaluated by the EOT value. For example, a hafnium-containing material with a K value of about 32 and a layer thickness of about 5 nm has an EOT value of about 0.6 nm. Therefore, a lower EOT value may be realized by increasing the K value of the dielectric material and by densifying the dielectric material to decrease the thickness. Therefore, a lower EOT value of a dielectric material may be cause in part by a higher K value and a thinner, denser layer due to a densification process.
Deposition processes for dielectric materials
[0054] The dielectric layers described herein generally contain a metal oxide material, including oxide layers 202 and 502, and are deposited by an ALD process, a conventional CVD process or a PVD process. In one embodiment, a method for forming a dielectric material on a substrate during an atomic layer deposition process is provided which includes positioning a substrate within a process chamber and sequentially exposing the substrate to the oxidizing gas and at least one precursor, such as a hafnium precursor, a zirconium precursor, a silicon precursor, an aluminum precursor, a tantalum precursor, a titanium precursor, a lanthanum precursor, or combinations thereof. Examples of dielectric material that may be formed during the deposition process include hafnium oxide, zirconium oxide, lanthanum oxide, tantalum oxide, titanium oxide, aluminum oxide, derivatives thereof, or combinations thereof. The oxidizing gas containing water vapor may be formed by flowing a hydrogen source gas and an oxygen source gas through a water vapor generator. The water vapor generator has a catalyst that may contain palladium, platinum, nickel, iron, chromium, ruthenium, rhodium, combinations thereof, or alloys thereof. The hydrogen source gas and/or the oxygen source gas may be diluted with an additional gas. For example, a forming gas containing about 5 vol% of hydrogen in nitrogen may be used as the hydrogen source gas. In some examples, an excess of oxygen source gas is provided into water vapor generator to provide the oxidizing gas with oxygen enriched water vapor. In other examples, the substrate is exposed to the oxidizing gas during a pre-soak process subsequent to depositing a hafnium oxide material or other metal oxide materials.
[0055] The ALD process to form metal oxide materials (e.g., oxide layers 202 and 502) is typically conducted in a process chamber at a pressure within the range from about 1 Torr to about 100 Torr, preferably, from about 1 Torr to about 20 Torr, and more preferably, from about 1 Torr to about 10 Torr. The temperature of the substrate is usually maintained within a range from about 700C to about 1 ,0000C, preferably, from about 1000C to about 6500C, and more preferably, from about 25O0C to about 5000C. A further disclosure of an ALD deposition process is described in commonly assigned U.S. Ser. No. 11/127,767, filed May 12, 2005, and published as US 2005-0271813, which is incorporated herein by reference in its entirety for the purpose of describing methods and apparatuses used during ALD processes.
[0056] In one example, the hafnium precursor is introduced into the process chamber at a rate within a range from about 5 seem to about 200 seem. The hafnium precursor is usually introduced with a carrier gas, such as nitrogen, with a total flow rate within a range from about 50 seem to about 1 ,000 seem. The hafnium precursor may be pulsed into the process chamber at a rate within a range from about 0.1 seconds to about 10 seconds, depending on the particular process conditions, hafnium precursor or desired composition of the deposited hafnium oxide material. In one embodiment, the hafnium precursor is pulsed into the process chamber at a rate within a range from about 1 second to about 5 seconds, for example, about 3 seconds. In another embodiment, the hafnium precursor is pulsed into the process chamber at a rate within a range from about 0.1 seconds to about 1 second, for example, about 0.5 seconds. In one example, the hafnium precursor is preferably hafnium tetrachloride (HfCI4). In another example, the hafnium precursor is preferably a tetrakis(dialkylamido)hafnium compound, such as tetrakis(diethylamido)hafnium ((Et2N)4Hf or TDEAH).
[0057] The hafnium precursor is generally dispensed into a process chamber by introducing a carrier gas through an ampoule containing the hafnium precursor. An ampoule may include an ampoule, a bubble, a cartridge or other container used for containing or dispersing chemical precursors. A suitable ampoule, such as the PROE-VAP™, is available from Advanced Technology Materials, Inc., located in Danbury, Connecticut. In one example, the ampoule contains HfCI4 at a temperature within a range from about 150°C to about 2000C. In another example, the ampoule may contain a liquid precursor {e.g., TDEAH, TDMAH, TDMAS, or Tris- DMAS) and be part of a liquid delivery system containing injector valve system used to vaporize the liquid precursor with a heated carrier gas. Generally, the ampoule may be pressurized at a pressure within a range from about 138 kPa (about 20 psi) to about 414 kPa (about 60 psi) and may be heated to a temperature of about 1000C or less, preferably within a range from about 200C to about 600C.
[0058] The oxidizing gas may be introduced to the process chamber with a flow rate within a range from about 0.05 seem to about 1 ,000 seem, preferably within a range from about 0.5 seem to about 100 seem. The oxidizing gas is pulsed into the process chamber at a rate within a range from about 0.05 seconds to about 10 seconds, preferably, from about 0.08 seconds to about 3 seconds, and more preferably, from about 0.1 seconds to about 2 seconds. In one embodiment, the oxidizing gas is pulsed at a rate within a range from about 1 second to about 5 seconds, for example, about 1.7 seconds. In another embodiment, the oxidizing gas is pulsed at a rate within a range from about 0.1 seconds to about 3 seconds, for example, about 0.5 seconds.
[0059] The oxidizing gas may be produced from a water vapor generator (WVG) system in fluid communication with the process chamber. The WVG system generates ultra-high purity water vapor by means of a catalytic reaction of an oxygen source gas (e.g., O2) and a hydrogen source gas {e.g., H2) at a low temperature (e.g., <500°C). The hydrogen and oxygen source gases each flow into the WVG system at a flow rate within the range from about 5 seem to about 200 seem, preferably, from about 10 seem to about 100 seem. Generally, the flow rates of the oxygen and hydrogen source gases are independently adjusted to have a presence of oxygen or an oxygen source gas and an absence of the hydrogen or hydrogen source gas within the outflow of the oxidizing gas.
[0060] An oxygen source gas useful to generate an oxidizing gas containing water vapor may include oxygen (O2), atomic oxygen (O), ozone (O3), nitrous oxide (N2O), nitric oxide (NO), nitrogen dioxide (NO2), dinitrogen pentoxide (N2O5), hydrogen peroxide (H2O2), derivatives thereof, or combinations thereof. A hydrogen source gas useful to generate an oxidizing gas containing water vapor may include hydrogen (H2), atomic hydrogen (H), forming gas (N2/H2), ammonia (NH3), hydrocarbons (e.g., CH4), alcohols (e.g., CH3OH), derivatives thereof, or combinations thereof. A carrier gas may be co-flowed with either the oxygen source gas or the hydrogen source gas and may include N2, He, Ar or combinations thereof. Preferably, the oxygen source gas is oxygen or nitrous oxide and the hydrogen source gas is hydrogen or a forming gas, such as 5 vol% of hydrogen in nitrogen.
[0061] A hydrogen source gas and an oxygen source gas may be diluted with a carrier gas to provide sensitive control of the water vapor within the oxidizing gas during deposition processes. In one embodiment, a slower water vapor flow rate (about <10 seem water vapor) may be desirable to complete the chemical reaction during an ALD process to form a hafnium-containing material or other dielectric materials. A slower water vapor flow rate dilutes the water vapor concentration within the oxidizing gas. The diluted water vapor is at a concentration to oxidize adsorbed precursors on the substrate surface. Therefore, a slower water vapor flow rate minimizes the purge time after the water vapor exposure to increase the fabrication throughput. Also, the slower water vapor flow rate reduces formation of particulate contaminants by avoiding undesired co-reactions. A mass flow controller (MFC) may be used to control a hydrogen source gas with a flow rate of about 0.5 seem while producing a stream of water vapor with a flow rate of about 0.5 seem. However, most MFC systems are unable to provide a consistent flow rate at such a slow rate. Therefore, a diluted hydrogen source gas {e.g., forming gas) may be used in a WVG system to achieve a slower water vapor flow rate. In one example, a hydrogen source gas with a flow rate of about 10 seem and containing 5% hydrogen forming gas delivers water vapor from a WVG system with a flow rate of about 0.5 seem. In an alternative embodiment, a faster water vapor flow rate (about >10 seem water vapor) may be desirable to complete the chemical reaction during an ALD process while forming a hafnium-containing material or other dielectric materials. For example, about 100 seem of hydrogen gas delivers about 100 seem of water vapor.
[0062] The forming gas may be selected with a hydrogen concentration within a range from about 1% to about 95% by volume in a carrier gas, such as argon or nitrogen. In one aspect, a hydrogen concentration of a forming gas is within a range from about 1% to about 30% by volume in a carrier gas, preferably, from about 2% to about 20%, and more preferably, from about 3% to about 10%, for example, a forming gas may contain about 5% hydrogen and about 95% nitrogen. In another aspect, a hydrogen concentration of a forming gas is within a range from about 30% to about 95% by volume in a carrier gas, preferably, from about 40% to about 90%, and more preferably, from about 50% to about 85%, for example, a forming gas may contain about 80% hydrogen and about 20% nitrogen.
[0063] In one example, a WVG system receives a hydrogen source gas containing 5% hydrogen (95% nitrogen) with a flow rate of about 10 seem and an oxygen source gas {e.g., O2) with a flow rate of about 10 seem to form an oxidizing gas containing water vapor with a flow rate of about 0.5 seem and oxygen with a flow rate of about 9.8 seem. In another example, a WVG system receives a hydrogen source gas containing 5% hydrogen forming gas with a flow rate of about 20 seem and an oxygen source gas with a flow rate of about 10 seem to form an oxidizing gas containing water vapor with a flow rate of about 1 seem and oxygen with a flow rate of about 9 seem. In another example, a WVG system receives a hydrogen source gas containing hydrogen gas with a flow rate of about 20 seem and an oxygen source gas with a flow rate of about 10 seem to form an oxidizing gas containing water vapor at a rate of about 10 seem and oxygen at a rate of about 9.8 seem. In other examples, nitrous oxide, as an oxygen source gas, is used with a hydrogen source gas to form a water vapor during ALD processes. Generally, 2 molar equivalents of nitrous oxide are substituted for each molar equivalent of oxygen gas.
[0064] A WVG system contains a catalyst, such as catalyst-lined reactor or a catalyst cartridge, in which the oxidizing gas containing water vapor is generated by a catalytic chemical reaction between a source of hydrogen and a source of oxygen. A WVG system is unlike pyrogenic generators that produce water vapor as a result of an ignition reaction, usually at temperatures over 1 ,000°C. A WVG system containing a catalyst usually produces water vapor at a low temperature within a range from about 100°C to about 5000C, preferably at about 3500C or less. The catalyst contained within a catalyst reactor may include a metal or alloy, such as palladium, platinum, nickel, iron, chromium, ruthenium, rhodium, alloys thereof or combinations thereof. The ultra-high purity water is ideal for the ALD processes in the present invention. In one embodiment, to prevent unreacted hydrogen from flowing downstream, an oxygen source gas is allowed to flow through the WVG system for about 5 seconds. Next, the hydrogen source gas is allowed to enter the reactor for about 5 seconds. The catalytic reaction between the oxygen and hydrogen source gases (e.g., Hz and O2) generates a water vapor. Regulating the flow of the oxygen and hydrogen source gases allows precise control of oxygen and hydrogen concentrations within the formed oxidizing gas containing water vapor. The water vapor may contain remnants of the hydrogen source gas, the oxygen source gas or combinations thereof. Suitable WVG systems are commercially available, such as the Water Vapor Generator (WVG) system by Fujikin of America, Inc., located in Santa Clara, California and or the Catalyst Steam Generator System (CSGS) by Ultra Clean Technology, located in Menlo Park, California.
[0065] The pulses of a purge gas or carrier gas, preferably argon or nitrogen, are sequentially introduced into the process chamber after each pulse of hafnium precursor, oxidizing gas or other precursor during the ALD cycle. The pulses of purge gas or carrier gas are typically introduced at a flow rate within a range from about 2 standard liters per minute (slm) to about 22 slm, preferably about 10 slm. Each processing cycle occurs for a time period within a range from about 0.01 seconds to about 20 seconds. In one example, the process cycle lasts about 10 seconds. In another example, the process cycle lasts about 2 seconds. Longer processing steps lasting about 10 seconds deposit excellent hafnium oxide films, but reduce the throughput. The specific purge gas flow rates and duration of process cycles are obtained through experimentation. In one example, a 300 mm diameter wafer requires about twice the flow rate for the same duration as a 200 mm diameter wafer in order to maintain similar throughput.
[0066] In one embodiment, hydrogen gas is applied as a carrier gas, purge and/or a reactant gas to reduce halogen contamination from the deposited materials. Precursors that contain halogen atoms {e.g., HfCI4, ZrCI4, and TaF5) readily contaminate the deposited dielectric materials. Hydrogen is a reductant and will produce hydrogen halides (e.g., HCI or HF) as a volatile and removable by-product. Therefore, hydrogen may be used as a carrier gas or reactant gas when combined with a precursor compound (e.g., hafnium precursors) and may include another carrier gas (e.g., Ar or N2). In one example, a water/hydrogen mixture, at a temperature within a range from about 100°C to about 5000C, is used to reduce the halogen concentration and increase the oxygen concentration of the deposited material. In one example, a water/hydrogen mixture may be derived by feeding an excess of hydrogen source gas into a WVG system to form a hydrogen enriched water vapor.
[0067] In some of the embodiments described herein for depositing materials, an alternative oxidizing gas, such as a traditional oxidant, may be used instead of the oxidizing gas containing water vapor formed from a WVG system. The alternative oxidizing gas is introduced into the process chamber from an oxygen source containing water not derived from a WVG system, oxygen (O2), ozone (O3), atomic- oxygen (O), hydrogen peroxide (H2O2), nitrous oxide (N2O), nitric oxide (NO), dinitrogen pentoxide (N2O5), nitrogen dioxide (NO2), derivatives thereof, or combinations thereof. While embodiments of the invention provide processes that benefit from oxidizing gas containing water vapor formed from a WVG system, other embodiments provide processes that utilize the alternative oxidizing gas or traditional oxidants while forming hafnium-containing materials and other dielectric materials during deposition processes described herein.
[0068] Many precursors are within the scope of embodiments of the invention for depositing the dielectric materials described herein. One important precursor characteristic is to have a favorable vapor pressure. Precursors at ambient temperature and pressure may be gas, liquid, or solid. However, volatilized precursors are used within the ALD chamber. Organometallic compounds contain at least one metal atom and at least one organic-containing functional group, such as amides, alkyls, alkoxyls, alkylamidos, or anilides. Precursors may include organometallic, inorganic, or halide compounds.
[0069] Exemplary hafnium precursors include hafnium compounds containing ligands such as halides, alkylamidos, cyclopentadienyls, alkyls, alkoxides, derivatives thereof, or combinations thereof. Hafnium halide compounds useful as hafnium precursors may include HfCI4, HfI4, and HfBr4. Hafnium alkylamido compounds useful as hafnium precursors include (RR1N)4Hf, where R or R' are independently hydrogen, methyl, ethyl, propyl, or butyl. Hafnium precursors useful for depositing hafnium-containing materials include (Et2N)4Hf, (Me2N)4Hf, (MeEtN)4Hf, ('BuCsH-OaHfClz, (C5Hs)2HfCI2, (EtC5H-O2HfCI2, (Me5Cs)2HfCI2, (Me5C5)HfCI3, (iPrC5H4)2HfCI2) (1PrC5H4)HfCI3, (tBuC5H4)2HfMe2, (acac)4Hf, (hfac)4Hf, (WaC)4Hf1 (thd)4Hf, (NOs)4Hf, (1BuO)4Hf, (1PrO)4Hf, (EtO)4Hf, (MeO)4Hf, or derivatives thereof. Preferably, hafnium precursors used during the deposition process herein include HfCI4, (Et2N)4Hf, or (Me2N)4Hf.
[0070] In an alternative embodiment, a variety of metal oxides or metal oxynitrides may be formed by sequentially pulsing metal precursors with oxidizing gas containing water vapor derived from a WVG system. The ALD processes disclosed herein may be altered by substituting the hafnium precursor with other metal precursors to form additional dielectric materials, such as hafnium aluminates, titanium aluminates, titanium oxynitrides, zirconium oxides, zirconium oxynitrides, zirconium aluminates, tantalum oxides, tantalum oxynitrides, titanium oxides, aluminum oxides, aluminum oxynitrides, lanthanum oxides, lanthanum oxynitrides, lanthanum aluminates, alloys thereof, derivatives thereof, or combinations thereof. In one embodiment, two or more ALD processes are concurrently conducted to deposit one layer on top of another. For example, a combined process contains a first ALD process to form a first dielectric material and a second ALD process to form a second dielectric material. The combined process may be used to produce a variety of hafnium-containing materials, for example, hafnium aluminum silicate, or hafnium aluminum silicon oxynitride. In one example, a dielectric stack material is formed by depositing a first hafnium-containing material on a substrate and subsequently depositing a second hafnium-containing material thereon. The first and second hafnium-containing materials may vary in composition, so that one layer may contain hafnium oxide and the other layer may contain hafnium silicate. In one aspect, the lower layer contains silicon. Alternative metal precursors used during ALD processes described herein include ZrCI4, Cp2Zr, (Me2N)4Zr, (Et2N)4Zr, TaF5, TaCI5, CBuO)5Ta, (Me2N)5Ta, (Et2N)5Ta, (Me2N)3Ta(N1Bu), (Et2N)3Ta(N1Bu), TiCI4, TiI4, (1PrO)4Ti, (Me2N)4Ti, (Et2N)4Ti, AICI3, Me3AI, Me2AIH, (AMD)3La, ((Me3Si)(1Bu)N)3La, ((Me3Si)2N)3La, (1Bu2N)3La, (1Pr2N)3La, derivatives thereof, or combinations thereof.
[0071] A "substrate surface," as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed. For example, a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. Barrier layers, metals or metal nitrides on a substrate surface include titanium, titanium nitride, tungsten nitride, tantalum, and tantalum nitride. Substrates may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes. Unless otherwise noted, embodiments and examples described herein are preferably conducted on substrates with a 200 mm diameter or a 300 mm diameter, more preferably, a 300 mm diameter. Processes of the embodiments described herein deposit hafnium-containing materials on many substrates and surfaces. Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon {e.g., Si<100> or Si<111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, and patterned or non-patterned wafers. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, and/or anneal the substrate surface.
[0072] "Atomic layer deposition" or "cyclical deposition" as used herein refers to the sequential introduction of two or more reactive compounds to deposit a layer of material on a substrate surface. The two, three or more reactive compounds may alternatively be introduced into a reaction zone of a process chamber. Usually, each reactive compound is separated by a time delay to allow each compound to adhere and/or react on the substrate surface. In one aspect, a first precursor or compound A is pulsed into the reaction zone followed by a first time delay. Next, a second precursor or compound B is pulsed into the reaction zone followed by a second delay. During each time delay a purge gas, such as nitrogen, is introduced into the process chamber to purge the reaction zone or otherwise remove any residual reactive compound or by-products from the reaction zone. Alternatively, the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compounds. The reactive compounds are alternatively pulsed until a desired film or film thickness is formed on the substrate surface. In either scenario, the ALD process of pulsing compound A, purge gas, pulsing compound B and purge gas is a cycle. A cycle can start with either compound A or compound B and continue the respective order of the cycle until achieving a film with the desired thickness. In another embodiment, a first precursor containing compound A, a second precursor containing compound B and a third precursor containing compound C are each separately pulsed into the process chamber. Alternatively, a pulse of a first precursor may overlap in time with a pulse of a second precursor while a pulse of a third precursor does not overlap in time with either pulse of the first and second precursors. [0073] A "pulse" as used herein is intended to refer to a quantity of a particular compound that is intermittently or non-continuously introduced into a reaction zone of a processing chamber. The quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse. The duration of each pulse is variable depending upon a number of factors such as, for example, the volume capacity of the process chamber employed, the vacuum system coupled thereto, and the volatility/reactivity of the particular compound itself. A "half- reaction" as used herein is intended to refer to a pulse of precursor step followed by a purge step.
Examples
[0074] Examples 1 - 10 were conducted on a CENTURA® platform containing a TEMPEST™ wet-clean system, an ALD chamber, a CENTURA® DPN (decoupled plasma nitridation) chamber and a CENTURA® RADIANCE® RTP (thermal annealing) chamber, all available from Applied Materials, Inc., located in Santa Clara, California. Experiments were conducted on 300 mm diameter substrates and substrate surfaces were exposed to a HF-last solution to remove native oxides and subsequently placed into the wet-clean system to form a chemical oxide layer having a thickness of about 5 A. Several ALD chambers coupled to a water vapor generator (WVG) system are further described in commonly assigned and co- pending U.S. Ser. No. 11/127,753, filed May 12, 2005, and published as US 2005- 0271812, which is incorporated herein by reference in its entirety for the purpose of describing methods and apparatuses used during ALD processes. Another useful ALD chamber is further described in commonly assigned U.S. Pat. No. 6,916,398, which is incorporated herein by reference in its entirety for the purpose of describing methods and apparatuses used during ALD processes. The WVG system having a metal catalyst is available from Fujikin of America, Inc., located in Santa Clara, California. The WVG system produced the oxidizing gas containing water vapor from a hydrogen source gas (5 vol% H2 in N2) and an oxygen source gas (O2).
[0075] Example 1 - HfO* deposition - A substrate containing a chemical oxide surface was placed into the ALD chamber. A hafnium oxide layer was formed during an ALD process by sequentially exposing the substrate to a hafnium precursor (HfCI4) and an oxidizing gas containing water vapor. The ALD cycle included sequentially pulsing HfCI4 and water vapor with each precursor separated by a nitrogen purge cycle. The ALD cycle was repeated to form a hafnium oxide layer with a thickness of about 40 A. The substrate was transferred into the DPN chamber and exposed to an inert plasma process containing an argon plasma. The inert plasma process contained an argon flow rate of about 200 seem for about 90 seconds at about 1 ,800 watts with a 50% duty cycle at 10 kHz to densify the hafnium oxide layer. The substrate was subsequently transferred to the thermal annealing chamber and heated at about 1 ,0000C for about 15 seconds in an oxygen/nitrogen atmosphere maintained at about 15 Torr.
[0076] Example 2 - HfQy deposition - A substrate containing a chemical oxide surface was placed into the ALD chamber. A hafnium oxide layer was formed during an ALD process by sequentially exposing the substrate to a hafnium precursor (TDEAH) and an oxidizing gas containing water vapor. The ALD cycle included sequentially pulsing TDEAH and water vapor with each precursor separated by a nitrogen purge cycle. The ALD cycle was repeated to form a hafnium oxide layer with a thickness of about 50 A. The substrate was transferred into the DPN chamber and exposed to an inert plasma process containing an argon plasma. The inert plasma process contained an argon flow rate of about 200 seem for about 90 seconds at about 1 ,800 watts with a 50% duty cycle at 10 kHz to densify the hafnium oxide layer. The substrate was subsequently transferred to the thermal annealing chamber and heated at about 1 ,0500C for about 12 seconds in an oxygen/nitrogen atmosphere maintained at about 15 Torr.
[0077] Example 3 - TaOx deposition - A substrate containing a chemical oxide surface was placed into the ALD chamber. A tantalum oxide layer is formed on the substrate surface by performing an ALD process using the tantalum precursor (TaCI5) and water. The ALD cycle includes sequentially pulsing TaCIs and water vapor with each precursor separated by a nitrogen purge cycle. The ALD cycle is repeated to form a tantalum oxide layer with a thickness of about 100 A. The substrate was transferred into the DPN chamber and exposed to an inert plasma process containing an argon plasma. The inert plasma process contained an argon flow rate of about 200 seem for about 60 seconds at about 1 ,800 watts with a 50% duty cycle at 10 kHz to densify the tantalum oxide layer. The substrate was subsequently transferred to the thermal annealing chamber and heated at about 1 ,000°C for about 15 seconds in an oxygen/nitrogen atmosphere maintained at about 10 Torr.
[0078] Example 4 - ZrO2 deposition - A substrate containing a chemical oxide surface was placed into the ALD chamber. A zirconium oxide layer was formed during an ALD process by sequentially exposing the substrate to a zirconium precursor (ZrCI4) and an oxidizing gas containing water vapor. The ALD cycle included sequentially pulsing ZrCI4 and water vapor with each precursor separated by a nitrogen purge cycle. The ALD cycle was repeated to form a zirconium oxide layer with a thickness of about 60 A. The substrate was transferred into the DPN chamber and exposed to an inert plasma process containing an argon plasma. The inert plasma process contained an argon flow rate of about 200 seem for about 2 minutes at about 1 ,800 watts with a 50% duty cycle at 10 kHz to densify the zirconium oxide layer. The substrate was subsequently transferred to the thermal annealing chamber and heated at about 950°C for about 30 seconds in an oxygen/nitrogen atmosphere maintained at about 25 Torr.
[0079] Example 5 — HfOxNy deposition - A substrate containing a chemical oxide surface was placed into the ALD chamber. A hafnium oxide layer was formed during an ALD process by sequentially exposing the substrate to a hafnium precursor (HfCI4) and an oxidizing gas containing water vapor. The ALD cycle included sequentially pulsing HfCI4 and water vapor with each precursor separated by a nitrogen purge cycle. The ALD cycle was repeated to form a hafnium oxide layer with a thickness of about 40 A. The substrate was transferred into the DPN chamber and exposed to a nitridation plasma process to densify and incorporate nitrogen atoms within the hafnium oxide layer to form a hafnium oxynitride material. The nitridation process contained an argon flow rate of about 160 seem and a nitrogen flow rate of about 40 seem for about 180 seconds at about 1 ,800 watts with a 50% duty cycle at 10 kHz. The substrate was subsequently transferred to the thermal annealing chamber and heated at about 1 ,0000C for about 15 seconds in an oxygen/nitrogen atmosphere maintained at about 15 Torr.
[0080] Example 6 - HfOxNy deposition - A substrate containing a chemical oxide surface was placed into the ALD chamber. A hafnium oxide layer was formed during an ALD process by sequentially exposing the substrate to a hafnium precursor (TDEAH) and an oxidizing gas containing water vapor. The ALD cycle included sequentially pulsing TDEAH and water vapor with each precursor separated by a nitrogen purge cycle. The ALD cycle was repeated to form a hafnium oxide layer with a thickness of about 50 A. The substrate was transferred into the DPN chamber and exposed to a nitridation plasma process to densify and incorporate nitrogen atoms within the hafnium oxide layer to form a hafnium oxynitride material. The nitridation process contained an argon flow rate of about 160 seem and a nitrogen flow rate of about 40 seem for about 180 seconds at about 1 ,800 watts with a 50% duty cycle at 10 kHz. The substrate was subsequently transferred to the thermal annealing chamber and heated at about 1 ,050°C for about 12 seconds in an oxygen/nitrogen atmosphere maintained at about 15 Torr.
[0081] Example 7 - TaOJsIy deposition - A substrate containing a chemical oxide surface was placed into the ALD chamber. A tantalum oxide layer is formed on the substrate surface by performing an ALD process using the tantalum precursor (TaCI5) and water. The ALD cycle includes sequentially pulsing TaCI5 and water vapor with each precursor separated by a nitrogen purge cycle. The ALD cycle is repeated to form a tantalum oxide layer with a thickness of about 100 A. The substrate was transferred into the DPN chamber and exposed to a nitridation plasma process to densify and incorporate nitrogen atoms within the tantalum oxide layer to form a tantalum oxynitride material. The nitridation process contained an argon flow rate of about 120 seem and a nitrogen flow rate of about 80 seem for about 120 seconds at about 1 ,800 watts with a 50% duty cycle at 10 kHz. The substrate was subsequently transferred to the thermal annealing chamber and heated at about 1 ,0000C for about 15 seconds in an oxygen/nitrogen atmosphere maintained at about 10 Torr. [0082] Example 8 - ZrQ^Ny deposition - A substrate containing a chemical oxide surface was placed into the ALD chamber. A zirconium oxide layer was formed during an ALD process by sequentially exposing the substrate to a zirconium precursor (ZrCI4) and an oxidizing gas containing water vapor. The ALD cycle included sequentially pulsing ZrCI4 and water vapor with each precursor separated by a nitrogen purge cycle. The ALD cycle was repeated to form a zirconium oxide layer with a thickness of about 60 Λ. The substrate was transferred into the DPN chamber and exposed to a nitridation plasma process to densify and incorporate nitrogen atoms within the zirconium oxide layer to form a zirconium oxynitride material. The nitridation process contained an argon flow rate of about 100 seem and a nitrogen flow rate of about 100 seem for about 60 seconds at about 1,800 watts with a 50% duty cycle at 10 kHz. The substrate was subsequently transferred to the thermal annealing chamber and heated at about 9500C for about 30 seconds in an oxygen/nitrogen atmosphere maintained at about 25 Torr.
[0083] Example 9 — HfOx deposition for Figure 3A — A hafnium oxide layer was deposited on Substrates A and B under the identical process conditions. Substrate A was transferred into the DPN chamber and exposed to a nitridation plasma process. The nitridation process contained an argon flow rate of about 160 seem and a nitrogen flow rate of about 40 seem for about 180 seconds at about 1 ,800 watts with a 50% duty cycle at 10 kHz. Substrate B was transferred into the DPN chamber and exposed to an inert plasma process containing an argon plasma. The inert plasma process contained an argon flow rate of about 200 seem for about 90 seconds at about 1 ,800 watts with a 50% duty cycle at 10 kHz to densify the hafnium oxide layer. Substrates A and B were subsequently transferred to the thermal annealing chamber and heated at about 1 ,0000C for about 15 seconds in an oxygen/nitrogen atmosphere maintained at about 15 Torr.
[0084] The capacitance was measured on both surfaces to reveal Substrate B had a higher capacitance than Substrate A (Figure 3). Substrate A had a maximum capacitance of about 2.35 μF/cm2, while Substrate B had a maximum capacitance of about 2.55 μF/cm2. [0085] Example 10 - HfOy deposition for Figure 6A-6B - A hafnium oxide layer was deposited on Substrates A, B and C under the identical process conditions. Substrate A was not exposed to the inert plasma process or the thermal annealing process. Substrates B and C were transferred into the DPN chamber and independently exposed to identical nitridation plasma process to densify and incorporate nitrogen atoms within the hafnium oxide layer to form a hafnium oxynitride material. The nitridation process contained an argon flow rate of about 160 seem and a nitrogen flow rate of about 40 seem for about 180 seconds at about 1 ,800 watts with a 50% duty cycle at 10 kHz. Substrate B was transferred to the thermal annealing chamber and heated at about 5000C for about 15 seconds in an oxygen/nitrogen (about 0.1 vol%) atmosphere maintained at about 15 Torr. Substrate C was transferred to the thermal annealing chamber and heated at about 1 ,000°C for about 15 seconds in an oxygen/nitrogen (about 0.1 vol%) atmosphere maintained at about 15 Torr.
[0086] The capacitance was measured on each surface to reveal Substrate C had a higher capacitance than Substrate B, that had a higher capacitance than Substrate A (Figure 6A). Substrate A had a maximum capacitance of about 1.75 μF/cm2, Substrate B had a maximum capacitance of about 1.95 μF/cm2, while Substrate C had a maximum capacitance of about 2.35 μF/cm2.
[0087] The current leakage was also measured on each surface to reveal Substrate C had a current density two magnitudes lower than both Substrates A and B (Figure 6B). Substrates A and B each had a current density greater than about 100 A/cm2, while Substrate C had a current density less than about 1 A/cm2.
[0088] In one example, Table 1 illustrates that a substrate containing hafnium oxide not treated with a plasma process or an annealing process has a lower capacitance than a similar substrate exposed to such processes. Although two substrates were each exposed to a nitridation plasma process, the substrate exposed to a higher thermal annealing process (i.e., 1 ,000°C as opposed to 5000C) has a higher capacitance. Furthermore, although two substrates were each exposed to a thermal annealing process at about 1 ,0000C, the substrate exposed to an inert plasma process (e.g., containing argon) has a higher capacitance than the substrate exposed to a nitridatiσn plasma process.
Table 1
Figure imgf000038_0001
[0089] While the foregoing is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

Claims:
1. A method for forming a dielectric material on a substrate, comprising: positioning a substrate within a process chamber; flowing a hydrogen source gas and an oxygen source gas into a water vapor generator to form an oxidizing gas comprising water vapor; exposing the substrate sequentially to the oxidizing gas and at least one metal-containing precursor to form a dielectric material on the substrate during an atomic layer deposition process; exposing the substrate to an inert gas plasma while densifying the dielectric material during an inert plasma process; and exposing the substrate to a thermal annealing process.
2. The method of claim 1 , wherein the hydrogen source gas is hydrogen gas or forming gas and the oxygen source gas is oxygen gas or nitrous oxide.
3. The method of claim 2, wherein the at least one metal-containing precursor is selected from the group consisting of a hafnium precursor, a zirconium precursor, an aluminum precursor, a tantalum precursor, a titanium precursor, a lanthanum precursor, and combinations thereof.
4. The method of claim 3, wherein the dielectric material comprises at least one material selected from the group consisting of hafnium oxide, zirconium oxide, lanthanum oxide, tantalum oxide, titanium oxide, aluminum oxide, alloys thereof, derivatives thereof, and combinations thereof.
5. The method of claim 4, wherein prior to forming the dielectric material, the substrate is exposed to a wet clean process to form an oxide layer having a thickness of about 10 Λ or less.
6. The method of claim 1 , wherein the inert gas plasma comprises a gas selected from the group consisting of argon, helium, neon, and combinations thereof.
7. The method of claim 6, wherein the inert gas plasma comprises argon and is free of nitrogen or substantially free of nitrogen.
8. The method of claim 7, wherein the substrate is exposed to the inert gas plasma having a power output within a range from about 500 watts to about 3,000 watts for a time period within a range from about 30 seconds to about 5 minutes.
9. The method of claim 8, wherein the power output is within a range from about 900 watts to about 1 ,800 watts and the time period is within a range from about 1 minute to about 3 minutes.
10. The method of claim 7, wherein the thermal annealing process occurs for a time period within a range from about 1 second to about 120 seconds and at a temperature within a range from about 6000C to about 1 ,2000C.
11. The method of claim 10, wherein the time period is within a range from about 5 seconds to about 30 seconds and the temperature is within a range from about 8000C to about 1 ,1000C.
12. The method of claim 11 , wherein the substrate is exposed to an environment of oxygen during the thermal annealing process.
13. The method of claim 4, wherein the dielectric material has a thickness within a range from about 5 A to about 100 A.
14. The method of claim 13, wherein the dielectric material comprises hafnium oxide and the thickness is within a range from about 10 A to about 60 A.
15. The method of claim 13, wherein the substrate is exposed to a post deposition annealing process after the atomic layer deposition process and prior to the inert plasma process.
16. The method of claim 14, wherein the hafnium-containing material has a capacitance of at least about 2.4 μF/cm2.
17. A method for forming a dielectric material on a substrate, comprising: exposing a substrate sequentially to at least one metal-containing precursor and an oxidizing gas to form a metal oxide material on the substrate during an atomic layer deposition process; exposing the substrate to an inert gas plasma while densifying the metal oxide material during an inert plasma process; and exposing the substrate to a thermal annealing process.
18. The method of claim 17, wherein the atomic layer deposition process further comprises flowing a hydrogen source gas and an oxygen source gas into a water vapor generator to form the oxidizing gas and the oxidizing gas comprises water vapor.
19. The method of claim 18, wherein the hydrogen source gas is hydrogen gas or forming gas and the oxygen source gas is oxygen gas or nitrous oxide.
20. The method of claim 19, wherein the at least one metal-containing precursor is selected from the group consisting of a hafnium precursor, a zirconium precursor, an aluminum precursor, a tantalum precursor, a titanium precursor, a lanthanum precursor, and combinations thereof.
21. The method of claim 20, wherein the metal oxide material comprises at least one material selected from the group consisting of hafnium oxide, zirconium oxide, lanthanum oxide, tantalum oxide, titanium oxide, aluminum oxide, alloys thereof, derivatives thereof, and combinations thereof.
22. The method of claim 17, wherein the inert gas plasma comprises a gas selected from the group consisting of argon, helium, neon, and combinations thereof.
23. The method of claim 22, wherein the substrate is exposed to the inert gas plasma having a power output within a range from about 500 watts to about 3,000 watts for a time period within a range from about 30 seconds to about 5 minutes.
24. The method of claim 23, wherein the power output is within a range from about 900 watts to about 1 ,800 watts and the time period is within a range from about 1 minute to about 3 minutes.
25. The method of claim 22, wherein the inert gas plasma comprises argon and is free of nitrogen or substantially free of nitrogen.
26. The method of claim 25, wherein the thermal annealing process occurs for a time period within a range from about 1 second to about 120 seconds and at a temperature within a range from about 6000C to about 1 ,2000C.
27. The method of claim 26, wherein the time period is within a range from about 5 seconds to about 30 seconds and the temperature is within a range from about 800°C to about 1 ,1000C.
28. The method of claim 26, wherein the substrate is exposed to an environment of oxygen during the thermal annealing process.
29. The method of claim 25, wherein the metal oxide material comprises at least one element selected from the group consisting of hafnium, tantalum, titanium, aluminum, zirconium, lanthanum, and combinations thereof.
30. The method of claim 29, wherein the metal oxide material has a thickness within a range from about 5 Λ to about 100 A.
31. The method of claim 30, wherein the metal oxide material comprises hafnium oxide and the thickness is within a range from about 10 A to about 60 A.
32. The method of claim 30, wherein the metal oxide material has a capacitance of at least about 2.4 μF/cm2.
33. The method of claim 29, wherein prior to forming the dielectric material, the substrate is exposed to a wet clean process to form an oxide layer having a thickness of about 10 A or less.
34. The method of claim 33, wherein the substrate is exposed to a post deposition annealing process after the atomic layer deposition process and prior to the inert plasma process.
35. A method for forming a hafnium-containing material on a substrate, comprising: exposing a substrate to a deposition process to form a dielectric material containing hafnium oxide thereon; exposing the substrate to an inert gas plasma while densifying the dielectric material during an inert plasma process, wherein the inert gas plasma comprises argon and is free of nitrogen or substantially free of nitrogen; and exposing the substrate to a thermal annealing process comprising oxygen.
36. The method of claim 35, wherein the hafnium-containing material has a capacitance of at least about 2.4 μF/cm2.
37. The method of claim 35, wherein the deposition process to form the dielectric material is an atomic layer deposition process comprising exposing the substrate sequentially to an oxidizing gas and a hafnium precursor to form the dielectric material containing hafnium oxide, wherein the oxidizing gas comprises water vapor and is formed by flowing a hydrogen source gas and an oxygen source gas into a water vapor generator.
38. The method of claim 37, wherein the hydrogen source gas is hydrogen gas or forming gas and the oxygen source gas is oxygen gas or nitrous oxide.
39. A method for forming a dielectric material on a substrate, comprising: exposing a substrate to a deposition process to form a metal oxide layer thereon; exposing the substrate to a nitridation plasma process to form a metal oxynitride layer thereon; and exposing the substrate to a thermal annealing process to form a dielectric material.
40. The method of claim 39, wherein the nitridation plasma process occurs for a time period within a range from about 1 minute to about 3 minutes and at a power output within a range from about 900 watts to about 1 ,800 watts.
41. The method of claim 40, wherein the nitridation plasma process comprises a process gas containing a nitrogen concentration of about 50 vol% or less.
42. The method of claim 41 , wherein the dielectric material has a nitrogen concentration within a range from about 5 at% to about 25 at%.
43. The method of claim 42, wherein the metal oxide layer is substantially free of silicon.
44. The method of claim 39, wherein the metal oxide layer comprises at least one element selected from the group consisting of hafnium, tantalum, titanium, aluminum, zirconium, lanthanum, and combinations thereof.
45. The method of claim 44, wherein the thermal annealing process occurs for a time period within a range from about 5 seconds to about 30 seconds and at a temperature within a range from about 800°C to about 1 ,100°C.
46. The method of claim 45, wherein the substrate is exposed to an environment of oxygen during the thermal annealing process.
47. The method of claim 39, wherein the dielectric material has a thickness within a range from about 5 Λ to about 100 A.
48. The method of claim 47, wherein the dielectric material comprises hafnium oxynitride and the thickness is within a range from about 10 A to about 60 A.
49. The method of claim 48, wherein the dielectric material has a capacitance of at least about 2.4 μF/cm2.
50. The method of claim 39, wherein the metal oxide layer is formed by an atomic layer deposition process.
51. The method of claim 50, wherein prior to the atomic layer deposition process, the substrate is exposed to a wet clean process to form an oxide layer having a thickness of about 10 A or less.
52. The method of claim 51 , wherein the substrate is exposed to a post deposition annealing process after the atomic layer deposition process and prior to the nitridation plasma process.
53. The method of claim 50, wherein the atomic layer deposition process comprises exposing the substrate sequentially to an oxidizing gas and at least one metal-containing precursor to form the metal oxide layer thereon.
54. The method of claim 53, wherein the oxidizing gas comprises water vapor and is formed by flowing a hydrogen source gas and an oxygen source gas into a water vapor generator.
55. The method of claim 54, wherein the hydrogen source gas is hydrogen gas or forming gas and the oxygen source gas is oxygen gas or nitrous oxide.
56. The method of claim 55, wherein the at least one metal-containing precursor is selected from the group consisting of a hafnium precursor, a zirconium precursor, an aluminum precursor, a tantalum precursor, a titanium precursor, a lanthanum precursor, and combinations thereof.
57. A method for forming a hafnium-containing material on a substrate, comprising: exposing a substrate to a deposition process to form a dielectric material containing hafnium oxide thereon; exposing the substrate to a nitridation plasma process to form hafnium oxynitride from the hafnium oxide; and exposing the substrate to a thermal annealing process comprising oxygen.
58. The method of claim 57, wherein the hafnium-containing material has a capacitance of at least about 2.4 μF/cm2.
59. The method of claim 57, wherein the deposition process to form the dielectric material is an atomic layer deposition process comprising exposing the substrate sequentially to an oxidizing gas and a hafnium precursor to form the dielectric material containing hafnium oxide, wherein the oxidizing gas comprises water vapor and is formed by flowing a hydrogen source gas and an oxygen source gas into a water vapor generator.
60. The method of claim 59, wherein the hydrogen source gas is hydrogen gas or forming gas and the oxygen source gas is oxygen gas or nitrous oxide.
PCT/US2006/022997 2005-06-24 2006-06-13 Plasma treatment of dielectric material WO2007001832A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008518216A JP2008544091A (en) 2005-06-24 2006-06-13 Plasma treatment of dielectric materials

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/167,070 US20060019033A1 (en) 2004-05-21 2005-06-24 Plasma treatment of hafnium-containing materials
US11/167,070 2005-06-24

Publications (1)

Publication Number Publication Date
WO2007001832A1 true WO2007001832A1 (en) 2007-01-04

Family

ID=37084595

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/022997 WO2007001832A1 (en) 2005-06-24 2006-06-13 Plasma treatment of dielectric material

Country Status (6)

Country Link
US (1) US20060019033A1 (en)
JP (1) JP2008544091A (en)
KR (1) KR20080011236A (en)
CN (1) CN101248212A (en)
TW (1) TW200702475A (en)
WO (1) WO2007001832A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007002962B3 (en) * 2007-01-19 2008-07-31 Qimonda Ag Method for producing a dielectric layer and for producing a capacitor
JP2009158784A (en) * 2007-12-27 2009-07-16 Canon Inc Formation method of insulation film

Families Citing this family (447)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US20060125030A1 (en) * 2004-12-13 2006-06-15 Micron Technology, Inc. Hybrid ALD-CVD of PrxOy/ZrO2 films as gate dielectrics
US7235501B2 (en) * 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7560395B2 (en) * 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7508648B2 (en) * 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7374964B2 (en) * 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7365027B2 (en) * 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7662729B2 (en) * 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
TW200737307A (en) * 2005-11-04 2007-10-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7678710B2 (en) * 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7432548B2 (en) * 2006-08-31 2008-10-07 Micron Technology, Inc. Silicon lanthanide oxynitride films
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7544604B2 (en) * 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US20080057659A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
TWI435376B (en) * 2006-09-26 2014-04-21 Applied Materials Inc Fluorine plasma treatment of high-k gate stack for defect passivation
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7910446B2 (en) * 2007-07-16 2011-03-22 Applied Materials, Inc. Integrated scheme for forming inter-poly dielectrics for non-volatile memory devices
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US9136545B2 (en) * 2008-02-27 2015-09-15 GM Global Technology Operations LLC Low cost fuel cell bipolar plate and process of making the same
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US8208241B2 (en) * 2008-06-04 2012-06-26 Micron Technology, Inc. Crystallographically orientated tantalum pentoxide and methods of making same
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US8035165B2 (en) 2008-08-26 2011-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating a first contact structure in a gate last process
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8851012B2 (en) 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
WO2010095901A2 (en) 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US8481433B2 (en) * 2009-03-31 2013-07-09 Applied Materials, Inc. Methods and apparatus for forming nitrogen-containing layers
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
WO2011089647A1 (en) * 2010-01-22 2011-07-28 株式会社 東芝 Semiconductor device and method for manufacturing same
US8580698B2 (en) * 2010-04-14 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a gate dielectric layer
US20120021252A1 (en) * 2010-07-22 2012-01-26 Synos Technology, Inc. Treating Surface of Substrate Using Inert Gas Plasma in Atomic Layer Deposition
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8643115B2 (en) 2011-01-14 2014-02-04 International Business Machines Corporation Structure and method of Tinv scaling for high κ metal gate technology
US8877300B2 (en) * 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US9653327B2 (en) * 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9054048B2 (en) 2011-07-05 2015-06-09 Applied Materials, Inc. NH3 containing plasma nitridation of a layer on a substrate
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
JP5852459B2 (en) * 2012-02-10 2016-02-03 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
CN104220651B (en) 2012-03-28 2017-06-20 株式会社丰田中央研究所 The multilayer board of silicon single crystal and group III-nitride monocrystalline with drift angle
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9337103B2 (en) 2012-12-07 2016-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for removing hard mask oxide and making gate structure of semiconductor devices
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6484892B2 (en) * 2013-12-18 2019-03-20 国立大学法人山形大学 Method and apparatus for forming oxide thin film
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9633839B2 (en) * 2015-06-19 2017-04-25 Applied Materials, Inc. Methods for depositing dielectric films via physical vapor deposition processes
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10103027B2 (en) 2016-06-20 2018-10-16 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10510545B2 (en) 2016-06-20 2019-12-17 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US20190057860A1 (en) * 2017-08-18 2019-02-21 Lam Research Corporation Methods for improving performance in hafnium oxide-based ferroelectric material using plasma and/or thermal treatment
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TWI635539B (en) 2017-09-15 2018-09-11 金巨達國際股份有限公司 High-k dielectric layer, fabricating method thereof and multifunction equipment implementing such fabricating method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102470206B1 (en) * 2017-10-13 2022-11-23 삼성디스플레이 주식회사 Manufacturing method for metal oxide and display device comprising the metal oxide
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
EP3768874A4 (en) 2018-03-19 2022-03-30 Applied Materials, Inc. Methods for depositing coatings on aerospace components
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
CN108531890B (en) * 2018-04-27 2020-06-16 华南理工大学 Preparation method of metal oxide transparent conductive film, product and application thereof
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
TW202129058A (en) * 2019-07-07 2021-08-01 美商應用材料股份有限公司 Thermal ald of metal oxide using issg
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN110379709A (en) * 2019-07-25 2019-10-25 上海华力集成电路制造有限公司 The manufacturing method of hafnia film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
WO2021150625A1 (en) 2020-01-23 2021-07-29 Applied Materials, Inc. Method of cleaning a structure and method of depositiing a capping layer in a structure
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
JP7222946B2 (en) * 2020-03-24 2023-02-15 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR102383410B1 (en) * 2020-07-23 2022-04-05 연세대학교 산학협력단 Method for improving electric property of metal oxide thin film
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11830728B2 (en) 2021-10-13 2023-11-28 Applied Materials, Inc. Methods for seamless gap filling of dielectric material

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0973191A1 (en) * 1997-03-05 2000-01-19 Hitachi, Ltd. Method for manufacturing semiconductor integrated circuit device
US20050124109A1 (en) * 2003-12-03 2005-06-09 Texas Instruments Incorporated Top surface roughness reduction of high-k dielectric materials using plasma based processes
US20050130442A1 (en) * 2003-12-11 2005-06-16 Visokay Mark R. Method for fabricating transistor gate structures and gate dielectrics thereof
WO2005117086A1 (en) * 2004-05-21 2005-12-08 Applied Materials, Inc. Stabilization of high-k dielectric materials

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
DE3743938C2 (en) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Process for atomic layer epitaxy growth of a III / V compound semiconductor thin film
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
JP2764472B2 (en) * 1991-03-25 1998-06-11 東京エレクトロン株式会社 Semiconductor film formation method
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP3181171B2 (en) * 1994-05-20 2001-07-03 シャープ株式会社 Vapor phase growth apparatus and vapor phase growth method
US5449716A (en) * 1994-06-30 1995-09-12 Dow Corning Corporation Functional polyorganosiloxane emulsions from dihydrolyzable silanes and photocurable compositions therefrom
FI97730C (en) * 1994-11-28 1997-02-10 Mikrokemia Oy Equipment for the production of thin films
FI100409B (en) * 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
FI97731C (en) * 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6043177A (en) * 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10308283A (en) * 1997-03-04 1998-11-17 Denso Corp El element and its manufacture
US6037273A (en) * 1997-07-11 2000-03-14 Applied Materials, Inc. Method and apparatus for insitu vapor generation
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
KR100385946B1 (en) * 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100269328B1 (en) * 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
KR100275727B1 (en) * 1998-01-06 2001-01-15 윤종용 Capacitor for semiconductor device & manufacturing method
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100267885B1 (en) * 1998-05-18 2000-11-01 서성기 Deposition apparatus
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
KR100287180B1 (en) * 1998-09-17 2001-04-16 윤종용 Method for manufacturing semiconductor device including metal interconnection formed using interface control layer
DE19843151C2 (en) * 1998-09-21 2001-03-08 Alfing Montagetechnik Gmbh Processing device with at least one processing tool
KR100327328B1 (en) * 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
EP1179851B1 (en) * 1999-04-13 2007-07-18 Hamamatsu Photonics K.K. Semiconductor device
KR100347379B1 (en) * 1999-05-01 2002-08-07 주식회사 피케이엘 Atomic layer deposition apparatus for depositing multi substrate
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6753556B2 (en) * 1999-10-06 2004-06-22 International Business Machines Corporation Silicate gate dielectric
US7094284B2 (en) * 1999-10-07 2006-08-22 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6524934B1 (en) * 1999-10-28 2003-02-25 Lorimer D'arcy H. Method of manufacture for generation of high purity water vapor
AU1924101A (en) * 1999-11-22 2001-06-04 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US6492283B2 (en) * 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR100427423B1 (en) * 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Inner tube for cvd apparatus
US7141278B2 (en) * 2000-06-08 2006-11-28 Asm Genitech Korea Ltd. Thin film forming method
KR100332314B1 (en) * 2000-06-24 2002-04-12 서성기 Reactor for depositing thin film on wafer
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
KR100545706B1 (en) * 2000-06-28 2006-01-24 주식회사 하이닉스반도체 Semiconductor device manufacturing method
DE10034003A1 (en) * 2000-07-07 2002-01-24 Infineon Technologies Ag Trench capacitor with insulation collar and corresponding manufacturing process
KR100444149B1 (en) * 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
KR100396879B1 (en) * 2000-08-11 2003-09-02 삼성전자주식회사 Semiconductor memory device having capacitor encapsulated by multi-layer which includes double layeres being made of same material and method of manufacturing thereof
KR100814980B1 (en) * 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 Vapor deposition of oxides, silicates, and phosphates
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
JP4680429B2 (en) * 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 High speed reading control method in text-to-speech converter
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US20030057526A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6674138B1 (en) * 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
AU2003221212A1 (en) * 2002-03-26 2003-10-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device and production method therefor
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
JP4614639B2 (en) * 2002-06-10 2011-01-19 アイメック Enhancement of dielectric constant (k value) of Hf-containing composition
KR100476926B1 (en) * 2002-07-02 2005-03-17 삼성전자주식회사 Method for forming dual gate of semiconductor device
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (en) * 2002-07-20 2005-01-29 삼성전자주식회사 Manufacturing method of Capacitor Structure
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US20040029321A1 (en) * 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
KR100542736B1 (en) * 2002-08-17 2006-01-11 삼성전자주식회사 Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US6960538B2 (en) * 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0973191A1 (en) * 1997-03-05 2000-01-19 Hitachi, Ltd. Method for manufacturing semiconductor integrated circuit device
US20050124109A1 (en) * 2003-12-03 2005-06-09 Texas Instruments Incorporated Top surface roughness reduction of high-k dielectric materials using plasma based processes
US20050130442A1 (en) * 2003-12-11 2005-06-16 Visokay Mark R. Method for fabricating transistor gate structures and gate dielectrics thereof
WO2005117086A1 (en) * 2004-05-21 2005-12-08 Applied Materials, Inc. Stabilization of high-k dielectric materials

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007002962B3 (en) * 2007-01-19 2008-07-31 Qimonda Ag Method for producing a dielectric layer and for producing a capacitor
JP2009158784A (en) * 2007-12-27 2009-07-16 Canon Inc Formation method of insulation film

Also Published As

Publication number Publication date
TW200702475A (en) 2007-01-16
CN101248212A (en) 2008-08-20
KR20080011236A (en) 2008-01-31
US20060019033A1 (en) 2006-01-26
JP2008544091A (en) 2008-12-04

Similar Documents

Publication Publication Date Title
US20060019033A1 (en) Plasma treatment of hafnium-containing materials
US20060062917A1 (en) Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8361910B2 (en) Pretreatment processes within a batch ALD reactor
US8323754B2 (en) Stabilization of high-k dielectric materials
JP4293359B2 (en) Atomic layer deposition method of oxide film
TWI554636B (en) Methods of fabricating dielectric films from metal amidinate precursors
KR101427142B1 (en) ALD of metal silicate films
US20060153995A1 (en) Method for fabricating a dielectric stack
US7772073B2 (en) Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
JP4158975B2 (en) Atomic layer deposition of nanolaminate films
TWI426547B (en) Treatment processes for a batch ald reactor
US20060228888A1 (en) Atomic layer deposition of high k metal silicates
JP2007515786A (en) Method for nitriding high dielectric constant dielectric film
EP1652226A2 (en) Surface preparation prior to deposition on germanium
JP2009158927A (en) Preparation of metal-containing film via ald or cvd processes
TWI515803B (en) Doping aluminum in tantalum silicide
KR20070061451A (en) A method for fabricating a dielectric stack
KR20050020759A (en) Atomic layer deposition of multi-metallic precursors

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200680022656.7

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application
ENP Entry into the national phase

Ref document number: 2008518216

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 1020077030922

Country of ref document: KR

122 Ep: pct application non-entry in european phase

Ref document number: 06773045

Country of ref document: EP

Kind code of ref document: A1