WO2007008473A3 - Apparatus and methods for determining overlay of structures having rotational or mirror symmetry - Google Patents

Apparatus and methods for determining overlay of structures having rotational or mirror symmetry Download PDF

Info

Publication number
WO2007008473A3
WO2007008473A3 PCT/US2006/025836 US2006025836W WO2007008473A3 WO 2007008473 A3 WO2007008473 A3 WO 2007008473A3 US 2006025836 W US2006025836 W US 2006025836W WO 2007008473 A3 WO2007008473 A3 WO 2007008473A3
Authority
WO
WIPO (PCT)
Prior art keywords
symmetry
structures
center
line
rotational
Prior art date
Application number
PCT/US2006/025836
Other languages
French (fr)
Other versions
WO2007008473A2 (en
Inventor
Mark Ghinovker
Original Assignee
Kla Tencor Tech Corp
Mark Ghinovker
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kla Tencor Tech Corp, Mark Ghinovker filed Critical Kla Tencor Tech Corp
Priority to JP2008521428A priority Critical patent/JP4926171B2/en
Publication of WO2007008473A2 publication Critical patent/WO2007008473A2/en
Publication of WO2007008473A3 publication Critical patent/WO2007008473A3/en

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • G01B11/272Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes using photoelectric detection means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4785Standardising light scatter apparatus; Standards therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/975Substrate or mask aligning feature

Abstract

Disclosed are overlay targets having flexible and symmetric characteristics and metrology techniques for measuring the overlay error between two or more successive layers of such targets. In one embodiment, the semiconductor target comprises a plurality of first structures having a first center of symmetry or a first line of symmetry being arranged to determine the relative shift in an x direction by analyzing an image of the first structure. The target further comprises a plurality of second structures having a second center of symmetry or a second line of symmetry being arranged to determine the relative shift in an x direction by analyzing an image of the second structures, wherein the first center of symmetry or first line of symmetry has a different location than the second center of symmetry or second line of symmetry.
PCT/US2006/025836 2005-07-11 2006-06-30 Apparatus and methods for determining overlay of structures having rotational or mirror symmetry WO2007008473A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008521428A JP4926171B2 (en) 2005-07-11 2006-06-30 Apparatus and method for determining overlay of rotationally symmetric or mirror-symmetric objects

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US69853505P 2005-07-11 2005-07-11
US60/698,535 2005-07-11
US11/227,764 2005-09-14
US11/227,764 US7541201B2 (en) 2000-08-30 2005-09-14 Apparatus and methods for determining overlay of structures having rotational or mirror symmetry

Publications (2)

Publication Number Publication Date
WO2007008473A2 WO2007008473A2 (en) 2007-01-18
WO2007008473A3 true WO2007008473A3 (en) 2007-03-01

Family

ID=37618037

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/025836 WO2007008473A2 (en) 2005-07-11 2006-06-30 Apparatus and methods for determining overlay of structures having rotational or mirror symmetry

Country Status (3)

Country Link
US (6) US7541201B2 (en)
JP (1) JP4926171B2 (en)
WO (1) WO2007008473A2 (en)

Families Citing this family (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7068833B1 (en) * 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7474401B2 (en) * 2005-09-13 2009-01-06 International Business Machines Corporation Multi-layer alignment and overlay target and measurement method
US8927898B2 (en) * 2006-05-01 2015-01-06 Tcz, Llc Systems and method for optimization of laser beam spatial intensity profile
US8369605B2 (en) * 2006-12-15 2013-02-05 Carl Zeiss Sms Gmbh Method and apparatus for determining the position of a structure on a carrier relative to a reference point of the carrier
CN202189297U (en) * 2007-07-22 2012-04-11 康代有限公司 System for controlling manufacturing process of electronic circuit
EP2028605A1 (en) * 2007-08-20 2009-02-25 Delphi Technologies, Inc. Detection method for symmetric patterns
DE102007046850B4 (en) * 2007-09-29 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Method for determining an overlay accuracy
US7684038B1 (en) * 2008-04-04 2010-03-23 Kla-Tencor Corporation Overlay metrology target
FR2943947B1 (en) * 2009-04-06 2011-12-16 Commissariat Energie Atomique METHOD FOR PRINTING USING A DRIVER IN TWO SUPERIMPOSED LAYERS
JP5391333B2 (en) * 2009-06-17 2014-01-15 エーエスエムエル ネザーランズ ビー.ブイ. Overlay measuring method, lithography apparatus, inspection apparatus, processing apparatus, and lithography processing cell
US8441639B2 (en) * 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
US8329360B2 (en) * 2009-12-04 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of providing overlay
US8513822B1 (en) * 2010-06-30 2013-08-20 Kla-Tencor Corporation Thin overlay mark for imaging based metrology
US9927718B2 (en) * 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
US10890436B2 (en) 2011-07-19 2021-01-12 Kla Corporation Overlay targets with orthogonal underlayer dummyfill
US8781211B2 (en) * 2011-12-22 2014-07-15 Kla-Tencor Corporation Rotational multi-layer overlay marks, apparatus, and methods
US9007585B2 (en) 2012-03-07 2015-04-14 Kla-Tencor Corporation Imaging overlay metrology target and complimentary overlay metrology measurement system
EP2865003A1 (en) * 2012-06-26 2015-04-29 Kla-Tencor Corporation Scanning in angle-resolved reflectometry and algorithmically eliminating diffraction from optical metrology
WO2014004555A1 (en) 2012-06-26 2014-01-03 Kla-Tencor Corporation Near field metrology
KR102094974B1 (en) * 2013-03-08 2020-03-30 삼성전자주식회사 Methods for overlay measurements
TWI689786B (en) * 2013-07-26 2020-04-01 美商克萊譚克公司 Metrology systems
US9189705B2 (en) 2013-08-08 2015-11-17 JSMSW Technology LLC Phase-controlled model-based overlay measurement systems and methods
US9646902B2 (en) * 2013-08-12 2017-05-09 Taiwan Semiconductor Manufacturing Company Limited Paired edge alignment
US9053284B2 (en) 2013-09-04 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for overlay control
US9070622B2 (en) * 2013-09-13 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for similarity-based semiconductor process control
TWI648515B (en) * 2013-11-15 2019-01-21 美商克萊譚克公司 Measurement targets and their measurement, target design files, measurement methods and computer-based equipment
KR101860042B1 (en) * 2013-12-30 2018-05-21 에이에스엠엘 네델란즈 비.브이. Method and apparatus for design of a metrology target
US10311198B2 (en) * 2014-02-16 2019-06-04 Nova Measuring Instruments Ltd. Overlay design optimization
US10152654B2 (en) 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
US10352876B2 (en) 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
CN106154765B (en) * 2015-04-23 2018-12-21 中芯国际集成电路制造(上海)有限公司 Alignment measuring device
US9410902B1 (en) 2015-05-05 2016-08-09 United Microelectronics Corp. Overlay measurement method
US9530199B1 (en) 2015-07-13 2016-12-27 Applied Materials Israel Ltd Technique for measuring overlay between layers of a multilayer structure
NL2017300A (en) 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
KR102432776B1 (en) * 2015-10-08 2022-08-17 에스케이하이닉스 주식회사 Manufacturing method of semiconductor device
US10139528B1 (en) * 2016-01-20 2018-11-27 Kla-Tencor Corporation Compound objectives for imaging and scatterometry overlay
US20170256465A1 (en) * 2016-03-01 2017-09-07 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
US10359377B2 (en) 2016-04-22 2019-07-23 Kla-Tencor Corporation Beam shaping slit for small spot size transmission small angle X-ray scatterometry
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
WO2017182235A1 (en) 2016-04-22 2017-10-26 Asml Netherlands B.V. Determination of stack difference and correction using stack difference
KR20170138207A (en) * 2016-06-07 2017-12-15 삼성전자주식회사 Method for Inspecting Surface
US10481504B2 (en) 2016-06-10 2019-11-19 Imec Vzw Method and apparatus for semiconductor manufacturing
US9653404B1 (en) 2016-08-23 2017-05-16 United Microelectronics Corp. Overlay target for optically measuring overlay alignment of layers formed on semiconductor wafer
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10481111B2 (en) 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
US10859518B2 (en) 2017-01-03 2020-12-08 Kla-Tencor Corporation X-ray zoom lens for small angle x-ray scatterometry
FR3062516B1 (en) * 2017-01-30 2019-04-12 Commissariat A L'energie Atomique Et Aux Energies Alternatives METHOD OF MEASURING THE DEALIGNMENT BETWEEN A FIRST AND A SECOND GRATING AREA
DE112017007043T5 (en) * 2017-02-10 2020-01-16 KLA Corp. REDUCTION OF INACCURACIES RELATING TO GRID ASYMMETRIES IN SCATTEROMETRY MEASUREMENTS
TWI730050B (en) * 2017-02-15 2021-06-11 聯華電子股份有限公司 Overlay mark and method for evaluating stability of semiconductor manufacturing process
US10901325B2 (en) 2017-02-28 2021-01-26 Kla-Tencor Corporation Determining the impacts of stochastic behavior on overlay metrology data
US10444161B2 (en) * 2017-04-05 2019-10-15 Kla-Tencor Corporation Systems and methods for metrology with layer-specific illumination spectra
US10767978B2 (en) 2017-04-14 2020-09-08 Kla-Tencor Corporation Transmission small-angle X-ray scattering metrology system
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
KR102432667B1 (en) * 2017-05-15 2022-08-17 삼성전자주식회사 method for correcting overlay and control system
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US10445889B2 (en) 2017-06-08 2019-10-15 Inspectrology LLC Method for measuring overlay offset in an integrated circuit and related technology
US11333621B2 (en) 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
US10817999B2 (en) * 2017-07-18 2020-10-27 Kla Corporation Image-based overlay metrology and monitoring using through-focus imaging
US10983227B2 (en) 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
US11317500B2 (en) 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
JP2019066750A (en) * 2017-10-04 2019-04-25 株式会社ジャパンディスプレイ Display
US10748736B2 (en) 2017-10-18 2020-08-18 Kla-Tencor Corporation Liquid metal rotating anode X-ray source for semiconductor metrology
US20190250504A1 (en) * 2017-10-23 2019-08-15 Kla-Tencor Corporation Reduction or elimination of pattern placement error in metrology measurements
US10474040B2 (en) 2017-12-07 2019-11-12 Kla-Tencor Corporation Systems and methods for device-correlated overlay metrology
US10473460B2 (en) 2017-12-11 2019-11-12 Kla-Tencor Corporation Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals
US11085754B2 (en) * 2017-12-12 2021-08-10 Kla Corporation Enhancing metrology target information content
US10959318B2 (en) 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator
JP2021511532A (en) 2018-01-12 2021-05-06 ケーエルエー コーポレイション Measurement targets and methods with an inclined periodic structure
US10446367B2 (en) 2018-03-07 2019-10-15 Kla-Tencor Corporation Scan strategies to minimize charging effects and radiation damage of charged particle beam metrology system
US10816486B2 (en) 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
CN108875556B (en) * 2018-04-25 2021-04-23 北京旷视科技有限公司 Method, apparatus, system and computer storage medium for testimony of a witness verification
US10707175B2 (en) * 2018-05-22 2020-07-07 Globalfoundries Inc. Asymmetric overlay mark for overlay measurement
US10622238B2 (en) 2018-06-07 2020-04-14 Kla-Tencor Corporation Overlay measurement using phase and amplitude modeling
US10790205B2 (en) * 2018-09-27 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Overlay structure and method of fabricating the same
WO2020122996A1 (en) * 2018-12-12 2020-06-18 Kla Corporation Multiple-tool parameter set configuration and misregistration measurement system and method
US11231362B1 (en) * 2018-12-20 2022-01-25 Kla Corporation Multi-environment polarized infrared reflectometer for semiconductor metrology
KR20200090488A (en) * 2019-01-21 2020-07-29 삼성전자주식회사 Semiconductor device manufacturing method using thereof
US11610337B2 (en) * 2019-02-17 2023-03-21 Purdue Research Foundation Calibration of cameras and scanners on UAV and mobile platforms
US10839133B1 (en) 2019-05-14 2020-11-17 International Business Machines Corporation Circuit layout similarity metric for semiconductor testsite coverage
JP2022539425A (en) * 2019-07-08 2022-09-08 エーエスエムエル ネザーランズ ビー.ブイ. METROLOGY METHOD AND RELATED COMPUTER PRODUCTS
US11353799B1 (en) * 2019-07-23 2022-06-07 Kla Corporation System and method for error reduction for metrology measurements
EP3611567A3 (en) * 2019-07-23 2020-05-13 ASML Netherlands B.V. Improvements in metrology targets
US11256177B2 (en) 2019-09-11 2022-02-22 Kla Corporation Imaging overlay targets using Moiré elements and rotational symmetry arrangements
US11360397B2 (en) 2019-09-17 2022-06-14 Kla Corporation System and method for application of harmonic detectivity as a quality indicator for imaging-based overlay measurements
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
CN112838017A (en) * 2019-11-22 2021-05-25 长鑫存储技术有限公司 Photoetching pattern detection method and system
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11874102B2 (en) * 2019-12-30 2024-01-16 Kla Corporation Thick photo resist layer metrology target
US11809090B2 (en) 2020-01-30 2023-11-07 Kla Corporation Composite overlay metrology target
US11719652B2 (en) 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US10990023B1 (en) 2020-02-27 2021-04-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for diffraction-based overlay measurement
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
US11686576B2 (en) 2020-06-04 2023-06-27 Kla Corporation Metrology target for one-dimensional measurement of periodic misregistration
US11209737B1 (en) 2020-06-30 2021-12-28 Kla Corporation Performance optimized scanning sequence for eBeam metrology and inspection
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
US11300405B2 (en) * 2020-08-03 2022-04-12 Kla Corporation Grey-mode scanning scatterometry overlay metrology
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US11378394B1 (en) 2020-12-11 2022-07-05 Kla Corporation On-the-fly scatterometry overlay metrology target
US11460783B2 (en) 2021-01-07 2022-10-04 Kla Corporation System and method for focus control in extreme ultraviolet lithography systems using a focus-sensitive metrology target
US11955308B1 (en) 2022-09-22 2024-04-09 Kla Corporation Water cooled, air bearing based rotating anode x-ray illumination source

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030021465A1 (en) * 2000-08-30 2003-01-30 Michael Adel Overlay marks, methods of overlay mark design and methods of overlay measurements
US6992764B1 (en) * 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state

Family Cites Families (208)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3594085A (en) 1967-08-31 1971-07-20 Centre Nat Rech Scient Ellipsometric method and device
JPS5212577A (en) 1975-07-21 1977-01-31 Nippon Kogaku Kk <Nikon> Automatic location device
NL7606548A (en) 1976-06-17 1977-12-20 Philips Nv METHOD AND DEVICE FOR ALIGNING AN IC CARTRIDGE WITH REGARD TO A SEMI-CONDUCTIVE SUBSTRATE.
DE2627609A1 (en) 1976-06-19 1977-12-29 Ibm Deutschland INTERFEROMETRIC PROCEDURE
US4200395A (en) 1977-05-03 1980-04-29 Massachusetts Institute Of Technology Alignment of diffraction gratings
US4332473A (en) 1979-01-31 1982-06-01 Tokyo Shibaura Denki Kabushiki Kaisha Apparatus for detecting a mutual positional relationship of two sample members
US4538105A (en) 1981-12-07 1985-08-27 The Perkin-Elmer Corporation Overlay test wafer
US4475811A (en) 1983-04-28 1984-10-09 The Perkin-Elmer Corporation Overlay test measurement systems
JPH0632323B2 (en) 1983-12-13 1994-04-27 株式会社日立製作所 Semiconductor laser device
US4631416A (en) 1983-12-19 1986-12-23 Hewlett-Packard Company Wafer/mask alignment system using diffraction gratings
JPS60126881U (en) 1984-02-04 1985-08-26 株式会社 タニモト Coin shower control device
US4596467A (en) 1984-03-16 1986-06-24 Hughes Aircraft Company Dissimilar superimposed grating precision alignment and gap measurement systems
US4703434A (en) 1984-04-24 1987-10-27 The Perkin-Elmer Corporation Apparatus for measuring overlay error
DE3419463C1 (en) 1984-05-24 1985-09-12 Sagax Instrument AB, Sundbyberg Device for recording material properties of sample surfaces
US4828392A (en) 1985-03-13 1989-05-09 Matsushita Electric Industrial Co., Ltd. Exposure apparatus
US4710642A (en) 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
DE3530439A1 (en) 1985-08-26 1987-02-26 Siemens Ag DEVICE FOR ADJUSTING A MASK PROVIDED WITH AT LEAST ONE ADJECTOR TOKET WITH A SEMICONDUCTOR THAT IS PROVIDED WITH AT LEAST ONE GRID STRUCTURE
US4714874A (en) 1985-11-12 1987-12-22 Miles Inc. Test strip identification and instrument calibration
IT1186523B (en) 1985-12-31 1987-11-26 Sgs Microelettronica Spa PROCEDURE FOR THE EVALUATION OF PROCESS PARAMETERS IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES
NL8600639A (en) 1986-03-12 1987-10-01 Asm Lithography Bv METHOD FOR ALIGNING A MASK AND A SUBSTRATE AND DEVICE FOR CARRYING OUT THE METHOD
GB2188417B (en) 1986-03-19 1990-02-21 British Steel Corp Molten metal gas analysis
US4818110A (en) 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
US5148214A (en) 1986-05-09 1992-09-15 Canon Kabushiki Kaisha Alignment and exposure apparatus
KR900004269B1 (en) 1986-06-11 1990-06-18 가부시기가이샤 도시바 Method and device for positioing 1st body and 2nd body
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
US4750836A (en) 1986-09-18 1988-06-14 Rca Corporation Method of measuring misalignment between superimposed patterns
US4757207A (en) 1987-03-03 1988-07-12 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
JPH0819176B2 (en) 1987-04-03 1996-02-28 三菱化学株式会社 Olefin polymerization catalyst
US4855253A (en) 1988-01-29 1989-08-08 Hewlett-Packard Test method for random defects in electronic microstructures
US5327221A (en) 1988-02-16 1994-07-05 Canon Kabushiki Kaisha Device for detecting positional relationship between two objects
US5340992A (en) 1988-02-16 1994-08-23 Canon Kabushiki Kaisha Apparatus and method of detecting positional relationship using a weighted coefficient
US5189494A (en) 1988-11-07 1993-02-23 Masato Muraki Position detecting method and apparatus
JP2666859B2 (en) 1988-11-25 1997-10-22 日本電気株式会社 Semiconductor device with vernier pattern for alignment
FR2640040B1 (en) 1988-12-05 1994-10-28 Micro Controle OPTICAL MEASUREMENT METHOD AND DEVICE
JPH02192114A (en) 1989-01-20 1990-07-27 Canon Inc Aligner
NL8900991A (en) 1989-04-20 1990-11-16 Asm Lithography Bv DEVICE FOR IMAGING A MASK PATTERN ON A SUBSTRATE.
US4999014A (en) 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
JP2704002B2 (en) 1989-07-18 1998-01-26 キヤノン株式会社 Position detection method
US5166752A (en) 1990-01-11 1992-11-24 Rudolph Research Corporation Simultaneous multiple angle/multiple wavelength ellipsometer and method
DE4000785A1 (en) 1990-01-12 1991-07-18 Suess Kg Karl ADJUSTMENT TOKENS FOR TWO OBJECTS TO BE ADJUSTED
DE69123610T2 (en) 1990-02-02 1997-04-24 Canon Kk Exposure process
US5112129A (en) 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
US5182610A (en) 1990-04-19 1993-01-26 Sortec Corporation Position detecting method and device therefor as well as aligning device
JPH0444307A (en) 1990-06-12 1992-02-14 Nec Corp Manufacture of semiconductor device
US5216257A (en) 1990-07-09 1993-06-01 Brueck Steven R J Method and apparatus for alignment and overlay of submicron lithographic features
NL9001611A (en) 1990-07-16 1992-02-17 Asm Lithography Bv DEVICE FOR IMAGING A MASK PATTERN ON A SUBSTRATE.
US5343292A (en) 1990-10-19 1994-08-30 University Of New Mexico Method and apparatus for alignment of submicron lithographic features
JPH04234930A (en) 1991-01-10 1992-08-24 Shimano Inc Reel for fishing
EP0502679B1 (en) 1991-03-04 2001-03-07 AT&T Corp. Semiconductor integrated circuit fabrication utilizing latent imagery
US5276337A (en) 1991-10-31 1994-01-04 International Business Machines Corporation Accuracy of alignment and O/L measurement systems by means of tunable source and handling of signal
US5296917A (en) 1992-01-21 1994-03-22 Mitsubishi Denki Kabushiki Kaisha Method of monitoring accuracy with which patterns are written
US5383136A (en) 1992-03-13 1995-01-17 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
US5617340A (en) 1994-04-28 1997-04-01 The United States Of America As Represented By The Secretary Of Commerce Method and reference standards for measuring overlay in multilayer structures, and for calibrating imaging equipment as used in semiconductor manufacturing
US5857258A (en) 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
JP2530080B2 (en) 1992-03-14 1996-09-04 株式会社東芝 Evaluation apparatus for semiconductor manufacturing equipment and its evaluation method
US5479270A (en) 1992-05-19 1995-12-26 Eastman Kodak Company Method and apparatus for aligning depth images
US5403754A (en) 1992-09-30 1995-04-04 Texas Instruments Incorporated Lithography method for direct alignment of integrated circuits multiple layers
JPH06137830A (en) 1992-10-23 1994-05-20 Canon Inc Interference measuring method and its device
US5416588A (en) 1992-12-21 1995-05-16 The Board Of Regents Of The University Of Nebraska Small modulation ellipsometry
US6278957B1 (en) 1993-01-21 2001-08-21 Nikon Corporation Alignment method and apparatus therefor
US6153886A (en) 1993-02-19 2000-11-28 Nikon Corporation Alignment apparatus in projection exposure apparatus
US5438413A (en) 1993-03-03 1995-08-01 Kla Instruments Corporation Process for measuring overlay misregistration during semiconductor wafer fabrication
JPH06260390A (en) 1993-03-05 1994-09-16 Toshiba Corp Alignment method
US5316984A (en) 1993-03-25 1994-05-31 Vlsi Technology, Inc. Bright field wafer target
JP3082516B2 (en) 1993-05-31 2000-08-28 キヤノン株式会社 Optical displacement sensor and drive system using the optical displacement sensor
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
EP0746781A1 (en) 1993-07-09 1996-12-11 Commonwealth Scientific And Industrial Research Organisation Multiple image diffractive device
US5412473A (en) 1993-07-16 1995-05-02 Therma-Wave, Inc. Multiple angle spectroscopic analyzer utilizing interferometric and ellipsometric devices
JP3039210B2 (en) 1993-08-03 2000-05-08 日本電気株式会社 Method for manufacturing semiconductor device
US5388909A (en) 1993-09-16 1995-02-14 Johnson; Shane R. Optical apparatus and method for measuring temperature of a substrate material with a temperature dependent band gap
US5355306A (en) 1993-09-30 1994-10-11 Motorola, Inc. Alignment system and method of alignment by symmetrical and asymmetrical analysis
KR970010569B1 (en) 1993-10-15 1997-06-28 현대전자산업 주식회사 Fabrication method of semiconductor device
JP2595885B2 (en) 1993-11-18 1997-04-02 日本電気株式会社 Semiconductor device and manufacturing method thereof
KR0168772B1 (en) 1994-03-10 1999-02-01 김주용 Photomask and fabricating method using it
US5699282A (en) 1994-04-28 1997-12-16 The United States Of America As Represented By The Secretary Of Commerce Methods and test structures for measuring overlay in multilayer devices
TW256914B (en) 1994-05-27 1995-09-11 Ibm Servo-writing system for use in a data recording disk drive
US5883710A (en) 1994-12-08 1999-03-16 Kla-Tencor Corporation Scanning system for inspecting anomalies on surfaces
DE69531854T2 (en) 1994-08-02 2004-08-19 Koninklijke Philips Electronics N.V. METHOD FOR REPEATING IMAGE OF A MASK PATTERN ON A SUBSTRATE
US5477057A (en) 1994-08-17 1995-12-19 Svg Lithography Systems, Inc. Off axis alignment system for scanning photolithography
JP3693370B2 (en) 1994-10-18 2005-09-07 株式会社ルネサステクノロジ Overlap accuracy measurement mark
JPH08233555A (en) 1994-12-28 1996-09-13 Matsushita Electric Ind Co Ltd Method and apparatus for measuring resist pattern
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5923041A (en) 1995-02-03 1999-07-13 Us Commerce Overlay target and measurement procedure to enable self-correction for wafer-induced tool-induced shift by imaging sensor means
US5808742A (en) 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5702567A (en) 1995-06-01 1997-12-30 Kabushiki Kaisha Toshiba Plurality of photolithographic alignment marks with shape, size and spacing based on circuit pattern features
JP3859764B2 (en) 1995-06-27 2006-12-20 株式会社ルネサステクノロジ Overlay accuracy measurement mark, defect correction method for the mark, and photomask having the mark
US5596413A (en) 1995-08-17 1997-01-21 Lucent Technologies Inc. Sub-micron through-the-lens positioning utilizing out of phase segmented gratings
KR0170909B1 (en) 1995-09-27 1999-03-30 김주용 Overlay detecting method of semiconductor device
US5712707A (en) 1995-11-20 1998-01-27 International Business Machines Corporation Edge overlay measurement target for sub-0.5 micron ground rules
US5757507A (en) 1995-11-20 1998-05-26 International Business Machines Corporation Method of measuring bias and edge overlay error for sub-0.5 micron ground rules
US5801390A (en) 1996-02-09 1998-09-01 Nikon Corporation Position-detection method and apparatus with a grating mark
JP2842360B2 (en) 1996-02-28 1999-01-06 日本電気株式会社 Semiconductor device and manufacturing method thereof
JP2842362B2 (en) 1996-02-29 1999-01-06 日本電気株式会社 Superposition measurement method
JPH09244222A (en) 1996-03-08 1997-09-19 Mitsubishi Electric Corp Reticle for measuring superposition error, method for measuring superposition error by using the reticle and mark for measuring superposition error
US5805290A (en) 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
AU3376597A (en) 1996-06-04 1998-01-05 Tencor Instruments Optical scanning system for surface inspection
US5701013A (en) 1996-06-07 1997-12-23 Mosel Viltelic, Inc. Wafer metrology pattern integrating both overlay and critical dimension features for SEM or AFM measurements
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5700732A (en) 1996-08-02 1997-12-23 Micron Technology, Inc. Semiconductor wafer, wafer alignment patterns and method of forming wafer alignment patterns
US5872042A (en) 1996-08-22 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for alignment mark regeneration
KR19980042190A (en) 1996-11-07 1998-08-17 요시다쇼이치로 Mark for position detection, mark detection method and apparatus therefor, and exposure apparatus
US6013355A (en) 1996-12-30 2000-01-11 International Business Machines Corp. Testing laminates with x-ray moire interferometry
US5912983A (en) 1997-01-24 1999-06-15 Oki Electric Industry Co., Ltd Overlay accuracy measuring method
JPH10213896A (en) 1997-01-30 1998-08-11 Sony Corp Reticle
US5889593A (en) 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US5902703A (en) 1997-03-27 1999-05-11 Vlsi Technology, Inc. Method for measuring dimensional anomalies in photolithographed integrated circuits using overlay metrology, and masks therefor
JP3527063B2 (en) 1997-06-04 2004-05-17 株式会社ルネサステクノロジ Method for manufacturing semiconductor device
JP3630269B2 (en) 1997-08-18 2005-03-16 株式会社ルネサステクノロジ Superposition mark and semiconductor device manufacturing method using this superposition mark
JPH1186332A (en) 1997-09-01 1999-03-30 Toshiba Corp Optical head device
JP3552884B2 (en) 1997-09-04 2004-08-11 沖電気工業株式会社 Pattern for measuring overlay accuracy
US6134011A (en) 1997-09-22 2000-10-17 Hdi Instrumentation Optical measurement system using polarized light
JPH11102851A (en) 1997-09-26 1999-04-13 Mitsubishi Electric Corp Method for correcting alignment and production of semiconductor device
US5877861A (en) 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
JPH11241908A (en) 1997-12-03 1999-09-07 Canon Inc Position detecting apparatus and manufacture of device employing the same
US6160622A (en) 1997-12-29 2000-12-12 Asm Lithography, B.V. Alignment device and lithographic apparatus comprising such a device
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US6476920B1 (en) 1998-03-18 2002-11-05 Nova Measuring Instruments, Ltd. Method and apparatus for measurements of patterned structures
JPH11325877A (en) 1998-03-31 1999-11-26 Siemens Ag Method and apparatus for reducing measuring error
JPH11307418A (en) 1998-04-20 1999-11-05 Matsushita Electron Corp Mask alignment mark and mask aligning method
US6077756A (en) 1998-04-24 2000-06-20 Vanguard International Semiconductor Overlay target pattern and algorithm for layer-to-layer overlay metrology for semiconductor processing
US6385772B1 (en) 1998-04-30 2002-05-07 Texas Instruments Incorporated Monitoring system having wireless remote viewing and control
EP1090329A4 (en) 1998-04-30 2002-09-25 Nikon Corp Alignment simulation
US5919714A (en) * 1998-05-06 1999-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Segmented box-in-box for improving back end overlay measurement
US6140217A (en) 1998-07-16 2000-10-31 International Business Machines Corporation Technique for extending the limits of photolithography
US6128089A (en) 1998-07-28 2000-10-03 International Business Machines Corporation Combined segmented and nonsegmented bar-in-bar targets
US6137578A (en) 1998-07-28 2000-10-24 International Business Machines Corporation Segmented bar-in-bar target
US6020966A (en) 1998-09-23 2000-02-01 International Business Machines Corporation Enhanced optical detection of minimum features using depolarization
KR20010033319A (en) 1998-10-20 2001-04-25 롤페스 요하네스 게라투스 알베르투스 Method of manufacturing a semiconductor device in a silicon body, a surface of said silicon body being provided with a grating and an at least partially recessed oxide pattern
US6037671A (en) 1998-11-03 2000-03-14 Advanced Micro Devices, Inc. Stepper alignment mark structure for maintaining alignment integrity
US6146910A (en) 1999-02-02 2000-11-14 The United States Of America, As Represented By The Secretary Of Commerce Target configuration and method for extraction of overlay vectors from targets having concealed features
TW569083B (en) 1999-02-04 2004-01-01 Asml Netherlands Bv Lithographic projection apparatus
US6184984B1 (en) 1999-02-09 2001-02-06 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
US6084679A (en) 1999-04-02 2000-07-04 Advanced Micro Devices, Inc. Universal alignment marks for semiconductor defect capture and analysis
JP2000294487A (en) * 1999-04-06 2000-10-20 Matsushita Electronics Industry Corp Arrangement structure of register mark for manufacturing semiconductor device
GB9914711D0 (en) 1999-06-23 1999-08-25 Leck Michael J Electronic seal,methods and security system
US6606159B1 (en) 1999-08-02 2003-08-12 Zetetic Institute Optical storage system based on scanning interferometric near-field confocal microscopy
US6342735B1 (en) 1999-09-01 2002-01-29 International Business Machines Corporation Dual use alignment aid
JP4112759B2 (en) * 1999-09-24 2008-07-02 株式会社東芝 Pattern measurement method
JP3348419B2 (en) * 1999-09-24 2002-11-20 株式会社東芝 Aberration measurement method, aberration measurement system, and aberration measurement mask
JP2001092109A (en) 1999-09-24 2001-04-06 Mitsubishi Electric Corp Photomask, semiconductor device and exposing method using photomask
US6420791B1 (en) 1999-11-23 2002-07-16 United Microelectronics Corp. Alignment mark design
US6350548B1 (en) 2000-03-15 2002-02-26 International Business Machines Corporation Nested overlay measurement target
JP2001267202A (en) 2000-03-15 2001-09-28 Matsushita Electric Ind Co Ltd Mark and method for overlay measurement and semiconductor device having the mark
JP2003532306A (en) 2000-05-04 2003-10-28 ケーエルエー・テンコール・テクノロジーズ・コーポレーション Method and system for lithographic process control
US6643557B1 (en) 2000-06-09 2003-11-04 Advanced Micro Devices, Inc. Method and apparatus for using scatterometry to perform feedback and feed-forward control
US6462818B1 (en) * 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
WO2002015238A2 (en) 2000-08-11 2002-02-21 Sensys Instruments Corporation Device and method for optical inspection of semiconductor wafer
WO2002019415A1 (en) 2000-08-30 2002-03-07 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6486954B1 (en) 2000-09-01 2002-11-26 Kla-Tencor Technologies Corporation Overlay alignment measurement mark
IL138552A (en) 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Lateral shift measurement using an optical technique
US7196782B2 (en) 2000-09-20 2007-03-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thin film characteristic and an electrical property of a specimen
US6304999B1 (en) 2000-10-23 2001-10-16 Advanced Micro Devices, Inc. Method and apparatus for embedded process control framework in tool systems
IL140179A (en) 2000-12-07 2004-09-27 Nova Measuring Instr Ltd Method and system for measuring in patterned structures
US6900892B2 (en) 2000-12-19 2005-05-31 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6721052B2 (en) 2000-12-20 2004-04-13 Kla-Technologies Corporation Systems for measuring periodic structures
TW526573B (en) 2000-12-27 2003-04-01 Koninkl Philips Electronics Nv Method of measuring overlay
US6819426B2 (en) 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
US6699624B2 (en) 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
US6856408B2 (en) 2001-03-02 2005-02-15 Accent Optical Technologies, Inc. Line profile asymmetry measurement using scatterometry
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6522406B1 (en) 2001-04-20 2003-02-18 Nanometrics Incorporated Correcting the system polarization sensitivity of a metrology tool having a rotatable polarizer
WO2002088677A1 (en) 2001-04-26 2002-11-07 Therma-Wave, Inc. Measurement system cluster
KR100583694B1 (en) 2001-05-23 2006-05-25 에이에스엠엘 네델란즈 비.브이. Substrate provided with an Alignment Mark, Method of Designing a Mask, Computer Program, Mask for Exposing said Mark, Device Manufacturing Method, and Device Manufactured Thereby
US20020192577A1 (en) 2001-06-15 2002-12-19 Bernard Fay Automated overlay metrology system
WO2003001297A2 (en) 2001-06-26 2003-01-03 Kla-Tencor Corporation Method for determining lithographic focus and exposure
US6458605B1 (en) 2001-06-28 2002-10-01 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography overlay registration
US6713753B1 (en) 2001-07-03 2004-03-30 Nanometrics Incorporated Combination of normal and oblique incidence polarimetry for the characterization of gratings
DE10142316A1 (en) 2001-08-30 2003-04-17 Advanced Micro Devices Inc Semiconductor structure and method for determining critical dimensions and overlay errors
US7061615B1 (en) 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6638671B2 (en) 2001-10-15 2003-10-28 International Business Machines Corporation Combined layer-to-layer and within-layer overlay control system
US6867870B1 (en) 2001-11-01 2005-03-15 Therma-Wave, Inc. Digital detector data communication in an optical metrology tool
US6884552B2 (en) 2001-11-09 2005-04-26 Kla-Tencor Technologies Corporation Focus masking structures, focus patterns and measurements thereof
JP4938219B2 (en) 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション Parametric profiling using optical spectroscopy systems
US6772084B2 (en) 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US6813034B2 (en) 2002-02-05 2004-11-02 Therma-Wave, Inc. Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
US7804994B2 (en) 2002-02-15 2010-09-28 Kla-Tencor Technologies Corporation Overlay metrology and control method
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US7061627B2 (en) 2002-03-13 2006-06-13 Therma-Wave, Inc. Optical scatterometry of asymmetric lines and structures
US6982793B1 (en) 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6949462B1 (en) 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US7046361B1 (en) 2002-04-04 2006-05-16 Nanometrics Incorporated Positioning two elements using an alignment target with a designed offset
US6985229B2 (en) 2002-05-30 2006-01-10 Agere Systems, Inc. Overlay metrology using scatterometry profiling
US7170604B2 (en) 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
US7046376B2 (en) 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US6919964B2 (en) 2002-07-09 2005-07-19 Therma-Wave, Inc. CD metrology analysis using a finite difference method
US20040066517A1 (en) 2002-09-05 2004-04-08 Hsu-Ting Huang Interferometry-based method and apparatus for overlay metrology
KR100597041B1 (en) 2002-09-20 2006-07-04 에이에스엠엘 네델란즈 비.브이. Device inspection method and apparatus
US7193715B2 (en) 2002-11-14 2007-03-20 Tokyo Electron Limited Measurement of overlay using diffraction gratings when overlay exceeds the grating period
US6815232B2 (en) 2002-11-26 2004-11-09 Advanced Micro Devices, Inc. Method and apparatus for overlay control using multiple targets
JP4746987B2 (en) 2002-12-05 2011-08-10 ケーエルエー−テンカー コーポレイション Apparatus and method for detecting overlay error using scatterometry
US6888632B2 (en) 2003-02-28 2005-05-03 Therma-Wave, Inc. Modulated scatterometry
US7080330B1 (en) 2003-03-05 2006-07-18 Advanced Micro Devices, Inc. Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
US7346878B1 (en) 2003-07-02 2008-03-18 Kla-Tencor Technologies Corporation Apparatus and methods for providing in-chip microtargets for metrology or inspection
US7230703B2 (en) 2003-07-17 2007-06-12 Tokyo Electron Limited Apparatus and method for measuring overlay by diffraction gratings
US7061623B2 (en) 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
CN100442144C (en) 2003-12-19 2008-12-10 国际商业机器公司 Differential critical dimension and overlay metrology device and measurement method
US7065737B2 (en) 2004-03-01 2006-06-20 Advanced Micro Devices, Inc Multi-layer overlay measurement and correction technique for IC manufacturing
EP1851507B1 (en) * 2005-02-25 2018-09-26 Nanometrics Incorporated Methods and systems for determining overlay error based on target image symmetry
US7474401B2 (en) 2005-09-13 2009-01-06 International Business Machines Corporation Multi-layer alignment and overlay target and measurement method
US7486408B2 (en) 2006-03-21 2009-02-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7368207B2 (en) * 2006-03-31 2008-05-06 Eastman Kodak Company Dynamic compensation system for maskless lithography
US7473502B1 (en) 2007-08-03 2009-01-06 International Business Machines Corporation Imaging tool calibration artifact and method
NL1036179A1 (en) * 2007-11-20 2009-05-25 Asml Netherlands Bv Lithographic apparatus and method.
NL2003890A (en) * 2008-12-16 2010-06-17 Asml Netherlands Bv Calibration method, inspection method and apparatus, lithographic apparatus, and lithographic processing cell.
WO2015062854A1 (en) * 2013-10-30 2015-05-07 Asml Netherlands B.V. Inspection apparatus and methods, substrates having metrology targets, lithographic system and device manufacturing method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030021465A1 (en) * 2000-08-30 2003-01-30 Michael Adel Overlay marks, methods of overlay mark design and methods of overlay measurements
US6992764B1 (en) * 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state

Also Published As

Publication number Publication date
US7541201B2 (en) 2009-06-02
US20070008533A1 (en) 2007-01-11
US9702693B2 (en) 2017-07-11
US9347879B2 (en) 2016-05-24
WO2007008473A2 (en) 2007-01-18
US20160313116A1 (en) 2016-10-27
US20090224413A1 (en) 2009-09-10
USRE45245E1 (en) 2014-11-18
US20120153281A1 (en) 2012-06-21
JP2009500863A (en) 2009-01-08
US20160047744A1 (en) 2016-02-18
US9182680B2 (en) 2015-11-10
JP4926171B2 (en) 2012-05-09
US20090051917A9 (en) 2009-02-26
US8138498B2 (en) 2012-03-20

Similar Documents

Publication Publication Date Title
WO2007008473A3 (en) Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
WO2007143056A3 (en) Order selected overlay metrology
WO2006093722A3 (en) Methods and systems for determining overlay error based on target image symmetry
WO2007120280A3 (en) Methods and systems for utilizing design data in combination with inspection data
WO2004076963A3 (en) Apparatus and method for detecting overlay errors using scatterometry
WO2009038838A3 (en) Methods and systems for determining a position of inspection data in design data space
WO2008123510A1 (en) Displacement measuring method, displacement measuring apparatus and target for displacement measurement
WO2007069116A3 (en) A device incorporating a display
WO2007115621A3 (en) Method and system for measuring the shape of a reflective surface
WO2005065162A3 (en) A method and system for determining a location using a plurality of selected initial location estimates
WO2003014664A1 (en) Calibration object
WO2007115812A3 (en) Optoelectronic device for determining relative movements or relative positions of two objects
WO2007062179A3 (en) Method of determining the shape of a bendable instrument
JP2009008662A5 (en)
JP2011155302A5 (en)
US8260033B2 (en) Method and apparatus for determining the relative overlay shift of stacked layers
WO2007062358A3 (en) Methods and apparatus to detect and correct integrity failures in satellite positioning system receivers
WO2006041984A3 (en) Error correction in interferometry systems
WO2006088527A3 (en) A semiconductor substrate processing method
WO2007067852A3 (en) System and method for computing the position of a mobile device operating in a wireless network
WO2007047345A3 (en) Compensation for effects of beam misalignments in interferometer metrology systems
WO2007001450A3 (en) Multi-spectral direction finding sensor
JP2005520142A5 (en)
WO2004090979A3 (en) Overlay metrology mark
WO2005104658A3 (en) A method and a system for height triangulation measurement

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
ENP Entry into the national phase

Ref document number: 2008521428

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 06786127

Country of ref document: EP

Kind code of ref document: A2