WO2007011666A3 - Method and apparatus for semiconductor processing - Google Patents

Method and apparatus for semiconductor processing Download PDF

Info

Publication number
WO2007011666A3
WO2007011666A3 PCT/US2006/027250 US2006027250W WO2007011666A3 WO 2007011666 A3 WO2007011666 A3 WO 2007011666A3 US 2006027250 W US2006027250 W US 2006027250W WO 2007011666 A3 WO2007011666 A3 WO 2007011666A3
Authority
WO
WIPO (PCT)
Prior art keywords
chamber
chambers
depositing
transfer
walls
Prior art date
Application number
PCT/US2006/027250
Other languages
French (fr)
Other versions
WO2007011666A2 (en
Inventor
Randhir Thakur
Michael Splinter
Original Assignee
Applied Materials Inc
Randhir Thakur
Michael Splinter
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc, Randhir Thakur, Michael Splinter filed Critical Applied Materials Inc
Priority to EP06787192A priority Critical patent/EP1911073A2/en
Priority to JP2008522833A priority patent/JP2009503818A/en
Publication of WO2007011666A2 publication Critical patent/WO2007011666A2/en
Publication of WO2007011666A3 publication Critical patent/WO2007011666A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Abstract

A method and apparatus (300) for manufacturing semiconductors, comprising at least two transfer chambers (301 A, 301B) with exterior walls, at least one holding chamber attached to the transfer chamber, at least one load lock chamber (306A) attched to the walls of ther transfer chambers, and at least firve process chambers (314A-F) attached to the walls of the transfer chambers. A method and apparatus of depositing a high dielectric constant film, comprising depositing a base oxide on a substrate in a first process chamber, providing decoupled plasma nitration to a surface of the substrate in at least one second process chambe, and depositing polycrystaline silicon in at least one forth process chamber, wherein the first, second, third, and fouth process chambers are in fluid communication with a common interior chamber.
PCT/US2006/027250 2005-07-19 2006-07-14 Method and apparatus for semiconductor processing WO2007011666A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP06787192A EP1911073A2 (en) 2005-07-19 2006-07-14 Method and apparatus for semiconductor processing
JP2008522833A JP2009503818A (en) 2005-07-19 2006-07-14 Method and apparatus for semiconductor processing

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US70052305P 2005-07-19 2005-07-19
US60/700,523 2005-07-19
US11/234,487 US20070020890A1 (en) 2005-07-19 2005-09-22 Method and apparatus for semiconductor processing
US11/234,487 2005-09-22

Publications (2)

Publication Number Publication Date
WO2007011666A2 WO2007011666A2 (en) 2007-01-25
WO2007011666A3 true WO2007011666A3 (en) 2008-07-03

Family

ID=37669366

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/027250 WO2007011666A2 (en) 2005-07-19 2006-07-14 Method and apparatus for semiconductor processing

Country Status (6)

Country Link
US (2) US20070020890A1 (en)
EP (1) EP1911073A2 (en)
JP (1) JP2009503818A (en)
KR (1) KR20080034465A (en)
TW (1) TW200704578A (en)
WO (1) WO2007011666A2 (en)

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
TWI294155B (en) * 2002-06-21 2008-03-01 Applied Materials Inc Transfer chamber for vacuum processing system
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
KR20060079144A (en) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 Atomic layer deposition of barrier materials
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
CN103199039B (en) * 2004-06-02 2016-01-13 应用材料公司 Electron device manufacturing chamber and forming method thereof
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070128862A1 (en) * 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7678710B2 (en) * 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
TWI395335B (en) * 2006-06-30 2013-05-01 Applied Materials Inc Nanocrystal formation
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
US7769942B2 (en) * 2006-07-27 2010-08-03 Rambus, Inc. Cross-threaded memory system
US7615486B2 (en) * 2007-04-17 2009-11-10 Lam Research Corporation Apparatus and method for integrated surface treatment and deposition for copper interconnect
US7902018B2 (en) * 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
KR100869359B1 (en) * 2006-09-28 2008-11-19 주식회사 하이닉스반도체 Method for fabricating recess gate in semiconductor device
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US20080216077A1 (en) * 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7871942B2 (en) * 2008-03-27 2011-01-18 Applied Materials, Inc. Methods for manufacturing high dielectric constant film
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
FR2930675B1 (en) * 2008-04-24 2010-08-20 Alcatel Lucent STATION FOR MEASURING CONTAMINATION IN PARTICULAR OF A TRANSPORT ENCLOSURE FOR CONVEYING AND ATMOSPHERIC STORAGE OF SEMICONDUCTOR SUBSTRATES AND CORRESPONDING MEASUREMENT METHOD
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
JP5277128B2 (en) 2008-09-26 2013-08-28 富士フイルム株式会社 Positive resist composition for immersion exposure and pattern forming method
JP5323724B2 (en) * 2008-11-14 2013-10-23 東京エレクトロン株式会社 Substrate processing system
JP4707749B2 (en) * 2009-04-01 2011-06-22 東京エレクトロン株式会社 Substrate replacement method and substrate processing apparatus
US20100304027A1 (en) * 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
WO2011156650A2 (en) * 2010-06-10 2011-12-15 Applied Materials, Inc. Low resistivity tungsten pvd with enhanced ionization and rf power coupling
CN105051861B (en) * 2013-03-15 2017-11-14 应用材料公司 It is suitable for handling processing system, the device and method of substrate in electronic device manufactures
TWI658531B (en) * 2013-11-04 2019-05-01 應用材料股份有限公司 Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
US9879341B2 (en) * 2015-06-22 2018-01-30 Applied Materials, Inc. Method and apparatus for microwave assisted chalcogen radicals generation for 2-D materials
US10510566B2 (en) 2015-07-14 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool techniques with improved efficiency
US10014196B2 (en) * 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
WO2017172158A1 (en) * 2016-03-29 2017-10-05 Applied Materials, Inc. Integrated metrology and process system for semiconductor substrate local stress and overlay correction
JP7190905B2 (en) * 2016-06-03 2022-12-16 アプライド マテリアルズ インコーポレイテッド A vacuum platform having a processing chamber for removing carbon contaminants and surface oxides from semiconductor substrates
WO2017209900A1 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. A vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
CN106098600B (en) * 2016-08-23 2019-06-28 沈阳拓荆科技有限公司 Laminated film sealed in unit
US11164737B2 (en) 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
US11049719B2 (en) 2017-08-30 2021-06-29 Applied Materials, Inc. Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal
US10529602B1 (en) * 2018-11-13 2020-01-07 Applied Materials, Inc. Method and apparatus for substrate fabrication
KR20200086582A (en) * 2019-01-09 2020-07-17 삼성전자주식회사 Apparatus for atomic layer deposition and method for forming thin film using the same
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
US11205589B2 (en) * 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
CN113025987B (en) * 2019-12-09 2023-02-28 天虹科技股份有限公司 Method for reducing surface oxide formation of aluminum nitride
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US20220051918A1 (en) * 2020-08-13 2022-02-17 Applied Materials, Inc. Transfer chamber with integrated substrate pre-process chamber
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
WO2023033947A1 (en) * 2021-09-03 2023-03-09 Applied Materials, Inc. Cluster tools, systems, and methods having one or more pressure stabilization chambers

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US6440261B1 (en) * 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US20030029383A1 (en) * 2001-08-13 2003-02-13 Ward Nicholas A. Dynamic control of wafer processing paths in semiconductor manufacturing processes
US20040038487A1 (en) * 2002-06-12 2004-02-26 Applied Materials, Inc. Method for improving nitrogen profile in plasma nitrided gate dielectric layers
US20050130448A1 (en) * 2003-12-15 2005-06-16 Applied Materials, Inc. Method of forming a silicon oxynitride layer

Family Cites Families (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US5224809A (en) * 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
DE3743938C2 (en) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Process for atomic layer epitaxy growth of a III / V compound semiconductor thin film
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
JP2598353B2 (en) * 1991-12-04 1997-04-09 アネルバ株式会社 Substrate processing device, substrate transfer device, and substrate replacement method
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5766360A (en) * 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
JPH0616462U (en) * 1992-07-31 1994-03-04 セイコー電子工業株式会社 Cluster type thin film processing system with buffer
TW276353B (en) * 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
TW295677B (en) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
FI97731C (en) * 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
FI97730C (en) * 1994-11-28 1997-02-10 Mikrokemia Oy Equipment for the production of thin films
FI100409B (en) * 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
KR100244041B1 (en) * 1995-08-05 2000-02-01 엔도 마코토 Substrate processing apparatus
US5705044A (en) * 1995-08-07 1998-01-06 Akashic Memories Corporation Modular sputtering machine having batch processing and serial thin film sputtering
JP2937846B2 (en) * 1996-03-01 1999-08-23 アプライド マテリアルズ インコーポレイテッド Multi-chamber wafer processing system
US5795356A (en) * 1996-05-31 1998-08-18 Slsp Partners, Inc. Microelectronic component fabrication facility, and process for making and using the facility
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
KR0183912B1 (en) * 1996-08-08 1999-05-01 김광호 Pumping facility connected to the multi-reaction chamber and method thereof
US5961269A (en) * 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5957648A (en) * 1996-12-11 1999-09-28 Applied Materials, Inc. Factory automation apparatus and method for handling, moving and storing semiconductor wafer carriers
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6312525B1 (en) * 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
KR100385946B1 (en) * 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
US6034000A (en) * 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100269328B1 (en) * 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
KR100275727B1 (en) * 1998-01-06 2001-01-15 윤종용 Capacitor for semiconductor device & manufacturing method
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6113771A (en) * 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
KR100267885B1 (en) * 1998-05-18 2000-11-01 서성기 Deposition apparatus
JP2000021948A (en) * 1998-06-30 2000-01-21 Toshiba Corp Semiconductor manufacture device/system
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6753556B2 (en) * 1999-10-06 2004-06-22 International Business Machines Corporation Silicate gate dielectric
US7094284B2 (en) * 1999-10-07 2006-08-22 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
WO2001038486A2 (en) * 1999-11-22 2001-05-31 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
USD446506S1 (en) * 1999-11-30 2001-08-14 Applied Materials, Inc. Monolith processing system platform
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
KR100705926B1 (en) * 1999-12-22 2007-04-11 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
US6640151B1 (en) * 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
EP1266054B1 (en) * 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
JP2002110761A (en) * 2000-05-04 2002-04-12 Applied Materials Inc Apparatus and method for robot having with temperature sensitive application
KR100427423B1 (en) * 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Inner tube for cvd apparatus
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
KR100332314B1 (en) * 2000-06-24 2002-04-12 서성기 Reactor for depositing thin film on wafer
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100545706B1 (en) * 2000-06-28 2006-01-24 주식회사 하이닉스반도체 Semiconductor device manufacturing method
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
DE10034003A1 (en) * 2000-07-07 2002-01-24 Infineon Technologies Ag Trench capacitor with insulation collar and corresponding manufacturing process
US6532715B2 (en) * 2000-07-10 2003-03-18 Applied Materials, Inc. Semiconductor substrate processing tool and fabrications facilities integration plate
US6802906B2 (en) * 2000-07-21 2004-10-12 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
KR100444149B1 (en) * 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
KR100396879B1 (en) * 2000-08-11 2003-09-02 삼성전자주식회사 Semiconductor memory device having capacitor encapsulated by multi-layer which includes double layeres being made of same material and method of manufacturing thereof
US6793766B2 (en) * 2001-01-04 2004-09-21 Applied Materials Inc. Apparatus having platforms positioned for precise centering of semiconductor wafers during processing
US6613200B2 (en) * 2001-01-26 2003-09-02 Applied Materials, Inc. Electro-chemical plating with reduced thickness and integration with chemical mechanical polisher into a single platform
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
JP4680429B2 (en) * 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 High speed reading control method in text-to-speech converter
US20030000645A1 (en) * 2001-06-27 2003-01-02 Dornfest Charles N. Apparatus and method for reducing leakage in a capacitor stack
US6817640B2 (en) * 2001-06-28 2004-11-16 Applied Materials, Inc. Four-bar linkage wafer clamping mechanism
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6779226B2 (en) * 2001-08-27 2004-08-24 Applied Materials, Inc. Factory interface particle removal platform
US6725564B2 (en) * 2001-08-27 2004-04-27 Applied Materials, Inc. Processing platform with integrated particle removal system
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6674138B1 (en) * 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6677247B2 (en) * 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US7006888B2 (en) * 2002-01-14 2006-02-28 Applied Materials, Inc. Semiconductor wafer preheating
TWI275319B (en) * 2002-02-05 2007-03-01 Semiconductor Energy Lab Manufacturing method and method of operating a manufacturing apparatus
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
CN1306599C (en) * 2002-03-26 2007-03-21 松下电器产业株式会社 Semiconductor device and production method therefor
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
EP1372160B1 (en) * 2002-06-10 2008-05-28 Interuniversitair Microelektronica Centrum (IMEC) Transistors or memory capacitors comprising a composition of HfO2 with enhanced dielectric constant
CN100533651C (en) * 2002-06-12 2009-08-26 应用材料有限公司 Plasma apparatus and method for processing a substrate
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US6780720B2 (en) * 2002-07-01 2004-08-24 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
KR100476926B1 (en) * 2002-07-02 2005-03-17 삼성전자주식회사 Method for forming dual gate of semiconductor device
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (en) * 2002-07-20 2005-01-29 삼성전자주식회사 Manufacturing method of Capacitor Structure
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US20040029321A1 (en) * 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
KR100542736B1 (en) * 2002-08-17 2006-01-11 삼성전자주식회사 Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US6960538B2 (en) * 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US7553686B2 (en) * 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20060079144A (en) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 Atomic layer deposition of barrier materials
JP2005159295A (en) * 2003-09-18 2005-06-16 Nec Kagoshima Ltd Device and method for treating substrate
US7127367B2 (en) * 2003-10-27 2006-10-24 Applied Materials, Inc. Tailored temperature uniformity
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7317229B2 (en) * 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US6440261B1 (en) * 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US20030029383A1 (en) * 2001-08-13 2003-02-13 Ward Nicholas A. Dynamic control of wafer processing paths in semiconductor manufacturing processes
US20040038487A1 (en) * 2002-06-12 2004-02-26 Applied Materials, Inc. Method for improving nitrogen profile in plasma nitrided gate dielectric layers
US20050130448A1 (en) * 2003-12-15 2005-06-16 Applied Materials, Inc. Method of forming a silicon oxynitride layer

Also Published As

Publication number Publication date
JP2009503818A (en) 2009-01-29
US20070020890A1 (en) 2007-01-25
TW200704578A (en) 2007-02-01
EP1911073A2 (en) 2008-04-16
WO2007011666A2 (en) 2007-01-25
US20080044595A1 (en) 2008-02-21
KR20080034465A (en) 2008-04-21

Similar Documents

Publication Publication Date Title
WO2007011666A3 (en) Method and apparatus for semiconductor processing
KR102293637B1 (en) Method and system for selectively forming film
KR101684588B1 (en) System for processing a substrate
WO2002023597A3 (en) Double dual slot load lock for process equipment
WO2006107532A3 (en) Single wafer thermal cvd processes for hemispherical grained silicon and nano-crystalline grain-sized polysilicon
CN1323422C (en) Oxidation method for altering a film structure and CMOS transistor structure formed therewith
JP7175337B2 (en) LOADPORT APPARATUS, SYSTEM AND METHOD FOR ELECTRONIC DEVICE MANUFACTURING
TWI526382B (en) Cluster apparatus for treating substrate
WO2006007077A3 (en) Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
EP1746182A3 (en) PVD and CVD system
CA2326575A1 (en) Wafer holder for semiconductor manufacturing apparatus and semiconductor manufacturing apparatus using the same
TW200608590A (en) Structures and methods for manufacturing of dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering with sige and/or Si:c
WO2008150726A3 (en) Method for integrating nanotube devices with cmos for rf/analog soc applications
WO2009060540A1 (en) Inline-type wafer conveyance device
WO2005122254A3 (en) Gate stack and gate stack etch sequence for metal gate integration
Kim et al. Unified film patterning and annealing of an organic semiconductor with micro-grooved wet stamps
TW200717816A (en) Minute structure, micromachine, organic transistor, electric appliance, and manufacturing method thereof
EP1394844A4 (en) Method of fabricating semiconductor device
EP1818978A4 (en) Semiconductor storage device and manufacturing method thereof
WO2009078121A1 (en) Semiconductor substrate supporting jig and method for manufacturing the same
TW200503271A (en) Semiconductor device, manufacturing method thereof, and manufacturing method of metallic compound thin film
US11225713B2 (en) Stable IR transparent conductive graphene hybrid materials and methods of making
CN104813445B (en) The apparatus and method being passivated for dorsal part
WO2007101207A3 (en) Process chambers for substrate vacuum processing tool
CN104779197B (en) Semiconductor device metallization system and method

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200680026489.3

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2008522833

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2006787192

Country of ref document: EP