WO2007022528A1 - Mems devices having support structures with substantially vertical sidewalls and methods for fabricating the same - Google Patents

Mems devices having support structures with substantially vertical sidewalls and methods for fabricating the same Download PDF

Info

Publication number
WO2007022528A1
WO2007022528A1 PCT/US2006/032691 US2006032691W WO2007022528A1 WO 2007022528 A1 WO2007022528 A1 WO 2007022528A1 US 2006032691 W US2006032691 W US 2006032691W WO 2007022528 A1 WO2007022528 A1 WO 2007022528A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
movable
mems device
over
support structure
Prior art date
Application number
PCT/US2006/032691
Other languages
French (fr)
Inventor
Lior Kogut
Chengbin Qui
Chun-Ming Wang
Stephen Zee
Fan Zhong
Original Assignee
Qualcomm Mems Technologies, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Mems Technologies, Inc. filed Critical Qualcomm Mems Technologies, Inc.
Publication of WO2007022528A1 publication Critical patent/WO2007022528A1/en

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/0816Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements
    • G02B26/0833Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD
    • G02B26/0841Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD the reflecting element being moved or deformed by electrostatic means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B3/00Devices comprising flexible or deformable elements, e.g. comprising elastic tongues or membranes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B3/00Devices comprising flexible or deformable elements, e.g. comprising elastic tongues or membranes
    • B81B3/0064Constitution or structural means for improving or controlling the physical properties of a device
    • B81B3/0067Mechanical properties
    • B81B3/0072For controlling internal stress or strain in moving or flexible elements, e.g. stress compensating layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00134Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems comprising flexible or deformable structures
    • B81C1/00142Bridges
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00642Manufacture or treatment of devices or systems in or on a substrate for improving the physical properties of a device
    • B81C1/0065Mechanical properties
    • B81C1/00666Treatments for controlling internal stress or strain in MEMS structures
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/001Optical devices or arrangements for the control of light using movable or deformable optical elements based on interference in an adjustable optical cavity
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/04Optical MEMS
    • B81B2201/047Optical MEMS not provided for in B81B2201/042 - B81B2201/045
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/01Suspended structures, i.e. structures allowing a movement
    • B81B2203/0145Flexible holders
    • B81B2203/0163Spring holders
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/01Suspended structures, i.e. structures allowing a movement
    • B81B2203/019Suspended structures, i.e. structures allowing a movement characterized by their profile
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/03Static structures
    • B81B2203/0307Anchors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/05Type of movement
    • B81B2203/053Translation according to an axis perpendicular to the substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0161Controlling physical properties of the material
    • B81C2201/0163Controlling internal stress of deposited layers
    • B81C2201/0167Controlling internal stress of deposited layers by adding further layers of materials having complementary strains, i.e. compressive or tensile strain
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S359/00Optical: systems and elements
    • Y10S359/90Methods

Definitions

  • Microelectromechanical systems include micro mechanical elements, actuators, and electronics. Micromechanical elements may be created using deposition, etching, and/or other micromachining processes that etch away parts of substrates and/or deposited material layers or that add layers to form electrical and electromechanical devices.
  • One type of MEMS device is called an interferometric modulator.
  • interferometric modulator or interferometric light modulator refers to a device that selectively absorbs and/or reflects light using the principles of optical interference.
  • an interferometric modulator may comprise a pair of conductive plates, one or both of which may be transparent and/or reflective in whole or part and capable of relative motion upon application of an appropriate electrical signal.
  • one plate may comprise a stationary layer deposited on a substrate and the other plate may comprise a metallic membrane separated from the stationary layer by an air gap.
  • the position of one plate in relation to another can change the optical interference of light incident on the interferometric modulator.
  • Such devices have a wide range of applications, and it would be beneficial in the art to utilize and/or modify the characteristics of these types of devices so that their features can be exploited in improving existing products and creating new products that have not yet been developed.
  • a method of fabricating a MEMS device including providing a substrate, depositing an electrode layer over the substrate, depositing a sacrificial layer over the electrode layer, patterning the sacrificial layer to form an aperture, depositing a layer of inorganic self-planarizing material over the sacrificial layer, such that it fills the aperture, etching back the layer of self-planarizing material to a level at or below the upper surface of the sacrificial layer to form a support structure, and depositing a movable layer over the support structure.
  • a method of fabricating a MEMS device including providing a substrate, depositing an electrode layer over the substrate, depositing a sacrificial layer over the electrode layer, patterning the sacrificial layer to define an aperture, forming a metallic seed layer, where the metallic seed layer does not extend over unpatterned portions of the sacrificial layer, forming a support structure within the aperture via a plating process, and depositing a movable layer over the support structure.
  • a method of fabricating a MEMS device including providing a substrate, depositing an electrode layer over the substrate, depositing a sacrificial layer over the electrode layer, patterning the sacrificial layer to define an aperture having a substantially vertical sidewall, depositing a layer of conforaial support material over the vertical sidewall and over an upper surface of the sacrificial layer, performing a directional etch to form a spacer structure located within the aperture and against the substantially vertical sidewall, where the directional etch removes the support material overlying the upper surface of the sacrificial layer, and depositing a movable layer over the support structure.
  • a method of fabricating a MEMS device including providing a substrate, depositing an electrode layer over the substrate, depositing a sacrificial layer over the electrode layer, depositing a movable layer over the sacrificial layer, patterning the movable layer to form an aperture extending through the movable layer, thereby exposing a portion of the sacrifical layer, etching the exposed portion of the sacrificial layer to form a cavity extending through the sacrificial layer and undercutting a portion of the movable layer, and depositing a layer of self- planarizing support material to fill the cavity.
  • a MEMS device including a substrate, an electrode layer located over the substrate, a movable layer located over the electrode layer, where the movable layer is generally spaced apart from the electrode layer by an air gap, and an inorganic support structure underlying the movable layer, where the inorganic support structure includes a substantially vertical sidewall, and where the inorganic support structure is spaced apart from the substrate by at least one intermediate layer.
  • a MEMS device including a substrate, an electrode layer located over the substrate, a movable layer located over the electrode layer, where the movable layer is generally spaced apart from the electrode layer by an air gap, the movable layer including an aperture extending through the movable layer, and a support structure located at least partially beneath the aperture in the movable layer, the support structure including a convex sidewall portion located underneath the movable layer.
  • a MEMS device including first means for electrically conducting, second means for electrically conducting, and means for supporting the second conducting means over the first conducting means, where the second conducting means is movable relative to the first conducting means in response to generating electrostatic potential between the first and second conducting means, and where the supporting means extend through an aperture in the second conducting means and enclose at least a portion of the second conducting means.
  • a method of manufacturing a MEMS device including forming a lower sacrificial layer over a substrate, forming a movable layer over the first sacrificial layer, forming an upper sacrificial layer over the movable layer, where the thickness of the second sacrificial layer is between 30 and 500 angstroms, forming a rigid ceiling layer over the second sacrificial layer, and forming a support structure which provides support to both the movable layer and the rigid ceiling layer.
  • a MEMS device including a movable layer spaced apart from a substrate by a lower air gap, a rigid ceiling layer spaced apart from the movable layer by an upper air gap, where the height of the upper air gap is between 30 and 500 angstroms, and a support structure which provides support to both the movable layer and the rigid ceiling layer.
  • FIG. 1 is an isometric view depicting a portion of one embodiment of an interferometric modulator display in which a movable reflective layer of a first interferometric modulator is in a relaxed position and a movable reflective layer of a second interferometric modulator is in an actuated position.
  • FIG. 2 is a system block diagram illustrating one embodiment of an electronic device incorporating a 3x3 interferometric modulator display.
  • FIG. 3 is a diagram of movable mirror position versus applied voltage for one exemplary embodiment of an interferometric modulator of FIG. 1.
  • FIG. 4 is an illustration of a set of row and column voltages that may be used to drive an interferometric modulator display.
  • FIG. 5 A illustrates one exemplary frame of display data in the 3x3 interferometric modulator display of FIG. 2.
  • FIG. 5B illustrates one exemplary timing diagram for row and column signals that may be used to write the frame of FIG. 5 A.
  • FIGS. 6 A and 6B are system block diagrams illustrating an embodiment of a visual display device comprising a plurality of interferometric modulators.
  • FIG. 7A is a cross section of the device of FIG. 1.
  • FIG. 7B is a cross section of an alternative embodiment of an interferometric modulator.
  • FIG. 7C is a cross section of another alternative embodiment of an interferometric modulator.
  • FIG 7D is a cross section of yet another alternative embodiment of an interferometric modulator.
  • FIG. 7E is a cross section of an additional alternative embodiment of an interferometric modulator.
  • FIG. 8 is a top plan view of an array of MEMS elements in which the individual elements comprise support structures.
  • FIGS. 9A-9I are schematic cross-sections illustrating steps in a method for fabricating a MEMS device having a support structure formed from a self-planarizing material.
  • FIG. 10 is a schematic cross-sections illustrating a step in an alertnate method for fabricating a MEMS device having a support structure formed from a self- planarizing material.
  • FIGS. 1 IA-I IF are schematic cross-sections illustrating steps in a method for fabricating a MEMS device having an electrode which is partially separated from a mechanical layer..
  • FIGS. 12A-12D are schematic cross-sections illustrating steps in a method for fabricating a MEMS device having a support structure which encloses at least a portion of a movable layer.
  • FIG. 13 is a schematic cross-section illustrating a step in a method for fabricating a MEMS device having a support structure which includes an aperture extending through the support structure.
  • FIGS. 14A-14B are schematic cross-sections illustrating steps in an alternate method for fabricating a MEMS device having a support structure formed by an electroplating process.
  • FIGS. 15A-15C are schematic cross-sections illustrating steps iri a method for fabricating a MEMS device having spacers formed by a spacer etch.
  • FIGS. 16A-16C are schematic cross-sections illustrating steps in a method for fabricating a MEMS device having an overlying rigid ceiling member.
  • FIGS. 17A-17B are schematic cross-sections illustrating steps in an alternate method for fabricating a MEMS device having an overlying rigid ceiling member.
  • FIG. 18 is a schematic cross-section illustrating a step in an alternate method for fabricating a MEMS device having an overlying rigid ceiling member.
  • FIG. 19 is a schematic cross-section illustrating a step in an alternate method for fabricating a MEMS device having a support structure formed by an electroplating process
  • FIGS. 20A-20C are schematic cross-sections illustrating steps in an alternate method for fabricating a MEMS device having a support structure formed by an electroplating process.
  • the embodiments may be implemented in or associated with a variety of electronic devices such as, but not limited to, mobile telephones, wireless devices, personal data assistants (PDAs), handheld or portable computers, GPS receivers/navigators, cameras, MP3 players, camcorders, game consoles, wrist watches, clocks, calculators, television monitors, flat panel displays, computer monitors, auto displays (e.g., odometer display, etc.), cockpit controls and/or displays, display of camera views (e.g., display of a rear view camera in a vehicle), electronic photographs, electronic billboards or signs, projectors, architectural structures, packaging, and aesthetic structures (e.g., display of images on a piece of jewelry).
  • MEMS devices of similar structure to those described herein can also be used in non-display applications such as in electronic switching devices.
  • the inclusion of such support structures inhibits the motion of the movable layer in the area surrounding the support structures, and may have an adverse effect on the performance of the MEMS device, effectively reducing the active area of the MEMS device. It is thus desirable to minimize the footprint of these support structures while providing the desired level of support. In certain embodiments, this can be achieved through the use of support structures having substantially vertical sidewalls. hi one embodiment, the fabrication of such support structures can be achieved through the use of a self-planarizing material. In further embodiments, these support structures may extend through an aperture in the movable layer and enclose a portion of the movable layer, hi alternate embodiments, directional etches or electroplating techniques can be used to provide such support structures.
  • FIG. 1 One interferometric modulator display embodiment comprising an interferometric MEMS display element is illustrated in Figure 1. hi these devices, the pixels are in either a bright or dark state. In the bright ("on” or “open”) state, the display element reflects a large portion of incident visible light to a user. When in the dark (“off or “closed”) state, the display element reflects little incident visible light to the user. Depending on the embodiment, the light reflectance properties of the "on” and “off states may be reversed. MEMS pixels can be configured to reflect predominantly at selected colors, allowing for a color display in addition to black and white.
  • Figure 1 is an isometric view depicting two adjacent pixels in a series of pixels of a visual display, wherein each pixel comprises a MEMS interferometric modulator.
  • an interferometric modulator display comprises a row/column array of these interferometric modulators.
  • Each interferometric modulator includes a pair of reflective layers positioned at a variable and controllable distance from each other to form a resonant optical cavity with at least one variable dimension. In one embodiment, one of the reflective layers may be moved between two positions.
  • the movable reflective layer In the first position, referred to herein as the relaxed position, the movable reflective layer is positioned at a relatively large distance from a fixed partially reflective layer, hi the second position, referred to herein as the actuated position, the movable reflective layer is positioned more closely adjacent to the partially reflective layer. Incident light that reflects from the two layers interferes constructively or destructively depending on the position of the movable reflective layer, producing either an overall reflective or non- reflective state for each pixel.
  • the depicted portion of the pixel array in Figure 1 includes two adjacent interferometric modulators 12a and 12b.
  • a movable reflective layer 14a is illustrated in a relaxed position at a predetermined distance from an optical stack 16a, which includes a partially reflective layer,
  • the movable reflective layer 14b is illustrated in an actuated position adjacent to the optical stack 16b.
  • optical stack 16 typically comprise several fused layers, which can include an electrode layer, such as indium tin oxide (ITO), a partially reflective layer, such as chromium, and a transparent dielectric.
  • ITO indium tin oxide
  • the optical stack 16 is thus electrically conductive, partially transparent, and partially reflective, and may be fabricated, for example, by depositing one or more of the above layers onto a transparent substrate 20.
  • the partially reflective layer can be formed from a variety of materials that are partially reflective such as various metals, semiconductors, and dielectrics.
  • the partially reflective layer can be formed of one or more layers of materials, and each of the layers can be formed of a single material or a combination of materials.
  • the layers of the optical stack 16 are patterned into parallel strips, and may form row electrodes in a display device as described further below.
  • the movable reflective layers 14a, 14b may be formed as a series of parallel strips of a deposited metal layer or layers (orthogonal to the row electrodes of 16a, 16b) deposited on top of posts 18 and an intervening sacrificial material deposited between the posts 18. When the sacrificial material is etched away, the movable reflective layers 14a, 14b are separated from the optical stacks 16a, 16b by a defined gap 19.
  • a highly conductive and reflective material such as aluminum may be used for the reflective layers 14, and these strips may form column electrodes in a display device.
  • Figures 2 through 5B illustrate one exemplary process and system for using an array of interferometric modulators in a display application.
  • FIG. 2 is a system block diagram illustrating one embodiment of an electronic device that may incorporate aspects of the invention, hi the exemplary embodiment, the electronic device includes a processor 21 which may be any general purpose single- or multi-chip microprocessor such as an ARM, Pentium ® , Pentium II ® , Pentium in ® , Pentium IV ® , Pentium ® Pro, an 8051, a MIPS ® , a Power PC ® , an ALPHA ® , or any special purpose microprocessor such as a digital signal processor, microcontroller, or a programmable gate array.
  • the processor 21 may be configured to execute one or more software modules.
  • the processor may be configured to execute one or more software applications, including a web browser, a telephone application, an email program, or any other software application.
  • the processor 21 is also configured to communicate with an array driver 22.
  • the array driver 22 includes a row driver circuit 24 and a column driver circuit 26 that provide signals to a display array or panel 30.
  • the cross section of the array illustrated in Figure 1 is shown by the lines 1-1 in Figure 2.
  • the row/column actuation protocol may take advantage of a hysteresis property of these devices illustrated in Figure 3. It may require, for example, a 10 volt potential difference to cause a movable layer to deform from the relaxed state to the actuated state.
  • the movable layer maintains its state as the voltage drops back below 10 volts, hi the exemplary embodiment of Figure 3, the movable layer does not relax completely until the voltage drops below 2 volts.
  • a window of applied voltage about 3 to 7 V in the example illustrated in Figure 3, within which the device is stable in either the relaxed or actuated state.
  • the row/column actuation protocol can be designed such that during row strobing, pixels in the strobed row that are to be actuated are exposed to a voltage difference of about 10 volts, and pixels that are to be relaxed are exposed to a voltage difference of close to zero volts. After the strobe, the pixels are exposed to a steady state voltage difference of about 5 volts such that they remain in whatever state the row strobe put them in. After being written, each pixel sees a potential difference within the "stability window" of 3-7 volts in this example.
  • each pixel of the interferometric modulator whether in the actuated or relaxed state, is essentially a capacitor formed by the fixed and moving reflective layers, this stable state can be held at a voltage within the hysteresis window with almost no power dissipation. Essentially no current flows into the pixel if the applied potential is fixed.
  • a display frame may be created by asserting the set of column electrodes in accordance with the desired set of actuated pixels in the first row.
  • a row pulse is then applied to the row 1 electrode, actuating the pixels corresponding to the asserted column lines.
  • the asserted set of column electrodes is then changed to correspond to the desired set of actuated pixels in the second row.
  • a pulse is then applied to the row 2 electrode, actuating the appropriate pixels in row 2 in accordance with the asserted column electrodes.
  • the row 1 pixels are unaffected by the row 2 pulse, and remain in the state they were set to during the row 1 pulse. This may be repeated for the entire series of rows in a sequential fashion to produce the frame.
  • the frames are refreshed and/or updated with new display data by continually repeating this process at some desired number of frames per second.
  • protocols for driving row and column electrodes of pixel arrays to produce display frames are also well known and may be used in conjunction with the present invention.
  • Figures 4, 5A, and 5B illustrate one possible actuation protocol for creating a display frame on the 3x3 array of Figure 2.
  • Figure 4 illustrates a possible set of column and row voltage levels that may be used for pixels exhibiting the hysteresis curves of Figure 3.
  • actuating a pixel involves setting the appropriate column to -V b i as , and the appropriate row to + ⁇ V, which may correspond to - 5 volts and +5 volts, respectively Relaxing the pixel is accomplished by setting the appropriate column to +V b i as , and the appropriate row to the same + ⁇ V, producing a zero volt potential difference across the pixel, hi those rows where the row voltage is held at zero volts, the pixels are stable in whatever state they were originally in, regardless of whether the column is at +Vbia s , or -Vbi as - As is also illustrated in Figure 4, it will be appreciated that voltages of opposite polarity than those described above can be used, e.g., actuating a pixel can involve setting the appropriate column to +V b i as , and the appropriate row to — ⁇ V. In this embodiment, releasing the pixel is accomplished by setting the appropriate column to -V b i as , and the appropriate row to the same -
  • Figure 5B is a timing diagram showing a series of row and column signals applied to the 3x3 array of Figure 2 which will result in the display arrangement illustrated in Figure 5A, where actuated pixels are non-reflective.
  • the pixels Prior to writing the frame illustrated in Figure 5A, the pixels can be in any state, and in this example, all the rows are at 0 volts, and all the columns are at +5 volts. With these applied voltages, all pixels are stable in their existing actuated or relaxed states.
  • pixels (1,1), (1,2), (2,2), (3,2) and (3,3) are actuated.
  • columns 1 and 2 are set to -5 volts, and column 3 is set to +5 volts. This does not change the state of any pixels, because all the pixels remain in the 3-7 volt stability window.
  • Row 1 is then strobed with a pulse that goes from 0, up to 5 volts, and back to zero. This actuates the (1,1) and (1,2) pixels and relaxes the (1,3) pixel. No other pixels in the array are affected.
  • column 2 is set to -5 volts, and columns 1 and 3 are set to +5 volts.
  • Row 3 is similarly set by setting columns 2 and 3 to -5 volts, and column 1 to +5 volts.
  • the row 3 strobe sets the row 3 pixels as shown in Figure 5A. After writing the frame, the row potentials are zero, and the column potentials can remain at either +5 or -5 volts, and the display is then stable in the arrangement of Figure 5A. It will be appreciated that the same procedure can be employed for arrays of dozens or hundreds of rows and columns.
  • FIGS 6A and 6B are system block diagrams illustrating an embodiment of a display device 40.
  • the display device 40 can be, for example, a cellular or mobile telephone.
  • the same components of display device 40 or slight variations thereof are also illustrative of various types of display devices such as televisions and portable media players.
  • the display device 40 includes a housing 41, a display 30, an antenna 43, a speaker 45, an input device 48, and a microphone 46.
  • the housing 41 is generally formed from any of a variety of manufacturing processes as are well known to those of skill in the art, including injection molding and vacuum forming.
  • the housing 41 may be made from any of a variety of materials, including, but not limited to, plastic, metal, glass, rubber, and ceramic, or a combination thereof, hi one embodiment, the housing 41 includes removable portions (not shown) that may be interchanged with other removable portions of different color, or containing different logos, pictures, or symbols.
  • the display 30 of the exemplary display device 40 may be any of a variety of displays, including a bi-stable display, as described herein.
  • the display 30 includes a flat-panel display, such as plasma, EL, OLED, STN LCD, or TFT LCD as described above, or a non-flat-panel display, such as a CRT or other tube device, as is well known to those of skill in the art.
  • the display 30 includes an interferometric modulator display, as described herein.
  • the components of one embodiment of the exemplary display device 40 are schematically illustrated in Figure 6B.
  • the illustrated exemplary display device 40 includes a housing 41 and can include additional components at least partially enclosed therein.
  • the exemplary display device 40 includes a network interface 27 that includes an antenna 43, which is coupled to a transceiver 47.
  • the transceiver 47 is connected to a processor 21, which is connected to conditioning hardware 52.
  • the conditioning hardware 52 may be configured to condition a signal (e.g., filter a signal).
  • the conditioning hardware 52 is connected to a speaker 45 and a microphone 46.
  • the processor 21 is also connected to an input device 48 and a driver controller 29.
  • the driver controller 29 is coupled to a frame buffer 28 and to an array driver 22, which in turn is coupled to a display array 30.
  • a power supply 50 provides power to all components as required by the particular exemplary display device 40 design.
  • the network interface 27 includes the antenna 43 and the transceiver 47 so that the exemplary display device 40 can communicate with one or more devices over a network, hi one embodiment, the network interface 27 may also have some processing capabilities to relieve requirements of the processor 21.
  • the antenna 43 is any antenna known to those of skill in the art for transmitting and receiving signals. In one embodiment, the antenna transmits and receives RF signals according to the IEEE 802.11 standard, including IEEE 802.11 (a), (b), or (g). hi another embodiment, the antenna transmits and receives RF signals according to the BLUETOOTH standard, hi the case of a cellular telephone, the antenna is designed to receive CDMA, GSM, AMPS, or other known signals that are used to communicate within a wireless cell phone network.
  • the transceiver 47 pre-processes the signals received from the antenna 43 so that they may be received by and further manipulated by the processor 21.
  • the transceiver 47 also ' processes signals received from the processor 21 so that they may be transmitted from the exemplary display device 40 via the antenna 43.
  • the transceiver 47 can be replaced by a receiver.
  • the network interface 27 can be replaced by an image source, which can store or generate image data to be sent to the processor 21.
  • the image source can be memory device such as a digital video disc (DVD) or a hard-disc drive that contains image data, or a software module that generates image data.
  • the processor 21 generally controls the overall operation of the exemplary display device 40.
  • the processor 21 receives data, such as compressed image data from the network interface 27 or an image source, and processes the data into raw image data or into a format that is readily processed into raw image data.
  • the processor 21 then sends the processed data to the driver controller 29 or to the frame buffer 28 for storage.
  • Raw data typically refers to the information that identifies the image characteristics at each location within an image. For example, such image characteristics can include color, saturation, and gray-scale level.
  • the processor 21 includes a microcontroller, CPU, or logic unit to control operation of the exemplary display device 40.
  • the conditioning hardware 52 generally includes amplifiers and filters for transmitting signals to the speaker 45, and for receiving signals from the microphone 46.
  • the conditioning hardware 52 may be discrete components within the exemplary display device 40, or may be incorporated within the processor 21 or other components.
  • the driver controller 29 takes the raw image data generated by the processor 21 either directly from the processor 21 or from the frame buffer 28 and reformats the raw image data appropriately for high speed transmission to the array driver 22. Specifically, the driver controller 29 reformats the raw image data into a data flow having a raster-like format, such that it has a time order suitable for scanning across the display array 30. Then the driver controller 29 sends the formatted information to the array driver 22.
  • a driver controller 29, such as a LCD controller is often associated with the system processor 21 as a stand-alone Integrated Circuit (IC), such controllers may be implemented in many ways. They may be embedded in the processor 21 as hardware, embedded in the processor 21 as software, or fully integrated in hardware with the array driver 22.
  • IC Integrated Circuit
  • the array driver 22 receives the formatted information from the driver controller 29 and reformats the video data into a parallel set of waveforms that are applied many times per second to the hundreds and sometimes thousands of leads coming from the display's x-y matrix of pixels.
  • the driver controller 29, the array driver 22, and the display array 30 are appropriate for any of the types of displays described herein.
  • the driver controller 29 is a conventional display controller or a bi-stable display controller (e.g., an interferometric modulator controller).
  • the array driver 22 is a conventional driver or a bi-stable display driver (e.g., an interferometric modulator display).
  • a driver controller 29 is integrated with the array driver 22.
  • the display array 30 is a typical display array or a bi-stable display array (e.g., a display including an array of interferometric modulators).
  • the input device 48 allows a user to control the operation of the exemplary display device 40.
  • the input device 48 includes a keypad, such as a QWERTY keyboard or a telephone keypad, a button, a switch, a touch-sensitive screen, or a pressure- or heat-sensitive membrane, hi one embodiment, the microphone 46 is an input device for the exemplary display device 40. When the microphone 46 is used to input data to the device, voice commands may be provided by a user for controlling operations of the exemplary display device 40.
  • the power supply 50 can include a variety of energy storage devices as are well known in the art.
  • the power supply 50 is a rechargeable battery, such as a nickel-cadmium battery or a lithium ion battery, hi another embodiment, the power supply 50 is a renewable energy source, a capacitor, or a solar cell including a plastic solar cell, and solar-cell paint.
  • the power supply 50 is configured to receive power from a wall outlet.
  • control programmability resides, as described above, in a driver controller which can be located in several places in the electronic display system, hi some embodiments, control programmability resides in the array driver 22.
  • control programmability resides in the array driver 22.
  • Figures 7A-7E illustrate five different embodiments of the movable reflective layer 14 and its supporting structures.
  • Figure 7 A is a cross section of the embodiment of Figure 1, where a strip of metal material 14 is deposited on orthogonally extending supports 18.
  • the moveable reflective layer 14 is attached to supports 18 at the corners only, on tethers 32.
  • the moveable reflective layer 14 is suspended from a deformable layer 34, which may comprise a flexible metal.
  • the deformable layer 34 connects, directly or indirectly, to the substrate 20 around the perimeter of the deformable layer 34.
  • connection structures which can take the form of isolated pillars or posts and/or continuous walls or rails.
  • the embodiment illustrated in Figure 7D has support structures 18 that include support plugs 42 upon which the deformable layer 34 rests.
  • the movable reflective layer 14 remains suspended over the cavity, as in Figures 7A-7C, but the deformable layer 34 does not form the support posts by filling holes between the deformable layer 34 and the optical stack 16. Rather, the support posts 18 are formed of a planarization material, which is used to form the support post plugs 42.
  • the embodiment illustrated in Figure 7E is based on the embodiment shown in Figure 7D, but may also be adapted to work with any of the embodiments illustrated in Figures 7A-7C, as well as additional embodiments not shown.
  • bus structure 44 In the embodiment shown in Figure 7E, an extra layer of metal or other conductive material has been used to form a bus structure 44. This allows signal routing along the back of the interferometric modulators, eliminating a number of electrodes that may otherwise have had to be formed on the substrate 20.
  • the interferometric modulators function as direct-view devices, in which images are viewed from the front side of the transparent substrate 20, the side opposite to that upon which the modulator is arranged.
  • the reflective layer 14 optically shields the portions of the interferometric modulator on the side of the reflective layer opposite the substrate 20, including the deformable layer 34. This allows the shielded areas to be configured and operated upon without negatively affecting the image quality.
  • Such shielding allows the bus structure 44 in Figure 7E, which provides the ability to separate the optical properties of the modulator from the electromechanical properties of the modulator, such as addressing and the movements that result from that addressing.
  • This separable modulator architecture allows the structural design and materials used for the electromechanical aspects and the optical aspects of the modulator to be selected and to function independently of each other.
  • the embodiments shown in Figures 7C-7E have additional benefits deriving from the decoupling of the optical properties of the reflective layer 14 from its mechanical properties, 'which are carried out by the deformable layer 34. This allows the structural design and materials used for the reflective layer 14 to be optimized with respect to the optical properties, and the structural design and materials used for the deformable layer 34 to be optimized with respect to desired mechanical properties.
  • a movable layer such as the movable reflective layer 14 illustrated in Figure 7 A, or the combination of mechanical layer 34 and movable reflective layer 14 of Figures 7C-7E.
  • the movable layer may comprise a reflective sublayer and a mechanical sublayer, as will be discussed in greater detail below.
  • Such support may be provided by a series of support structures which may be located along the edges of an individual modulator element and/or in the interior of such an element.
  • these support structures may be located either over or underneath a movable layer, hi alternate embodiments, support structures may extend through an aperture formed in the mechanical layer, such that support is provided from both above and below the mechanical layer.
  • the term “rivet” generally refers to a patterned layer overlying a mechanical layer in a MEMS device, usually in a recess or depression in the post or support region, to lend mechanical support for the mechanical layer.
  • the rivet includes wings overlying an upper surface of the mechanical layer to add stability and predictability to the mechanical layer's movement.
  • support structures underlying a mechanical layer in a MEMS device to lend mechanical support for the mechanical layer are generally referred to herein as support "posts.”
  • the preferred materials are inorganic for stability relative to organic resist materials.
  • FIG. 8 An exemplary layout of such support structures is shown in Figure 8, which depicts an array of MEMS elements.
  • the array may comprise an array of interferometric modulators, but in alternate embodiments, the MEMS elements may comprise any MEMS device having a movable layer.
  • support structures 62 are located both along the edges of a movable layer 66 and in the interior of a MEMS element, in this example an interferometric modulator element 60.
  • Certain support structures may comprise rail structures 64, which extend across the gap 65 between two adjacent movable layers 66.
  • movable layer 66 comprises a strip of deformable material extending through multiple adjacent elements 60 within the same column.
  • the rail structure 64 run parallel with lowe electrodes, which define rows crossing the upper electrodes defined by the strips of the movable layer 66.
  • the support structures 62 serve to stiffen the movable layer 66 within the elements or pixels 60.
  • these support structures 62 are made small relative to the surrounding area of the modulator element 60.
  • the support posts constrain deflection of the movable layer 66 and may generally be opaque, the area underneath and immediately surrounding the support structures 62 is not usable as active area in a display, as the movable layer in those areas is not movable to a fully actuated position (e.g., one in which a portion of the lower surface of the movable layer 14 of Figure 7A is in contact with the upper surface of the optical stack 16). Because this may result in undesirable optical effects in the areas surrounding the post, a dark or "black" mask layer may advantageously be provided between the support structures and the viewer to avoid excessive reflection in these regions that may wash out the intended color.
  • the formation of these support structures involves the deposition of layers over tapered underlying layers, so as to permit conformal deposition of layers which form the support structure, resulting in support structures having tapered sidewall portions. While such embodiments ensure the conformal deposition of the layers which form the support structure, the tapered sidewall portions may make the support structure larger than desirable.
  • embodiments of support structures which need not include a tapered sidewall portion are discussed below.
  • a spin-on material such as a spin-on glass or Nissan Hardcoat
  • various support structures including rivet structures and inorganic post structures.
  • spin-on glass or other self-planarizing material is used to form post structures.
  • a transparent or light-transmissive substrate 70 is provided, which may comprise, for example, glass or a transparent polymeric material.
  • a conductive layer 72 which may comprise indium-tin-oxide (ITO) is then deposited over the transparent substrate and a partially reflective layer 74, which may comprise chromium, is deposited over the conductive layer 72.
  • ITO indium-tin-oxide
  • partially reflective layer 74 may comprise any suitable partially reflective layer, and may be omitted for non-optical MEMS structures.
  • the conductive layer 72 and partially reflective layer 74 are then patterned and etched to form bottom electrodes, also referred to as row electrodes, which run cross-wise (e.g., perpendicular) to the movable layer 66 of Figure 8 and which will be used to address a row of MEMS elements.
  • the conductive and partially reflective layers 72 and 74 may advantageously also be patterned and etched to remove the ITO and chromium underlying the areas where the support post structures will be located, forming apertures 76 as depicted in Figure 9B. This patterning and etching is preferably done by the same process which forms the row electrodes.
  • Figure 9B and the subsequent figures depict a cross-section of a continuous row electrode formed by layers 72 and 74, in which isolated apertures 76 have been etched, taken along a line extending through those apertures.
  • a dielectric layer discussed below, may provide sufficient protection against shorting between the bottom electrode and the movable layer.
  • the conductive layer 72 and partially reflective layer 74 may be patterned via photolithography and etched via, for example, commercially available wet etches.
  • Chromium wet etches include solutions of acetic acid (C 2 H 4 O 2 ) and cerium ammonium nitrate [Ce(NH 4 ) 2 (NO 3 ) 6 ].
  • ITO wet etches include HCl, a mixture of HCl and HNO 3 , or a mixture of FeCl 3 /HCl/DI in a 75%/3%/22% ratio and H 2 O.
  • a dielectric layer 78 is deposited over the conductive and partially reflective layers 72 and 74, as seen in Figure 9C, forming the optical stack 16.
  • the dielectric layer may comprise SiO 2 or SiN x , although a wide variety of suitable materials may be used.
  • the etches used may be either a dry etch or a wet etch, and may be isotropic or anisotropic. Suitable dry etches include, but are not limited to: SF 6 /O 2 , CHF 3 /O 2 , SF 2 /O 2 , CF 4 /O 2 , and NF 3 /O 2 .
  • these etches are suitable for etching one or more of SiO x , SiN x , SiO x N y , spin- on glass, NissanTM hard coat, and TaO x , but other materials may also be etched by this process.
  • Materials which are resistant to one or more of these etches, and may thus be used as etch barrier layers include but are not limited to Al, Cr, Ni, and Al 2 O 3 .
  • wet etches including but not limited to PAD etches, BHF, KOH, and phosphoric acid may be utilized in the processes described herein, and may generally be used to etch metallic materials.
  • these etches may be isotropic, but can be made anisotropic through the use of a reactive ion etch (RIE), by ionizing the etch chemicals and shooting the ions at the substrate.
  • the patterning may comprise the deposition of a photoresist (PR) layer (either positive or negative photoresist), which is then used to form a mask.
  • PR photoresist
  • a hard mask can be utilized, hi some embodiments, the hard mask may comprise metal or SiN x , but it will be understood that the composition of the hard mask may depend on the underlying materials to be etched and the selectivity of the etch to be used.
  • the hard mask is typically patterned using a PR layer, which is then removed, and the hard mask is used as a mask to etch an underlying layer.
  • the use of a hard mask may be particularly advantageous when a wet etch is being used, or whenever processing through a mask under conditions that a PR mask cannot handle (such as at high temperatures, or when using an oxygen-based etch). Alternate methods of removing layers may also be utilized, such as an ashing etch or lift-off processes.
  • the thickness and positioning of the layers forming the optical stack 16 determines the color reflected by the interferometric modulator element when the element is actuated (collapsed), bringing the movable layer 66 into contact with the optical stack 16.
  • the optical stack is configured such that the interferometric modulator element reflects substantially no visible light (appears black) when the movable layer is in an actuated position.
  • the thickness of the dielectric layer 78 is about 450 A, although it will be understood that the desired thickness of the dielectric layer 78 will vary based on the refractive index of the material and the desired color reflected by the interferometric modulator in a collapsed state.
  • the dielectric layer 78 is typically conformal over the patterned lower electrode formed from layers 72 and 74.
  • a layer 82 of sacrificial material is then deposited over the dielectric layer 78.
  • this sacrificial layer 82 is formed from a material which is etcliable by fluorine-based etchants, particularly XeF 2 .
  • the sacrificial layer 82 may be formed from molybdenum or amorphous silicon (a-Si).
  • the sacrificial layer may comprise tantalum or tungsten.
  • the thickness of the deposited sacrificial layer 82 will determine the distance between the optical stack 16 and the movable layer 66, thus defining the dimensions of the interferometric gap 19 (see Figure 7A). As the height of the gap 19 determines the color reflected by the interferometric modulator element when in an unactuated position, the thickness of the sacrificial layer 82 will vary depending on the desired characteristics of the interferometric modulator. For instance, in an embodiment in which a modulator element that reflects green in the unactuated position is formed, the thickness of the sacrificial layer 82 may be roughly 2000 A. hi further embodiments, the sacrificial layer may have multiple thicknesses across an array of MEMS devices, such as in a multicolor display system where different interferometric gap sizes are used to produce different colors.
  • the sacrificial layer 82 has been patterned and etched to form apertures 86.
  • the apertures 86 overlie the apertures 76 cut into the layers 72 and 74 of ITO and chromium.
  • These apertures 86 may be formed by masking the sacrificial layer, using photolithography, and then performing an etch to remove portions of the sacrificial material.
  • a dry, directional etch is performed to obtain the near-vertical sidewalls shown.
  • the sidewalls slope less than about ⁇ 10° relative to vertical. Suitable dry etches include, but are not limited to, SF 6 , CF 4 , Cl 2 , or any mixture of these gases with O 2 or a noble gas such as He or Ar.
  • a layer 110 of spin-on material is then deposited over the patterned sacrificial layer 82, filling the apertures 86.
  • the edges of these apertures are substantially vertical rather than tapered, as the spin-on material will fill the apertures 86 as a result of the spinning process, which causes the spin-on material to flow to fill such apertures.
  • the deposition of spin-on glass or other self-planarizing materials can be done in a variety of ways, including but not limited to exposure to a liquid precursor, spray deposition, ink jet deposition, extrusion of the spin- on material, application via a roller coater, and screen printing.
  • the materials used in the formation of the support structure are preferably inorganic (e.g., SOG 5 which is a form of silicon oxide) for better stability relative to photoresist.
  • the spin-on layer 110 extends above the sacrificial layer 82.
  • the spin-on layer 110 may be etched back such that the layer 110 only fills the apertures 86, and does not extend over the remaining sacrificial material 82.
  • Figure 9G it can be seen that the layer has been blanket etched back without the need for a mask, forming inorganic posts 112 of the spin-on material.
  • the upper surface of these inorganic posts 112 is substantially coplanar with, or slightly below (e.g., less than 5% of the height of the sacrificial layer) the upper surface of the sacrificial layer 82.
  • a highly reflective layer 90 also referred to as a mirror or mirror layer, is deposited first, followed by a mechanical layer 92.
  • the highly reflective layer 90 may be formed from a specular metal, such as aluminum or an aluminum alloy, due to their high reflectance over a wide spectrum of wavelengths.
  • the mechanical layer 92 may comprise a metal such as Ni and Cr, and is preferably formed such that the mechanical layer 92 contains residual tensile stress.
  • the residual tensile stress provides mechanical force tending to pull movable layer 66 away from the optical stack 16 when the modulator is unactuated, or "relaxed.”
  • the combination of the highly reflective layer 90 and mechanical layer 92 is collectively referred to as the movable layer 66, although it will be understood that the term movable layer, as used herein, also encompasses a partially separated mechanical and reflective layer, such as the mechanical layer 34 and the movable reflective layer 14 of Figure 7C.
  • both the reflective layer 90 and the mechanical layer 92 are preferably resistant to XeF 2 etching. If either of these layers is not resistant, an etch stop layer may be used to protect the non-resistant layer surface exposed to the release etch.
  • the movable layer 66 may be a single layer which is both highly reflective and has the desired mechanical characteristics. However, the deposition of two distinct layers permits the selection of a highly reflective material, which might otherwise be unsuitable if used as the sole material in a movable layer 66, and similarly allows selection of a suitable mechanical layer without regard to its reflective properties.
  • the movable layer may comprise a reflective sublayer which is largely detached from the mechanical layer, such that the reflective layer may be translated vertically without bending (See, e.g., Figures 7C-7E and attendant description).
  • One method of forming such an embodiment comprises the deposition of a reflective layer over the sacrificial layer, which is then patterned to form individual mirrors.
  • a second layer of sacrificial material is then deposited over the reflective layer and patterned to permit the connections to be made through the second sacrificial layer between the subsequently deposited mechanical sublayer and the mirros, as well as to form apertures in the first sacrificial layer for support structures.
  • the MEMS devices being formed comprise non-optical MEMS devices (e.g., a MEMS switch)
  • the movable layer 66 need not comprise a reflective material.
  • the underside of the movable layer 66 need not be reflective, and may advantageously be a single layer, selected solely on the basis of its electrical and mechanical properties or other desirable properties.
  • a release etch is performed to remove the sacrificial layer, creating the interferometric gap 19 through which the movable layer 66 can move
  • a XeF 2 etch is used to remove the sacrificial layer 82. Because XeF 2 etches the preferred sacrificial materials well, and is extremely selective relative to other materials used in the processes discussed above, the use of a XeF 2 etch advantageously permits the removal of the sacrificial material with very little effect on the surrounding structures.
  • Figure 91 depicts a portion of an interferometric modulator element such as one of the interferometric modulator elements 60 of Figure 8, shown along line 91-91.
  • the movable layer 66 is supported throughout the gap 19 by support structures 112 formed over the movable layer 66.
  • portions of the underlying optical stack 16 have advantageously been etched so as to minimize risk of shorting between conductive portions of the optical stack 16 and conductive layers in the movable layer 66, although this step need not be performed in all embodiments.
  • Figure 10 depicts an alternate inorganic post 122 formed from spin-on material, in which a patterning and etching process, rather than a blanket etching back process, is used to form the support structure, and in which some of the spin-on layer overlying the sacrificial layer is not removed, such that the inorganic post 122 comprises "wings" 124 extending out over the sacrificial material 82. Because the layers deposited over the inorganic posts 122 are deposited over an uneven surface, the edges of these "wing" sections 124 are preferably tapered in order to facilitate the deposition of the additional layers. While not illustrated, it will be understood that a movable layer is subsequently deposited over the inorganic post 122 and sacrificial material 82 of Figure 10.
  • a method for fabricating a MEMS device having an electrode which is partially detached from an overhanging mechanical layer is described with respect to Figures 1 IA-I IF.
  • This method includes the steps of Figures 9A-9D.
  • Figure 1 IA it can be seen that a reflective layer 90 has been deposited over the sacrificial layer 82.
  • the reflective layer 90 of Figure HA has been patterned and etched to form isolated electrode member 190 (e.g., isolated mirrors), hi Figure HC, an upper sacrificial layer 182 has been deposited over the patterned isolated electrode member, and both the upper sacrificial layer 182 and the lower sacrificial layer 82 are patterned to form apertures 196 extending through both sacrificial layers. As illustrated, these apertures 196 may comprise substantially vertical sidewalls.
  • support structures 200 comprising a self-planarizing material have been formed within the apertures 196.
  • These support structures may be formed, for example, via the process described with respect to Figures 9F and 9G, wherein a layer of self-planarizing material is deposited over the patterned upper sacrificial layer 182 and then etched back or patterned to form the support structures 200. It can also be seen that a portion of the upper sacrificial layer 182 has been etched to form an aperture 202 exposing a portion of the isolated electrode member 190.
  • the aperture 202 may be formed at the same time as the apertures 196, and any insulating material (e.g., the support structure material) deposited within the aperture 202 in an intervening step can be removed.
  • FIG. HE it can be seen that a mechanical layer 92 has been deposited over the patterned upper sacrificial layer 182 and the support structures 200, such that the mechanical layer 90 fills a portion of the aperture 202, forming a connector portion 204 which provides mechanical support and electrical connection to the isolated electrode member 190.
  • the mechanical layer 90 has been patterned to form desired structures and the sacrificial layers 182 and 82 have been removed by a release etch, forming a MEMS device (e.g., an interferometric modulator in which an isolated electrode member 190 is spaced apart from the optical stack 16 by an air gap 19, and wherein a movable layer 66 includes a mechanical layer 92 and the isolated electrode member 190 which is partially detached from the mechanical layer.
  • a MEMS device e.g., an interferometric modulator in which an isolated electrode member 190 is spaced apart from the optical stack 16 by an air gap 19, and wherein a movable layer 66 includes a mechanical layer 92 and the isolated electrode member 190 which is partially detached from the mechanical layer.
  • a planarizing material can be used to form a structure which provides support for a deformable reflective layer both from above and below the mechanical layer.
  • This process includes the steps of Figures 9A-9D.
  • a movable layer 66 which in certain embodiments comprises a reflective layer and a mechanical layer (see Figure 9H), has been deposited over the unpatterned sacrificial layer 82.
  • the movable layer 66 has been patterned to form an aperture 130 extending through a portion of the movable layer 66 and exposing the underlying sacrificial layer 82.
  • a sidewall having a reentrant profile is formed, in that the width of the cavity 132 is narrower at a point immediately beneath the movable layer 66 than it is at a point lower in the cavity 132.
  • a layer 134 of spin-on material has been deposited, such that it flows through the apertures 130 to fill the cavities 132, and also extends over the movable layer 66.
  • Other self-planarizing materials can also be used, as discussed with respect to Figure 9A-9I. It can be seen that the spin-on material flows to conform to the shape of the cavity 132, such that the spin-on material assumes a convex shape within the cavity 132 corresponding to the concave profile formed by the etch which creates the cavity 132.
  • Other deposition methods employing liquid precursors e.g., electroless or electroplating
  • the deposition of the spin-on material may comprise any of the methods discussed above, including exposure of the partially fabricated MEMS device to a liquid precursor.
  • the spin-on layer 134 is patterned and etched to remove the spin-on material located away from the apertures 130, leaving a support structure 136 extending both over and underneath portions of the movable layer 66, partially enclosing the edges of the movable layer 66.
  • a release etch may be performed in order to remove the sacrificial layer 82.
  • this embodiment provides improved adhesion between the support structure and the movable layer 66, as well as a substantially or completely flat mechanical layer, which permits better control over the size of the interferometric cavity.
  • the diameter of the upper portion of the support structure 136 is substantially the same as the diameter of the lower portion of the support structure 136.
  • both the size and shapes of the upper and lower portions of the support structure may vary, and that the upper and lower portions of the support structure 136 need not be symmetrical with respect to one another.
  • the support structure 136 may also comprise a polymeric planarization material such as photoresist.
  • a polymeric planarization material simplifies the fabrication process as the deposition and exposure of an additional mask layer overlying the support structure layer is not necessary. Because the edge of the mechanical layer is partially enclosed by the support structure 136, degradation of the support provided by such a polymeric support structure over time is not as much of a concern as it is in embodiments in which a polymeric post structure merely underlies a movable layer, hi an embodiment in which a polymeric post underlies a movable layer, adhesion between the polymeric post and the movable layer may be poor.
  • the adhesion between the support structure and the movable layer is greatly improved, leading to better control of the size of an air gap over prolonged periods of time.
  • an underlying support structure may be formed through, for example, the application of a liquid precurspor (e.g., spin-on deposition) to form a layer which fills the cavity 132 of Figure 12B but does not extend above the movable layer, or through the use of a blanket etch to etch back the portions of the spin-on layer extending over the movable layer such that the upper surface of the spin- on support structure is at or below the upper surface of the movable layer.
  • a liquid precurspor e.g., spin-on deposition
  • Figure 13 depicts a stage in a further embodiment of a method for forming support structures from self-planarizing material, hi Figure 13, after performing the steps of Figures 12A-12C, in place of the step of Figure 12D, it can be seen that at the same time that the spin-on layer 134 is patterned to form the support structures 136, a portion of the spin-on layer 134 extending through the aperture 130 is removed, forming an aperture 138 extending through the support structure 136.
  • the support structure 136 can be bifurcated, forming two support structures, hi such an embodment, the support structures may comprise a conductive self-planarizing material, and still support two electrically isolated portions of the movable layer without shorting between the two isolated portions as may be desirable for posts or rails at the edges of upper electrode strips.
  • electroplating can be used to form support structures which may have substantially vertical sidewalls.
  • a seed layer deposited prior to the deposition and patterning of the sacrificial layer, and the sacrificial layer is used as a mask during an electroplating process, hi Figure 14A, it can be seen that a metallic seed layer 140 has been deposited over the optical stack 16, and that a sacrificial layer 82 has been deposited over the seed layer 140 and patterned to form an aperture 142 extending through the sacrificial layer 82 and exposing a portion of seed layer 140.
  • the apertures 142 have substantially vertical sidewalls, although it will be understood that the shape of the aperture will be determined at least in part by the etching process.
  • a plating process such as an electroplating process
  • the sacrificial material is preferably an insulating material which will not be plated during the electroplating process or is protected by an additional layer (not shown) in order to avoid being plated.
  • Fabrication of the support structure may continue as discussed with respect to other embodiments, above, forming a MEMS device having a movable layer supported by an underlying post which may have substantially vertical sidewalls, as depicted.
  • the seed layer 140 has been patterned prior to deposition of the sacrificial layer 82, such that the metallic seed layer 140 underlies only the portions of the sacrificial layer 82 surrounding the support structure 144, which is formed by a selective plating process.
  • the underlying seed layer may comprise isolated sections of the seed layer 140, as illustrated, it will be understood that electroplating may be utilized when the sacrificial layer 82 comprises a conductive material, such as molybdenum, tantalum, or doped silicon.
  • the sacrificial layer 82 comprises tantalum
  • the metallic seed layer 140 comprises copper
  • FIGs 20A-20C depict an alternate plating process for forming support structures having desired shapes.
  • an insulating sacrificial layer 82 has been deposited over an optical stack 16 and patterned to form apertures 142 which may have substantially vertical sidewalls, as depicted, and that a seed layer 140 is deposited over the patterned sacrificial layer 82.
  • the seed layer may cover the sidewalls of the aperture 142, as shown in the illustrated embodiment.
  • the portions of the seed layer 140 located away from the aperture 142 are removed, such that the seed layer 140 coats the interior surfaces of the aperture 142.
  • a plating process is used to form a support structure 146 within the aperture 142. Because the seed layer 140 is only located within the aperture 142, the support structure 146 will not extend beyond the edges of the aperture 142. Because isolated portions of the seed layer 140 are being plated, an electroplating process can be used when the sacrificial layer 82 comprises a conductive material, and when the seed layer 140 can be selectively plated with respect to the sacrificial material 82. Fabrication of the support structure may continue as discussed with respect to other embodiments, above, including the formation of a movable layer supported by support structures 146.
  • a directional etch may be used to form spacer structures which provide support for a mechanical layer or deformable reflective layer.
  • a layer of sacrificial material 82 has been deposited over an optical stack 16 and etched to form apertures 150.
  • the aperture 150 may comprise substantially vertical sidewalls.
  • a conformal layer of support material 152 is deposited over the patterned sacrificial layer.
  • this layer 152 may comprise an insulating material, such as SiN x or SiO 2 , but a wide variety of support materials may be suitable.
  • IQ Figure 15B it can be seen that the support material 152 has been anisotropically etched downward, so as to preferentially remove the horizontal portions of support material 152, but leaving a portion of the vertical portions remaining to form spacers 154 (which in the illustrated embodiment may be a single annular spacer located along the sidewall of each aperture 150).
  • a reactive ion etch may be utilized to achieve the desired anisotropic etching, but other anisotropic etching methods (e.g., sputter etching) may alternately be utilized.
  • the spacers formed by the spacer etch have a rounded or sloped interior surface while the outer surface is substantially vertical, and thus define tapered or narrowed width of the spacers at their upper regions.
  • a movable layer 66 which in certain embodiments may comprise a reflective layer 90 and a mechanical layer 92, is then deposited over the patterned sacrificial layer 82, such that the spacer 154 serves as a support structure underneath the movable layer 66.
  • the movable layer 66 is conformal over the spacer 154, and in particular directly over the tapered interior surface of the spacer 154 and te exposed portions of the optical stack 16.
  • the portion of the movable layer in the aperture 150 is more easily deposited over the sloped spacer 154, due to the tapered interior surface of the spacer 154.
  • the edge of the spacer 342 comprises a substantially vertical edge. Since the support structure does not comprise an overhang, the likelihood that actuation of the movable layer 66 will cause the edge of the post to flex downward is greatly reduced. Li addition, because the support structure 154 has a substantially vertical outer surface, the usable area of the device may be larger than had the support structure included a tapered outer surface or an overhang.
  • FIGS 16A-16C depict a method for fabricating such a structure, which in the illustrated embodiment includes the steps of Figures 9A-9H, but which in other embodiments may include any suitable method for forming a movable layer supported by underlying support structures.
  • an upper layer of sacrificial material 182 is deposited over the movable layer 66, and patterned to form apertures 186, which overlie at least some of the underlying post structures 112.
  • the post structures 112 can thus provide support for the overlying support structures which will be formed, and so that the overlying post structures do not overlie the active areas of the display.
  • the upper sacrificial layer can be the third sacrificial layer in the device, and an intermediate sacrificial layer may be used to space a portion of the hanging electrode apart from a mechanical layer
  • the apertures 186 are depicted as having substantially vertical sidewalls, although apertures having different shapes may be used, examples of which are discussed below with respect to Figures 17A- 17B.
  • the thickness of the deposited upper sacrificial layer 182 may vary based on the operating parameters of the MEMS device, but in certain embodiments, the thickness of the deposited upper sacrificial layer is preferably between 30 and 500 angstroms, and more preferably between 50 and 200 angstroms, in order to minimize expansion of the underlying optical cavity being formed, although it will be understood that thicknesses both inside and outside of that range may be suitable for a given application and may be used.
  • FIG 16B it can be seen that a support structure 162 overlying the movable layer 66 has been formed within the aperture 186.
  • the upper support structure 162 may be formed by a method such as that discussed with respect to Figures 9F-9G, wherein a layer of self-planarizing material (see layer 110 in Figure 9F) is deposited over the patterned sacrificial layer 182 and then blanket etched back to form the upper support structure 162. A ceiling layer 192 is then deposited over the upper support post 162.
  • the ceiling layer 192 is preferably a rigid, insulating layer, such as an inorganic oxide layer (e.g., a form of silicon oxide), so as to prevent deformation of the ceiling layer 192 itself by a movable layer 66 pressing upwards against the ceiling layer 192, and to prevent shorting between otherwise electrically isolated portions of the movable layer 66.
  • the ceiling layer 192 may be roughly 2-5 times, more preferably about 3 times the thickness of the movable layer 66, although it will be understood that the desirable thickness of the ceiling layer 192 will vary based on the composition of both the ceiling layer 192 and the movable layer 66.
  • the ceiling layer may be formed from a single layer, or may be formed from a plurality of symmetrical layers (not shown), such that the upper layers of the ceiling layer are the substantially same material and thickness as the lower layers of the ceiling layer, and the ceiling layer 192 is roughly a mirror image about a neutral axis.
  • FIG 16C it can be seen that a release etch has been performed to remove the lower sacrificial layer 82 and the upper sacrificial layer 182, forming a gap 19 between the movable layer 66 and the electrode layer within the optical stack 16, as well as an upper gap 119 between the movable layer 66 and the rigid ceiling layer 192.
  • Both the movable layer 66 and the ceiling layer 192 are supported by a support structure comprising the upper support structure or segment 162 and the lower support structure or segment 112.
  • the height of the upper gap 119 is dependent on the height of the second sacrificial layer 182 (see Figure 16B), and preferably made as small as possible without being so small as to result in undesirable stiction between the movable layer 66 and the ceiling layer 192, or otherwise inhibiting the operation of the MEMS device.
  • the movable layer 66 can be deposited such that the movable layer 66 is designed, upon release, to flex upward against the rigid ceiling layer 192 when the device is in a relaxed, or unactuated, position. Such an embodiment ensures that the movable layer 66 will remain at a desired distance from the electrode layer when in a relaxed height, providing uniformity both within a MEMS element and across an array of MEMS elements.
  • FIGs 17A-17B illustrate an alternate method of forming a MEMS device comprising a ceiling layer, which again includes the steps of Figures 9A-9H or any suitable alternative methods.
  • an upper layer of sacrificial material 182 (having a thickness as described with respect to Figure 16) has been deposited over the movable layer 66, and patterned to form apertures 188, which in this embodiment comprise tapered sidewalls.
  • a layer of conformal support material 172 has been deposited over the patterned upper sacrificial layer 182, such that support structures are formed from the support material within support regions 174, and the support material extends over the substantially flat portions of the sacrificial layer 192 in ceiling regions 176.
  • a support structure is thus formed which includes a lower support segment 112 and an upper support segment 174 which is a part of the ceiling layer itself.
  • support layer 172 may be patterned to form isolated support segments, and a separate ceiling layer may be deposited over these isolated support segments.
  • FIG. 18 illustrates another embodiment of a MEMS device comprising a ceiling layer.
  • a single contiguous support structure 180 extends at least through the movable layer 66, enclosing a portion of the movable layer 66.
  • Such an embodiment may be formed, for example, through the deposition of a lower sacrificial layer, followed by the deposition of a movable layer 66, followed by the deposition of an upper sacrificial layer.
  • a via or aligned vias can be etched through each of those layers, forming a single cavity extending through all three layers, which can then be filled with a self-planarizing material in which the movable layer 66 is embedded.
  • a via may be formed through the ceiling layer 192, as well, and the support structure 180 may enclose or embed a portion of the ceiling layer 192.
  • the support structure 180 may enclose or embed a portion of the ceiling layer 192.

Abstract

Embodiments of MEMS devices include support structures having substantially vertical sidewalls. Certain support structures are formed through deposition of self-planarizing materials or via a plating process. Other support structures are formed via a spacer etch. Other MEMS devices include support structures at least partially underlying a movable layer, where the portions of the support structures underlying the movable layer include a convex sidewall. In further embodiments, a portion of the support structure extends through an aperture in the movable layer and over at least a portion of the movable layer.

Description

QCO.034VPC3 / 050914WO3 PATENT
MEMS DEVICES HAVING SUPPORT STRUCTURES WITH SUBSTANTIALLY VERTICAL SIDEWALLS AND METHODS FOR FABRICATING THE SAME
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This application claims priority under 35 U.S.C. § 119(e) to U.S. Provisional Application Serial No. 60/710,019, filed August 19, 2005, which is hereby incorporated by reference in its entirety.
BACKGROUND OF THE INVENTION
[0002] Microelectromechanical systems (MEMS) include micro mechanical elements, actuators, and electronics. Micromechanical elements may be created using deposition, etching, and/or other micromachining processes that etch away parts of substrates and/or deposited material layers or that add layers to form electrical and electromechanical devices. One type of MEMS device is called an interferometric modulator. As used herein, the term interferometric modulator or interferometric light modulator refers to a device that selectively absorbs and/or reflects light using the principles of optical interference. In certain embodiments, an interferometric modulator may comprise a pair of conductive plates, one or both of which may be transparent and/or reflective in whole or part and capable of relative motion upon application of an appropriate electrical signal. In a particular embodiment, one plate may comprise a stationary layer deposited on a substrate and the other plate may comprise a metallic membrane separated from the stationary layer by an air gap. As described herein in more detail, the position of one plate in relation to another can change the optical interference of light incident on the interferometric modulator. Such devices have a wide range of applications, and it would be beneficial in the art to utilize and/or modify the characteristics of these types of devices so that their features can be exploited in improving existing products and creating new products that have not yet been developed.
SUMMARY OF THE INVENTION
[0003] In one embodiment, a method of fabricating a MEMS device is provided, the method including providing a substrate, depositing an electrode layer over the substrate, depositing a sacrificial layer over the electrode layer, patterning the sacrificial layer to form an aperture, depositing a layer of inorganic self-planarizing material over the sacrificial layer, such that it fills the aperture, etching back the layer of self-planarizing material to a level at or below the upper surface of the sacrificial layer to form a support structure, and depositing a movable layer over the support structure.
[0004] hi another embodiment, a method of fabricating a MEMS device is provided, the method including providing a substrate, depositing an electrode layer over the substrate, depositing a sacrificial layer over the electrode layer, patterning the sacrificial layer to define an aperture, forming a metallic seed layer, where the metallic seed layer does not extend over unpatterned portions of the sacrificial layer, forming a support structure within the aperture via a plating process, and depositing a movable layer over the support structure.
[0005] hi another embodiment, a method of fabricating a MEMS device is provided, the method including providing a substrate, depositing an electrode layer over the substrate, depositing a sacrificial layer over the electrode layer, patterning the sacrificial layer to define an aperture having a substantially vertical sidewall, depositing a layer of conforaial support material over the vertical sidewall and over an upper surface of the sacrificial layer, performing a directional etch to form a spacer structure located within the aperture and against the substantially vertical sidewall, where the directional etch removes the support material overlying the upper surface of the sacrificial layer, and depositing a movable layer over the support structure.
[0006] In another embodiment, a method of fabricating a MEMS device is provided, the method including providing a substrate, depositing an electrode layer over the substrate, depositing a sacrificial layer over the electrode layer, depositing a movable layer over the sacrificial layer, patterning the movable layer to form an aperture extending through the movable layer, thereby exposing a portion of the sacrifical layer, etching the exposed portion of the sacrificial layer to form a cavity extending through the sacrificial layer and undercutting a portion of the movable layer, and depositing a layer of self- planarizing support material to fill the cavity.
[0007] hi another embodiment, a MEMS device is provided, including a substrate, an electrode layer located over the substrate, a movable layer located over the electrode layer, where the movable layer is generally spaced apart from the electrode layer by an air gap, and an inorganic support structure underlying the movable layer, where the inorganic support structure includes a substantially vertical sidewall, and where the inorganic support structure is spaced apart from the substrate by at least one intermediate layer.
[0008] In another embodiment, a MEMS device is provided, including a substrate, an electrode layer located over the substrate, a movable layer located over the electrode layer, where the movable layer is generally spaced apart from the electrode layer by an air gap, the movable layer including an aperture extending through the movable layer, and a support structure located at least partially beneath the aperture in the movable layer, the support structure including a convex sidewall portion located underneath the movable layer.
[0009] In another embodiment, a MEMS device, is provided, including first means for electrically conducting, second means for electrically conducting, and means for supporting the second conducting means over the first conducting means, where the second conducting means is movable relative to the first conducting means in response to generating electrostatic potential between the first and second conducting means, and where the supporting means extend through an aperture in the second conducting means and enclose at least a portion of the second conducting means.
[0010] In another embodiment, a method of manufacturing a MEMS device is provided, the method including forming a lower sacrificial layer over a substrate, forming a movable layer over the first sacrificial layer, forming an upper sacrificial layer over the movable layer, where the thickness of the second sacrificial layer is between 30 and 500 angstroms, forming a rigid ceiling layer over the second sacrificial layer, and forming a support structure which provides support to both the movable layer and the rigid ceiling layer.
[0011] In another embodiment, a MEMS device is provided, including a movable layer spaced apart from a substrate by a lower air gap, a rigid ceiling layer spaced apart from the movable layer by an upper air gap, where the height of the upper air gap is between 30 and 500 angstroms, and a support structure which provides support to both the movable layer and the rigid ceiling layer.
BRIEF DESCRPTION OF THE DRAWINGS
[0012] FIG. 1 is an isometric view depicting a portion of one embodiment of an interferometric modulator display in which a movable reflective layer of a first interferometric modulator is in a relaxed position and a movable reflective layer of a second interferometric modulator is in an actuated position.
[0013] FIG. 2 is a system block diagram illustrating one embodiment of an electronic device incorporating a 3x3 interferometric modulator display.
[0014] FIG. 3 is a diagram of movable mirror position versus applied voltage for one exemplary embodiment of an interferometric modulator of FIG. 1.
[0015] FIG. 4 is an illustration of a set of row and column voltages that may be used to drive an interferometric modulator display.
[0016] FIG. 5 A illustrates one exemplary frame of display data in the 3x3 interferometric modulator display of FIG. 2.
[0017] FIG. 5B illustrates one exemplary timing diagram for row and column signals that may be used to write the frame of FIG. 5 A.
[0018] FIGS. 6 A and 6B are system block diagrams illustrating an embodiment of a visual display device comprising a plurality of interferometric modulators.
[0019] FIG. 7A is a cross section of the device of FIG. 1.
[0020] FIG. 7B is a cross section of an alternative embodiment of an interferometric modulator.
[0021] FIG. 7C is a cross section of another alternative embodiment of an interferometric modulator.
[0022] FIG 7D is a cross section of yet another alternative embodiment of an interferometric modulator.
[0023] FIG. 7E is a cross section of an additional alternative embodiment of an interferometric modulator.
[0024] FIG. 8 is a top plan view of an array of MEMS elements in which the individual elements comprise support structures.
[0025] FIGS. 9A-9I are schematic cross-sections illustrating steps in a method for fabricating a MEMS device having a support structure formed from a self-planarizing material.
[0026] FIG. 10 is a schematic cross-sections illustrating a step in an alertnate method for fabricating a MEMS device having a support structure formed from a self- planarizing material. [0027] FIGS. 1 IA-I IF are schematic cross-sections illustrating steps in a method for fabricating a MEMS device having an electrode which is partially separated from a mechanical layer..
[0028] FIGS. 12A-12D are schematic cross-sections illustrating steps in a method for fabricating a MEMS device having a support structure which encloses at least a portion of a movable layer.
[0029] FIG. 13 is a schematic cross-section illustrating a step in a method for fabricating a MEMS device having a support structure which includes an aperture extending through the support structure.
[0030] FIGS. 14A-14B are schematic cross-sections illustrating steps in an alternate method for fabricating a MEMS device having a support structure formed by an electroplating process.
[0031] FIGS. 15A-15C are schematic cross-sections illustrating steps iri a method for fabricating a MEMS device having spacers formed by a spacer etch.
[0032] FIGS. 16A-16C are schematic cross-sections illustrating steps in a method for fabricating a MEMS device having an overlying rigid ceiling member.
[0033] FIGS. 17A-17B are schematic cross-sections illustrating steps in an alternate method for fabricating a MEMS device having an overlying rigid ceiling member.
[0034] FIG. 18 is a schematic cross-section illustrating a step in an alternate method for fabricating a MEMS device having an overlying rigid ceiling member.
[0035] FIG. 19 is a schematic cross-section illustrating a step in an alternate method for fabricating a MEMS device having a support structure formed by an electroplating process
[0036] FIGS. 20A-20C are schematic cross-sections illustrating steps in an alternate method for fabricating a MEMS device having a support structure formed by an electroplating process.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
[0037] The following detailed description is directed to certain specific embodiments of the invention. However, the invention can be embodied in a multitude of different ways. In this description, reference is made to the drawings wherein like parts are designated with like numerals throughout. As will be apparent from the following description, the embodiments may be implemented in any device that is configured to display an image, whether in motion (e.g., video) or stationary (e.g., still image), and whether textual or pictorial. More particularly, it is contemplated that the embodiments may be implemented in or associated with a variety of electronic devices such as, but not limited to, mobile telephones, wireless devices, personal data assistants (PDAs), handheld or portable computers, GPS receivers/navigators, cameras, MP3 players, camcorders, game consoles, wrist watches, clocks, calculators, television monitors, flat panel displays, computer monitors, auto displays (e.g., odometer display, etc.), cockpit controls and/or displays, display of camera views (e.g., display of a rear view camera in a vehicle), electronic photographs, electronic billboards or signs, projectors, architectural structures, packaging, and aesthetic structures (e.g., display of images on a piece of jewelry). MEMS devices of similar structure to those described herein can also be used in non-display applications such as in electronic switching devices.
[0038] While it is desirable to provide additional support to movable layers in MEMS elements in order to ensure the desired spacing is maintained between the movable layer and other components, the inclusion of such support structures inhibits the motion of the movable layer in the area surrounding the support structures, and may have an adverse effect on the performance of the MEMS device, effectively reducing the active area of the MEMS device. It is thus desirable to minimize the footprint of these support structures while providing the desired level of support. In certain embodiments, this can be achieved through the use of support structures having substantially vertical sidewalls. hi one embodiment, the fabrication of such support structures can be achieved through the use of a self-planarizing material. In further embodiments, these support structures may extend through an aperture in the movable layer and enclose a portion of the movable layer, hi alternate embodiments, directional etches or electroplating techniques can be used to provide such support structures.
[0039] One interferometric modulator display embodiment comprising an interferometric MEMS display element is illustrated in Figure 1. hi these devices, the pixels are in either a bright or dark state. In the bright ("on" or "open") state, the display element reflects a large portion of incident visible light to a user. When in the dark ("off or "closed") state, the display element reflects little incident visible light to the user. Depending on the embodiment, the light reflectance properties of the "on" and "off states may be reversed. MEMS pixels can be configured to reflect predominantly at selected colors, allowing for a color display in addition to black and white.
[0040] Figure 1 is an isometric view depicting two adjacent pixels in a series of pixels of a visual display, wherein each pixel comprises a MEMS interferometric modulator. In some embodiments, an interferometric modulator display comprises a row/column array of these interferometric modulators. Each interferometric modulator includes a pair of reflective layers positioned at a variable and controllable distance from each other to form a resonant optical cavity with at least one variable dimension. In one embodiment, one of the reflective layers may be moved between two positions. In the first position, referred to herein as the relaxed position, the movable reflective layer is positioned at a relatively large distance from a fixed partially reflective layer, hi the second position, referred to herein as the actuated position, the movable reflective layer is positioned more closely adjacent to the partially reflective layer. Incident light that reflects from the two layers interferes constructively or destructively depending on the position of the movable reflective layer, producing either an overall reflective or non- reflective state for each pixel.
[0041] The depicted portion of the pixel array in Figure 1 includes two adjacent interferometric modulators 12a and 12b. hi the interferometric modulator 12a on the left, a movable reflective layer 14a is illustrated in a relaxed position at a predetermined distance from an optical stack 16a, which includes a partially reflective layer, hi the interferometric modulator 12b on the right, the movable reflective layer 14b is illustrated in an actuated position adjacent to the optical stack 16b.
[0042] The optical stacks 16a and 16b (collectively referred to as optical stack 16), as referenced herein, typically comprise several fused layers, which can include an electrode layer, such as indium tin oxide (ITO), a partially reflective layer, such as chromium, and a transparent dielectric. The optical stack 16 is thus electrically conductive, partially transparent, and partially reflective, and may be fabricated, for example, by depositing one or more of the above layers onto a transparent substrate 20. The partially reflective layer can be formed from a variety of materials that are partially reflective such as various metals, semiconductors, and dielectrics. The partially reflective layer can be formed of one or more layers of materials, and each of the layers can be formed of a single material or a combination of materials. [0043] In some embodiments, the layers of the optical stack 16 are patterned into parallel strips, and may form row electrodes in a display device as described further below. The movable reflective layers 14a, 14b may be formed as a series of parallel strips of a deposited metal layer or layers (orthogonal to the row electrodes of 16a, 16b) deposited on top of posts 18 and an intervening sacrificial material deposited between the posts 18. When the sacrificial material is etched away, the movable reflective layers 14a, 14b are separated from the optical stacks 16a, 16b by a defined gap 19. A highly conductive and reflective material such as aluminum may be used for the reflective layers 14, and these strips may form column electrodes in a display device.
[0044] With no applied voltage, the cavity 19 remains between the movable reflective layer 14a and optical stack 16a, with the movable reflective layer 14a in a mechanically relaxed state, as illustrated by the pixel 12a in Figure 1. However, when a potential difference is applied to a selected row and column, the capacitor formed at the intersection of the row and column electrodes at the corresponding pixel becomes charged, and electrostatic forces pull the electrodes together. If the voltage ' is high enough, the movable reflective layer 14 is deformed and is forced against the optical stack 16. A dielectric layer (not illustrated in this Figure) within the optical stack 16 may prevent shorting and control the separation distance between layers 14 and 16, as illustrated by pixel 12b on the right in Figure 1. The behavior is the same regardless of the polarity of the applied potential difference. In this way, row/column actuation that can control the reflective vs. non-reflective pixel states is analogous in many ways to that used in conventional LCD and other display technologies.
[0045] Figures 2 through 5B illustrate one exemplary process and system for using an array of interferometric modulators in a display application.
[0046] Figure 2 is a system block diagram illustrating one embodiment of an electronic device that may incorporate aspects of the invention, hi the exemplary embodiment, the electronic device includes a processor 21 which may be any general purpose single- or multi-chip microprocessor such as an ARM, Pentium®, Pentium II®, Pentium in®, Pentium IV®, Pentium® Pro, an 8051, a MIPS®, a Power PC®, an ALPHA®, or any special purpose microprocessor such as a digital signal processor, microcontroller, or a programmable gate array. As is conventional in the art, the processor 21 may be configured to execute one or more software modules. In addition to executing an operating system, the processor may be configured to execute one or more software applications, including a web browser, a telephone application, an email program, or any other software application.
[0047] hi one embodiment, the processor 21 is also configured to communicate with an array driver 22. hi one embodiment, the array driver 22 includes a row driver circuit 24 and a column driver circuit 26 that provide signals to a display array or panel 30. The cross section of the array illustrated in Figure 1 is shown by the lines 1-1 in Figure 2. For MEMS interferometric modulators, the row/column actuation protocol may take advantage of a hysteresis property of these devices illustrated in Figure 3. It may require, for example, a 10 volt potential difference to cause a movable layer to deform from the relaxed state to the actuated state. However, when the voltage is reduced from that value, the movable layer maintains its state as the voltage drops back below 10 volts, hi the exemplary embodiment of Figure 3, the movable layer does not relax completely until the voltage drops below 2 volts. Thus, there exists a window of applied voltage, about 3 to 7 V in the example illustrated in Figure 3, within which the device is stable in either the relaxed or actuated state. This is referred to herein as the "hysteresis window" or "stability window." For a display array having the hysteresis characteristics of Figure 3, the row/column actuation protocol can be designed such that during row strobing, pixels in the strobed row that are to be actuated are exposed to a voltage difference of about 10 volts, and pixels that are to be relaxed are exposed to a voltage difference of close to zero volts. After the strobe, the pixels are exposed to a steady state voltage difference of about 5 volts such that they remain in whatever state the row strobe put them in. After being written, each pixel sees a potential difference within the "stability window" of 3-7 volts in this example. This feature makes the pixel design illustrated in Figure 1 stable under the same applied voltage conditions in either an actuated or relaxed pre-existing state. Since each pixel of the interferometric modulator, whether in the actuated or relaxed state, is essentially a capacitor formed by the fixed and moving reflective layers, this stable state can be held at a voltage within the hysteresis window with almost no power dissipation. Essentially no current flows into the pixel if the applied potential is fixed.
[0048] In typical applications, a display frame may be created by asserting the set of column electrodes in accordance with the desired set of actuated pixels in the first row. A row pulse is then applied to the row 1 electrode, actuating the pixels corresponding to the asserted column lines. The asserted set of column electrodes is then changed to correspond to the desired set of actuated pixels in the second row. A pulse is then applied to the row 2 electrode, actuating the appropriate pixels in row 2 in accordance with the asserted column electrodes. The row 1 pixels are unaffected by the row 2 pulse, and remain in the state they were set to during the row 1 pulse. This may be repeated for the entire series of rows in a sequential fashion to produce the frame. Generally, the frames are refreshed and/or updated with new display data by continually repeating this process at some desired number of frames per second. A wide variety of protocols for driving row and column electrodes of pixel arrays to produce display frames are also well known and may be used in conjunction with the present invention.
[0049] Figures 4, 5A, and 5B illustrate one possible actuation protocol for creating a display frame on the 3x3 array of Figure 2. Figure 4 illustrates a possible set of column and row voltage levels that may be used for pixels exhibiting the hysteresis curves of Figure 3. hi the Figure 4 embodiment, actuating a pixel involves setting the appropriate column to -Vbias, and the appropriate row to +ΔV, which may correspond to - 5 volts and +5 volts, respectively Relaxing the pixel is accomplished by setting the appropriate column to +Vbias, and the appropriate row to the same +ΔV, producing a zero volt potential difference across the pixel, hi those rows where the row voltage is held at zero volts, the pixels are stable in whatever state they were originally in, regardless of whether the column is at +Vbias, or -Vbias- As is also illustrated in Figure 4, it will be appreciated that voltages of opposite polarity than those described above can be used, e.g., actuating a pixel can involve setting the appropriate column to +Vbias, and the appropriate row to — ΔV. In this embodiment, releasing the pixel is accomplished by setting the appropriate column to -Vbias, and the appropriate row to the same -ΔV, producing a zero volt potential difference across the pixel.
[0050] Figure 5B is a timing diagram showing a series of row and column signals applied to the 3x3 array of Figure 2 which will result in the display arrangement illustrated in Figure 5A, where actuated pixels are non-reflective. Prior to writing the frame illustrated in Figure 5A, the pixels can be in any state, and in this example, all the rows are at 0 volts, and all the columns are at +5 volts. With these applied voltages, all pixels are stable in their existing actuated or relaxed states.
[0051] In the Figure 5A frame, pixels (1,1), (1,2), (2,2), (3,2) and (3,3) are actuated. To accomplish this, during a "line time" for row 1, columns 1 and 2 are set to -5 volts, and column 3 is set to +5 volts. This does not change the state of any pixels, because all the pixels remain in the 3-7 volt stability window. Row 1 is then strobed with a pulse that goes from 0, up to 5 volts, and back to zero. This actuates the (1,1) and (1,2) pixels and relaxes the (1,3) pixel. No other pixels in the array are affected. To set row 2 as desired, column 2 is set to -5 volts, and columns 1 and 3 are set to +5 volts. The same strobe applied to row 2 will then actuate pixel (2,2) and relax pixels (2,1) and (2,3). Again, no other pixels of the array are affected. Row 3 is similarly set by setting columns 2 and 3 to -5 volts, and column 1 to +5 volts. The row 3 strobe sets the row 3 pixels as shown in Figure 5A. After writing the frame, the row potentials are zero, and the column potentials can remain at either +5 or -5 volts, and the display is then stable in the arrangement of Figure 5A. It will be appreciated that the same procedure can be employed for arrays of dozens or hundreds of rows and columns. It will also be appreciated that the timing, sequence, and levels of voltages used to perform row and column actuation can be varied widely within the general principles outlined above, and the above example is exemplary only, and any actuation voltage method can be used with the systems and methods described herein.
[0052] Figures 6A and 6B are system block diagrams illustrating an embodiment of a display device 40. The display device 40 can be, for example, a cellular or mobile telephone. However, the same components of display device 40 or slight variations thereof are also illustrative of various types of display devices such as televisions and portable media players.
[0053] The display device 40 includes a housing 41, a display 30, an antenna 43, a speaker 45, an input device 48, and a microphone 46. The housing 41 is generally formed from any of a variety of manufacturing processes as are well known to those of skill in the art, including injection molding and vacuum forming. In addition, the housing 41 may be made from any of a variety of materials, including, but not limited to, plastic, metal, glass, rubber, and ceramic, or a combination thereof, hi one embodiment, the housing 41 includes removable portions (not shown) that may be interchanged with other removable portions of different color, or containing different logos, pictures, or symbols.
[0054] The display 30 of the exemplary display device 40 may be any of a variety of displays, including a bi-stable display, as described herein. In other embodiments, the display 30 includes a flat-panel display, such as plasma, EL, OLED, STN LCD, or TFT LCD as described above, or a non-flat-panel display, such as a CRT or other tube device, as is well known to those of skill in the art. However, for purposes of describing the present embodiment, the display 30 includes an interferometric modulator display, as described herein.
[0055] The components of one embodiment of the exemplary display device 40 are schematically illustrated in Figure 6B. The illustrated exemplary display device 40 includes a housing 41 and can include additional components at least partially enclosed therein. For example, in one embodiment, the exemplary display device 40 includes a network interface 27 that includes an antenna 43, which is coupled to a transceiver 47. The transceiver 47 is connected to a processor 21, which is connected to conditioning hardware 52. The conditioning hardware 52 may be configured to condition a signal (e.g., filter a signal). The conditioning hardware 52 is connected to a speaker 45 and a microphone 46. The processor 21 is also connected to an input device 48 and a driver controller 29. The driver controller 29 is coupled to a frame buffer 28 and to an array driver 22, which in turn is coupled to a display array 30. A power supply 50 provides power to all components as required by the particular exemplary display device 40 design.
[0056] The network interface 27 includes the antenna 43 and the transceiver 47 so that the exemplary display device 40 can communicate with one or more devices over a network, hi one embodiment, the network interface 27 may also have some processing capabilities to relieve requirements of the processor 21. The antenna 43 is any antenna known to those of skill in the art for transmitting and receiving signals. In one embodiment, the antenna transmits and receives RF signals according to the IEEE 802.11 standard, including IEEE 802.11 (a), (b), or (g). hi another embodiment, the antenna transmits and receives RF signals according to the BLUETOOTH standard, hi the case of a cellular telephone, the antenna is designed to receive CDMA, GSM, AMPS, or other known signals that are used to communicate within a wireless cell phone network. The transceiver 47 pre-processes the signals received from the antenna 43 so that they may be received by and further manipulated by the processor 21. The transceiver 47 also ' processes signals received from the processor 21 so that they may be transmitted from the exemplary display device 40 via the antenna 43.
[0057] hi an alternative embodiment, the transceiver 47 can be replaced by a receiver. In yet another alternative embodiment, the network interface 27 can be replaced by an image source, which can store or generate image data to be sent to the processor 21. For example, the image source can be memory device such as a digital video disc (DVD) or a hard-disc drive that contains image data, or a software module that generates image data.
[0058] The processor 21 generally controls the overall operation of the exemplary display device 40. The processor 21 receives data, such as compressed image data from the network interface 27 or an image source, and processes the data into raw image data or into a format that is readily processed into raw image data. The processor 21 then sends the processed data to the driver controller 29 or to the frame buffer 28 for storage. Raw data typically refers to the information that identifies the image characteristics at each location within an image. For example, such image characteristics can include color, saturation, and gray-scale level.
[0059] In one embodiment, the processor 21 includes a microcontroller, CPU, or logic unit to control operation of the exemplary display device 40. The conditioning hardware 52 generally includes amplifiers and filters for transmitting signals to the speaker 45, and for receiving signals from the microphone 46. The conditioning hardware 52 may be discrete components within the exemplary display device 40, or may be incorporated within the processor 21 or other components.
[0060] The driver controller 29 takes the raw image data generated by the processor 21 either directly from the processor 21 or from the frame buffer 28 and reformats the raw image data appropriately for high speed transmission to the array driver 22. Specifically, the driver controller 29 reformats the raw image data into a data flow having a raster-like format, such that it has a time order suitable for scanning across the display array 30. Then the driver controller 29 sends the formatted information to the array driver 22. Although a driver controller 29, such as a LCD controller, is often associated with the system processor 21 as a stand-alone Integrated Circuit (IC), such controllers may be implemented in many ways. They may be embedded in the processor 21 as hardware, embedded in the processor 21 as software, or fully integrated in hardware with the array driver 22.
[0061] Typically, the array driver 22 receives the formatted information from the driver controller 29 and reformats the video data into a parallel set of waveforms that are applied many times per second to the hundreds and sometimes thousands of leads coming from the display's x-y matrix of pixels.
[0062] hi one embodiment, the driver controller 29, the array driver 22, and the display array 30 are appropriate for any of the types of displays described herein. For example, in one embodiment, the driver controller 29 is a conventional display controller or a bi-stable display controller (e.g., an interferometric modulator controller). In another embodiment, the array driver 22 is a conventional driver or a bi-stable display driver (e.g., an interferometric modulator display). In one embodiment, a driver controller 29 is integrated with the array driver 22. Such an embodiment is common in highly integrated systems such as cellular phones, watches, and other small area displays. In yet another embodiment, the display array 30 is a typical display array or a bi-stable display array (e.g., a display including an array of interferometric modulators).
[0063] The input device 48 allows a user to control the operation of the exemplary display device 40. In one embodiment, the input device 48 includes a keypad, such as a QWERTY keyboard or a telephone keypad, a button, a switch, a touch-sensitive screen, or a pressure- or heat-sensitive membrane, hi one embodiment, the microphone 46 is an input device for the exemplary display device 40. When the microphone 46 is used to input data to the device, voice commands may be provided by a user for controlling operations of the exemplary display device 40.
[0064] The power supply 50 can include a variety of energy storage devices as are well known in the art. For example, in one embodiment, the power supply 50 is a rechargeable battery, such as a nickel-cadmium battery or a lithium ion battery, hi another embodiment, the power supply 50 is a renewable energy source, a capacitor, or a solar cell including a plastic solar cell, and solar-cell paint. In another embodiment, the power supply 50 is configured to receive power from a wall outlet.
[0065] hi some embodiments, control programmability resides, as described above, in a driver controller which can be located in several places in the electronic display system, hi some embodiments, control programmability resides in the array driver 22. Those of skill in the art will recognize that the above-described optimizations may be implemented in any number of hardware and/or software components and in various configurations.
[0066] The details of the structure of interferometric modulators that operate in accordance with the principles set forth above may vary widely. For example, Figures 7A-7E illustrate five different embodiments of the movable reflective layer 14 and its supporting structures. Figure 7 A is a cross section of the embodiment of Figure 1, where a strip of metal material 14 is deposited on orthogonally extending supports 18. In Figure 7B, the moveable reflective layer 14 is attached to supports 18 at the corners only, on tethers 32. In Figure 1C, the moveable reflective layer 14 is suspended from a deformable layer 34, which may comprise a flexible metal. The deformable layer 34 connects, directly or indirectly, to the substrate 20 around the perimeter of the deformable layer 34. These connections are herein referred to as support structures, which can take the form of isolated pillars or posts and/or continuous walls or rails. The embodiment illustrated in Figure 7D has support structures 18 that include support plugs 42 upon which the deformable layer 34 rests. The movable reflective layer 14 remains suspended over the cavity, as in Figures 7A-7C, but the deformable layer 34 does not form the support posts by filling holes between the deformable layer 34 and the optical stack 16. Rather, the support posts 18 are formed of a planarization material, which is used to form the support post plugs 42. The embodiment illustrated in Figure 7E is based on the embodiment shown in Figure 7D, but may also be adapted to work with any of the embodiments illustrated in Figures 7A-7C, as well as additional embodiments not shown. In the embodiment shown in Figure 7E, an extra layer of metal or other conductive material has been used to form a bus structure 44. This allows signal routing along the back of the interferometric modulators, eliminating a number of electrodes that may otherwise have had to be formed on the substrate 20.
[0067] hi embodiments such as those shown in Figure 7, the interferometric modulators function as direct-view devices, in which images are viewed from the front side of the transparent substrate 20, the side opposite to that upon which the modulator is arranged. In these embodiments, the reflective layer 14 optically shields the portions of the interferometric modulator on the side of the reflective layer opposite the substrate 20, including the deformable layer 34. This allows the shielded areas to be configured and operated upon without negatively affecting the image quality. Such shielding allows the bus structure 44 in Figure 7E, which provides the ability to separate the optical properties of the modulator from the electromechanical properties of the modulator, such as addressing and the movements that result from that addressing. This separable modulator architecture allows the structural design and materials used for the electromechanical aspects and the optical aspects of the modulator to be selected and to function independently of each other. Moreover, the embodiments shown in Figures 7C-7E have additional benefits deriving from the decoupling of the optical properties of the reflective layer 14 from its mechanical properties, 'which are carried out by the deformable layer 34. This allows the structural design and materials used for the reflective layer 14 to be optimized with respect to the optical properties, and the structural design and materials used for the deformable layer 34 to be optimized with respect to desired mechanical properties.
[0068] In certain embodiments, it may be desirable to provide additional support to a movable layer such as the movable reflective layer 14 illustrated in Figure 7 A, or the combination of mechanical layer 34 and movable reflective layer 14 of Figures 7C-7E. hi optical MEMS, such as an interferometric modulator, the movable layer may comprise a reflective sublayer and a mechanical sublayer, as will be discussed in greater detail below. Such support may be provided by a series of support structures which may be located along the edges of an individual modulator element and/or in the interior of such an element. In various embodiments, these support structures may be located either over or underneath a movable layer, hi alternate embodiments, support structures may extend through an aperture formed in the mechanical layer, such that support is provided from both above and below the mechanical layer. As used herein, the term "rivet" generally refers to a patterned layer overlying a mechanical layer in a MEMS device, usually in a recess or depression in the post or support region, to lend mechanical support for the mechanical layer. Preferably, though not always, the rivet includes wings overlying an upper surface of the mechanical layer to add stability and predictability to the mechanical layer's movement. Similarly, support structures underlying a mechanical layer in a MEMS device to lend mechanical support for the mechanical layer are generally referred to herein as support "posts." hi many of the embodiments herein, the preferred materials are inorganic for stability relative to organic resist materials.
[0069] An exemplary layout of such support structures is shown in Figure 8, which depicts an array of MEMS elements. In certain embodiments, the array may comprise an array of interferometric modulators, but in alternate embodiments, the MEMS elements may comprise any MEMS device having a movable layer. It can be seen that support structures 62 are located both along the edges of a movable layer 66 and in the interior of a MEMS element, in this example an interferometric modulator element 60. Certain support structures may comprise rail structures 64, which extend across the gap 65 between two adjacent movable layers 66. It can be seen that movable layer 66 comprises a strip of deformable material extending through multiple adjacent elements 60 within the same column. The rail structure 64 run parallel with lowe electrodes, which define rows crossing the upper electrodes defined by the strips of the movable layer 66. The support structures 62 serve to stiffen the movable layer 66 within the elements or pixels 60.
[0070] Advantageously, these support structures 62 are made small relative to the surrounding area of the modulator element 60. As the support posts constrain deflection of the movable layer 66 and may generally be opaque, the area underneath and immediately surrounding the support structures 62 is not usable as active area in a display, as the movable layer in those areas is not movable to a fully actuated position (e.g., one in which a portion of the lower surface of the movable layer 14 of Figure 7A is in contact with the upper surface of the optical stack 16). Because this may result in undesirable optical effects in the areas surrounding the post, a dark or "black" mask layer may advantageously be provided between the support structures and the viewer to avoid excessive reflection in these regions that may wash out the intended color.
[0071] In addition, as the area immediately surrounding the support structure is not useable as an active area in the display, it is desirable to minimize the size of the support structures to the extent possible while still providing the desired amount of support. In certain embodiments, the formation of these support structures involves the deposition of layers over tapered underlying layers, so as to permit conformal deposition of layers which form the support structure, resulting in support structures having tapered sidewall portions. While such embodiments ensure the conformal deposition of the layers which form the support structure, the tapered sidewall portions may make the support structure larger than desirable. However, embodiments of support structures which need not include a tapered sidewall portion are discussed below.
[0072] In certain embodiments, a spin-on material, such as a spin-on glass or Nissan Hardcoat, can be used to form various support structures, including rivet structures and inorganic post structures. In one embodiment, described with respect to Figures 9A- 9 J, spin-on glass or other self-planarizing material (other than resist) is used to form post structures.
[0073] In Figure 9A, it can be seen that a transparent or light-transmissive substrate 70 is provided, which may comprise, for example, glass or a transparent polymeric material. A conductive layer 72, which may comprise indium-tin-oxide (ITO), is then deposited over the transparent substrate and a partially reflective layer 74, which may comprise chromium, is deposited over the conductive layer 72. Although in one embodiment conductive layer 72 may comprise ITO, and may be referred to as such at various points in the below specification, it will be understood that the layer 72 may comprise any suitable conductive material, and need not be transparent for non-optical MEMS structures. Similarly, although sometimes referred to as a chromium layer, partially reflective layer 74 may comprise any suitable partially reflective layer, and may be omitted for non-optical MEMS structures.
[0074] The conductive layer 72 and partially reflective layer 74 are then patterned and etched to form bottom electrodes, also referred to as row electrodes, which run cross-wise (e.g., perpendicular) to the movable layer 66 of Figure 8 and which will be used to address a row of MEMS elements. In certain embodiments, the conductive and partially reflective layers 72 and 74 may advantageously also be patterned and etched to remove the ITO and chromium underlying the areas where the support post structures will be located, forming apertures 76 as depicted in Figure 9B. This patterning and etching is preferably done by the same process which forms the row electrodes. The removal of ITO and chromium (or other conductive materials) underlying the support structures helps to minimize the risk of shorting between an overlying conductive layer, such as the movable layer, and the bottom electrode. Thus, Figure 9B and the subsequent figures depict a cross-section of a continuous row electrode formed by layers 72 and 74, in which isolated apertures 76 have been etched, taken along a line extending through those apertures. In other embodiments in which the conductive layer 72 and partially reflective layer 74 are not etched to form apertures 76, a dielectric layer, discussed below, may provide sufficient protection against shorting between the bottom electrode and the movable layer.
[0075] The conductive layer 72 and partially reflective layer 74 may be patterned via photolithography and etched via, for example, commercially available wet etches. Chromium wet etches include solutions of acetic acid (C2H4O2) and cerium ammonium nitrate [Ce(NH4)2(NO3)6]. ITO wet etches include HCl, a mixture of HCl and HNO3, or a mixture of FeCl3/HCl/DI in a 75%/3%/22% ratio and H2O. Once the apertures 76 have been formed, a dielectric layer 78 is deposited over the conductive and partially reflective layers 72 and 74, as seen in Figure 9C, forming the optical stack 16. hi certain embodiments, the dielectric layer may comprise SiO2 or SiNx, although a wide variety of suitable materials may be used.
[0076] A variety of methods can be used to perform the patterning and etching processes discussed with respect to the various embodiments disclosed herein. The etches used may be either a dry etch or a wet etch, and may be isotropic or anisotropic. Suitable dry etches include, but are not limited to: SF6/O2, CHF3/O2, SF2/O2, CF4/O2, and NF3/O2. Generally, these etches are suitable for etching one or more of SiOx, SiNx, SiOxNy, spin- on glass, Nissan™ hard coat, and TaOx, but other materials may also be etched by this process. Materials which are resistant to one or more of these etches, and may thus be used as etch barrier layers, include but are not limited to Al, Cr, Ni, and Al2O3. In addition, wet etches including but not limited to PAD etches, BHF, KOH, and phosphoric acid may be utilized in the processes described herein, and may generally be used to etch metallic materials. Generally, these etches may be isotropic, but can be made anisotropic through the use of a reactive ion etch (RIE), by ionizing the etch chemicals and shooting the ions at the substrate. The patterning may comprise the deposition of a photoresist (PR) layer (either positive or negative photoresist), which is then used to form a mask. Alternately, a hard mask can be utilized, hi some embodiments, the hard mask may comprise metal or SiNx, but it will be understood that the composition of the hard mask may depend on the underlying materials to be etched and the selectivity of the etch to be used. In The hard mask is typically patterned using a PR layer, which is then removed, and the hard mask is used as a mask to etch an underlying layer. The use of a hard mask may be particularly advantageous when a wet etch is being used, or whenever processing through a mask under conditions that a PR mask cannot handle (such as at high temperatures, or when using an oxygen-based etch). Alternate methods of removing layers may also be utilized, such as an ashing etch or lift-off processes.
[0077] The thickness and positioning of the layers forming the optical stack 16 determines the color reflected by the interferometric modulator element when the element is actuated (collapsed), bringing the movable layer 66 into contact with the optical stack 16. In certain embodiments, the optical stack is configured such that the interferometric modulator element reflects substantially no visible light (appears black) when the movable layer is in an actuated position. Typically, the thickness of the dielectric layer 78 is about 450 A, although it will be understood that the desired thickness of the dielectric layer 78 will vary based on the refractive index of the material and the desired color reflected by the interferometric modulator in a collapsed state. While illustrated for simplicity as planar (which can be achieved if the dielectric layer 78 is a spin-on glass), the dielectric layer 78 is typically conformal over the patterned lower electrode formed from layers 72 and 74. [0078] As seen in Figure 9D, a layer 82 of sacrificial material is then deposited over the dielectric layer 78. In certain embodiments, this sacrificial layer 82 is formed from a material which is etcliable by fluorine-based etchants, particularly XeF2. For example, the sacrificial layer 82 may be formed from molybdenum or amorphous silicon (a-Si). hi other embodiments, the sacrificial layer may comprise tantalum or tungsten. Other materials which are usable as sacrificial materials include silicon nitride, certain oxides, and organic materials. The thickness of the deposited sacrificial layer 82 will determine the distance between the optical stack 16 and the movable layer 66, thus defining the dimensions of the interferometric gap 19 (see Figure 7A). As the height of the gap 19 determines the color reflected by the interferometric modulator element when in an unactuated position, the thickness of the sacrificial layer 82 will vary depending on the desired characteristics of the interferometric modulator. For instance, in an embodiment in which a modulator element that reflects green in the unactuated position is formed, the thickness of the sacrificial layer 82 may be roughly 2000 A. hi further embodiments, the sacrificial layer may have multiple thicknesses across an array of MEMS devices, such as in a multicolor display system where different interferometric gap sizes are used to produce different colors.
[0079] In Figure 9E, it can be seen that the sacrificial layer 82 has been patterned and etched to form apertures 86. The apertures 86 overlie the apertures 76 cut into the layers 72 and 74 of ITO and chromium. These apertures 86 may be formed by masking the sacrificial layer, using photolithography, and then performing an etch to remove portions of the sacrificial material. Preferably a dry, directional etch is performed to obtain the near-vertical sidewalls shown. Preferably, the sidewalls slope less than about ±10° relative to vertical. Suitable dry etches include, but are not limited to, SF6, CF4, Cl2, or any mixture of these gases with O2 or a noble gas such as He or Ar.
[0080] As can be seen in Figure 9F, a layer 110 of spin-on material is then deposited over the patterned sacrificial layer 82, filling the apertures 86. As noted above, the edges of these apertures are substantially vertical rather than tapered, as the spin-on material will fill the apertures 86 as a result of the spinning process, which causes the spin-on material to flow to fill such apertures. The deposition of spin-on glass or other self-planarizing materials can be done in a variety of ways, including but not limited to exposure to a liquid precursor, spray deposition, ink jet deposition, extrusion of the spin- on material, application via a roller coater, and screen printing. The materials used in the formation of the support structure are preferably inorganic (e.g., SOG5 which is a form of silicon oxide) for better stability relative to photoresist.
[0081] In certain embodiments, as can be seen in Figure 9F, the spin-on layer 110 extends above the sacrificial layer 82. In those embodiments, the spin-on layer 110 may be etched back such that the layer 110 only fills the apertures 86, and does not extend over the remaining sacrificial material 82. In Figure 9G, it can be seen that the layer has been blanket etched back without the need for a mask, forming inorganic posts 112 of the spin-on material. Li this embodiment, the upper surface of these inorganic posts 112 is substantially coplanar with, or slightly below (e.g., less than 5% of the height of the sacrificial layer) the upper surface of the sacrificial layer 82.
[0082] hi Figure 9H, it can be seen that the components which will form the movable layer 66 (see, e.g., moveable reflective layer 14 in Figure 7A) are then deposited over the patterned sacrificial layer 82 and posts 112 hi the embodiment of Figure 9H, a highly reflective layer 90, also referred to as a mirror or mirror layer, is deposited first, followed by a mechanical layer 92. The highly reflective layer 90 may be formed from a specular metal, such as aluminum or an aluminum alloy, due to their high reflectance over a wide spectrum of wavelengths. The mechanical layer 92 may comprise a metal such as Ni and Cr, and is preferably formed such that the mechanical layer 92 contains residual tensile stress. The residual tensile stress provides mechanical force tending to pull movable layer 66 away from the optical stack 16 when the modulator is unactuated, or "relaxed." For convenience, the combination of the highly reflective layer 90 and mechanical layer 92 is collectively referred to as the movable layer 66, although it will be understood that the term movable layer, as used herein, also encompasses a partially separated mechanical and reflective layer, such as the mechanical layer 34 and the movable reflective layer 14 of Figure 7C.
[0083] Li an embodiment in which the sacrificial layer is to be etched or "released" by a XeF2 etch, both the reflective layer 90 and the mechanical layer 92 are preferably resistant to XeF2 etching. If either of these layers is not resistant, an etch stop layer may be used to protect the non-resistant layer surface exposed to the release etch.
[0084] hi an alternate embodiment, the movable layer 66 may be a single layer which is both highly reflective and has the desired mechanical characteristics. However, the deposition of two distinct layers permits the selection of a highly reflective material, which might otherwise be unsuitable if used as the sole material in a movable layer 66, and similarly allows selection of a suitable mechanical layer without regard to its reflective properties. In yet further embodiments, the movable layer may comprise a reflective sublayer which is largely detached from the mechanical layer, such that the reflective layer may be translated vertically without bending (See, e.g., Figures 7C-7E and attendant description). One method of forming such an embodiment comprises the deposition of a reflective layer over the sacrificial layer, which is then patterned to form individual mirrors. A second layer of sacrificial material is then deposited over the reflective layer and patterned to permit the connections to be made through the second sacrificial layer between the subsequently deposited mechanical sublayer and the mirros, as well as to form apertures in the first sacrificial layer for support structures.
[0085] hi other embodiments in which the MEMS devices being formed comprise non-optical MEMS devices (e.g., a MEMS switch), it will be understood that the movable layer 66 need not comprise a reflective material. For instance, in embodiments in which MEMS devices such as MEMS switches are being formed comprising the support structures discussed herein, the underside of the movable layer 66 need not be reflective, and may advantageously be a single layer, selected solely on the basis of its electrical and mechanical properties or other desirable properties.
[0086] Finally, in Figure 91, it can be seen that a release etch is performed to remove the sacrificial layer, creating the interferometric gap 19 through which the movable layer 66 can move, rn certain embodiments, a XeF2 etch is used to remove the sacrificial layer 82. Because XeF2 etches the preferred sacrificial materials well, and is extremely selective relative to other materials used in the processes discussed above, the use of a XeF2 etch advantageously permits the removal of the sacrificial material with very little effect on the surrounding structures.
[0087] Thus, Figure 91 depicts a portion of an interferometric modulator element such as one of the interferometric modulator elements 60 of Figure 8, shown along line 91-91. hi this embodiment, the movable layer 66 is supported throughout the gap 19 by support structures 112 formed over the movable layer 66. As discussed above, portions of the underlying optical stack 16 have advantageously been etched so as to minimize risk of shorting between conductive portions of the optical stack 16 and conductive layers in the movable layer 66, although this step need not be performed in all embodiments. [0088] Figure 10 depicts an alternate inorganic post 122 formed from spin-on material, in which a patterning and etching process, rather than a blanket etching back process, is used to form the support structure, and in which some of the spin-on layer overlying the sacrificial layer is not removed, such that the inorganic post 122 comprises "wings" 124 extending out over the sacrificial material 82. Because the layers deposited over the inorganic posts 122 are deposited over an uneven surface, the edges of these "wing" sections 124 are preferably tapered in order to facilitate the deposition of the additional layers. While not illustrated, it will be understood that a movable layer is subsequently deposited over the inorganic post 122 and sacrificial material 82 of Figure 10.
[0089] hi another embodiment, a method for fabricating a MEMS device having an electrode which is partially detached from an overhanging mechanical layer is described with respect to Figures 1 IA-I IF. This method includes the steps of Figures 9A-9D. In Figure 1 IA it can be seen that a reflective layer 90 has been deposited over the sacrificial layer 82. hi Figure HB, the reflective layer 90 of Figure HA has been patterned and etched to form isolated electrode member 190 (e.g., isolated mirrors), hi Figure HC, an upper sacrificial layer 182 has been deposited over the patterned isolated electrode member, and both the upper sacrificial layer 182 and the lower sacrificial layer 82 are patterned to form apertures 196 extending through both sacrificial layers. As illustrated, these apertures 196 may comprise substantially vertical sidewalls.
[0090] In Figure HD, support structures 200 comprising a self-planarizing material have been formed within the apertures 196. These support structures may be formed, for example, via the process described with respect to Figures 9F and 9G, wherein a layer of self-planarizing material is deposited over the patterned upper sacrificial layer 182 and then etched back or patterned to form the support structures 200. It can also be seen that a portion of the upper sacrificial layer 182 has been etched to form an aperture 202 exposing a portion of the isolated electrode member 190. hi an alternate embodiment, the aperture 202 may be formed at the same time as the apertures 196, and any insulating material (e.g., the support structure material) deposited within the aperture 202 in an intervening step can be removed.
[0091] In Figure HE, it can be seen that a mechanical layer 92 has been deposited over the patterned upper sacrificial layer 182 and the support structures 200, such that the mechanical layer 90 fills a portion of the aperture 202, forming a connector portion 204 which provides mechanical support and electrical connection to the isolated electrode member 190. In Figure 1 IF5 the mechanical layer 90 has been patterned to form desired structures and the sacrificial layers 182 and 82 have been removed by a release etch, forming a MEMS device (e.g., an interferometric modulator in which an isolated electrode member 190 is spaced apart from the optical stack 16 by an air gap 19, and wherein a movable layer 66 includes a mechanical layer 92 and the isolated electrode member 190 which is partially detached from the mechanical layer.
[0092] In another embodiment, depicted with respect to Figures 12A-12D, it can be seen that a planarizing material can be used to form a structure which provides support for a deformable reflective layer both from above and below the mechanical layer. This process includes the steps of Figures 9A-9D. In Figure 12A, it can be seen that a movable layer 66, which in certain embodiments comprises a reflective layer and a mechanical layer (see Figure 9H), has been deposited over the unpatterned sacrificial layer 82. The movable layer 66 has been patterned to form an aperture 130 extending through a portion of the movable layer 66 and exposing the underlying sacrificial layer 82.
[0093] In Figure 12B, it can be seen that the portions of the sacrificial layer 82 underlying the aperture 130 has been etched away, forming a cavity 132, and that this etch extends laterally into the sacrificial layer 82 near the apertures 130, such that the cavity 132 undercuts a portion of the layer 66. The skilled artisan will appreciate than an isotropic etch, selective against the mechanical layer 130 can accomplish such lateral recessing, although other suitable methods may also be used. It can also be seen that through the use of this etching process, a sidewall having a reentrant profile is formed, in that the width of the cavity 132 is narrower at a point immediately beneath the movable layer 66 than it is at a point lower in the cavity 132.
[0094] In Figure 12C, a layer 134 of spin-on material has been deposited, such that it flows through the apertures 130 to fill the cavities 132, and also extends over the movable layer 66. Other self-planarizing materials can also be used, as discussed with respect to Figure 9A-9I. It can be seen that the spin-on material flows to conform to the shape of the cavity 132, such that the spin-on material assumes a convex shape within the cavity 132 corresponding to the concave profile formed by the etch which creates the cavity 132. Other deposition methods employing liquid precursors (e.g., electroless or electroplating) can similarly fill the cavity 132 despite the overhanging movable layer 66 and the re-entrant profile. The deposition of the spin-on material may comprise any of the methods discussed above, including exposure of the partially fabricated MEMS device to a liquid precursor.
[0095] In Figure 12D, the spin-on layer 134 is patterned and etched to remove the spin-on material located away from the apertures 130, leaving a support structure 136 extending both over and underneath portions of the movable layer 66, partially enclosing the edges of the movable layer 66. In later steps, as discussed above with respect to Figure 93, a release etch may be performed in order to remove the sacrificial layer 82. Advantageously, this embodiment provides improved adhesion between the support structure and the movable layer 66, as well as a substantially or completely flat mechanical layer, which permits better control over the size of the interferometric cavity.
[0096] In the illustrated embodiment, it can be seen that the diameter of the upper portion of the support structure 136 is substantially the same as the diameter of the lower portion of the support structure 136. However, in alternate embodiments, it will be understood that both the size and shapes of the upper and lower portions of the support structure may vary, and that the upper and lower portions of the support structure 136 need not be symmetrical with respect to one another.
[0097] In addition to the spin-on materials discussed above, it will be understood that the support structure 136 may also comprise a polymeric planarization material such as photoresist. Advantageously, the use of a polymeric planarization material simplifies the fabrication process as the deposition and exposure of an additional mask layer overlying the support structure layer is not necessary. Because the edge of the mechanical layer is partially enclosed by the support structure 136, degradation of the support provided by such a polymeric support structure over time is not as much of a concern as it is in embodiments in which a polymeric post structure merely underlies a movable layer, hi an embodiment in which a polymeric post underlies a movable layer, adhesion between the polymeric post and the movable layer may be poor. By providing a support structure having overlying polymeric material in addition to the underlying polymeric material, the adhesion between the support structure and the movable layer is greatly improved, leading to better control of the size of an air gap over prolonged periods of time.
[0098] hi a variation of the above method, an underlying support structure may be formed through, for example, the application of a liquid precurspor (e.g., spin-on deposition) to form a layer which fills the cavity 132 of Figure 12B but does not extend above the movable layer, or through the use of a blanket etch to etch back the portions of the spin-on layer extending over the movable layer such that the upper surface of the spin- on support structure is at or below the upper surface of the movable layer.
[0099] Figure 13 depicts a stage in a further embodiment of a method for forming support structures from self-planarizing material, hi Figure 13, after performing the steps of Figures 12A-12C, in place of the step of Figure 12D, it can be seen that at the same time that the spin-on layer 134 is patterned to form the support structures 136, a portion of the spin-on layer 134 extending through the aperture 130 is removed, forming an aperture 138 extending through the support structure 136. hi embodiments in which the support structure comprises a sufficiently rigid material, the support structure 136 can be bifurcated, forming two support structures, hi such an embodment, the support structures may comprise a conductive self-planarizing material, and still support two electrically isolated portions of the movable layer without shorting between the two isolated portions as may be desirable for posts or rails at the edges of upper electrode strips.
[0100] hi other embodiments, electroplating can be used to form support structures which may have substantially vertical sidewalls. hi one embodiment, described with respect to Figures 14A-14B, a seed layer deposited prior to the deposition and patterning of the sacrificial layer, and the sacrificial layer is used as a mask during an electroplating process, hi Figure 14A, it can be seen that a metallic seed layer 140 has been deposited over the optical stack 16, and that a sacrificial layer 82 has been deposited over the seed layer 140 and patterned to form an aperture 142 extending through the sacrificial layer 82 and exposing a portion of seed layer 140. In the illustrated embodiment, the apertures 142 have substantially vertical sidewalls, although it will be understood that the shape of the aperture will be determined at least in part by the etching process.
[0101] hi Figure 14B, it can be seen that a plating process, such as an electroplating process, has been used to form a support structure 144 within the aperture 142, the support structure taking the shape of the aperture 144. In such an embodiment, it will be understood that the sacrificial material is preferably an insulating material which will not be plated during the electroplating process or is protected by an additional layer (not shown) in order to avoid being plated. Fabrication of the support structure may continue as discussed with respect to other embodiments, above, forming a MEMS device having a movable layer supported by an underlying post which may have substantially vertical sidewalls, as depicted.
[0102] In a further embodiment, shown in Figure 19, it can be seen that the seed layer 140 has been patterned prior to deposition of the sacrificial layer 82, such that the metallic seed layer 140 underlies only the portions of the sacrificial layer 82 surrounding the support structure 144, which is formed by a selective plating process. Although the underlying seed layer may comprise isolated sections of the seed layer 140, as illustrated, it will be understood that electroplating may be utilized when the sacrificial layer 82 comprises a conductive material, such as molybdenum, tantalum, or doped silicon. Through proper selection of the seed layer 140 and the sacrificial layer 82, plating can be controlled to only occur on the seed layer, and not on the conductive sacrificial layer 82. In one exemplary embodiment, the sacrificial layer 82 comprises tantalum, and the metallic seed layer 140 comprises copper.
[0103] Figures 20A-20C depict an alternate plating process for forming support structures having desired shapes. In Figure 2OA, it can be seen that an insulating sacrificial layer 82 has been deposited over an optical stack 16 and patterned to form apertures 142 which may have substantially vertical sidewalls, as depicted, and that a seed layer 140 is deposited over the patterned sacrificial layer 82. In one embodiment, the seed layer may cover the sidewalls of the aperture 142, as shown in the illustrated embodiment.
[0104] hi Figure 2OB, the portions of the seed layer 140 located away from the aperture 142 are removed, such that the seed layer 140 coats the interior surfaces of the aperture 142. hi Figure 2OC, a plating process is used to form a support structure 146 within the aperture 142. Because the seed layer 140 is only located within the aperture 142, the support structure 146 will not extend beyond the edges of the aperture 142. Because isolated portions of the seed layer 140 are being plated, an electroplating process can be used when the sacrificial layer 82 comprises a conductive material, and when the seed layer 140 can be selectively plated with respect to the sacrificial material 82. Fabrication of the support structure may continue as discussed with respect to other embodiments, above, including the formation of a movable layer supported by support structures 146.
[0105] Ia another embodiment, a directional etch may be used to form spacer structures which provide support for a mechanical layer or deformable reflective layer. Figures 15A-15C depict a method for forming such spacer supports. In Figure 15A, it can be seen that a layer of sacrificial material 82 has been deposited over an optical stack 16 and etched to form apertures 150. In the present embodiment, it can be seen that the aperture 150 may comprise substantially vertical sidewalls. A conformal layer of support material 152 is deposited over the patterned sacrificial layer. In certain embodiments, this layer 152 may comprise an insulating material, such as SiNx or SiO2, but a wide variety of support materials may be suitable.
[0106] IQ Figure 15B, it can be seen that the support material 152 has been anisotropically etched downward, so as to preferentially remove the horizontal portions of support material 152, but leaving a portion of the vertical portions remaining to form spacers 154 (which in the illustrated embodiment may be a single annular spacer located along the sidewall of each aperture 150). In one embodiment, a reactive ion etch may be utilized to achieve the desired anisotropic etching, but other anisotropic etching methods (e.g., sputter etching) may alternately be utilized. The spacers formed by the spacer etch have a rounded or sloped interior surface while the outer surface is substantially vertical, and thus define tapered or narrowed width of the spacers at their upper regions.
[0107] In Figure 15C, a movable layer 66, which in certain embodiments may comprise a reflective layer 90 and a mechanical layer 92, is then deposited over the patterned sacrificial layer 82, such that the spacer 154 serves as a support structure underneath the movable layer 66. The movable layer 66 is conformal over the spacer 154, and in particular directly over the tapered interior surface of the spacer 154 and te exposed portions of the optical stack 16. The portion of the movable layer in the aperture 150 is more easily deposited over the sloped spacer 154, due to the tapered interior surface of the spacer 154. Advantageously, because the aperture 150 need not be tapered, due to the sloped spacer 154, it can be seen that the edge of the spacer 342 comprises a substantially vertical edge. Since the support structure does not comprise an overhang, the likelihood that actuation of the movable layer 66 will cause the edge of the post to flex downward is greatly reduced. Li addition, because the support structure 154 has a substantially vertical outer surface, the usable area of the device may be larger than had the support structure included a tapered outer surface or an overhang.
[0108] hi MEMS devices such as interferometric modulators, in which the size of the air gap between an electrode layer and a movable layer affects the color reflected by the device in a relaxed position, it is desirable to ensure that the movable layer does not flex upward beyond a desired position. Figures 16A-16C depict a method for fabricating such a structure, which in the illustrated embodiment includes the steps of Figures 9A-9H, but which in other embodiments may include any suitable method for forming a movable layer supported by underlying support structures.
[0109] hi Figure 16A, it can be seen that an upper layer of sacrificial material 182 is deposited over the movable layer 66, and patterned to form apertures 186, which overlie at least some of the underlying post structures 112. The post structures 112 can thus provide support for the overlying support structures which will be formed, and so that the overlying post structures do not overlie the active areas of the display. It will be understood that, in embodiments employing hanging electrodes (e.g., mirrors) below a mechanical layer, the upper sacrificial layer can be the third sacrificial layer in the device, and an intermediate sacrificial layer may be used to space a portion of the hanging electrode apart from a mechanical layer, hi the illustrated embodiment, the apertures 186 are depicted as having substantially vertical sidewalls, although apertures having different shapes may be used, examples of which are discussed below with respect to Figures 17A- 17B. The thickness of the deposited upper sacrificial layer 182 may vary based on the operating parameters of the MEMS device, but in certain embodiments, the thickness of the deposited upper sacrificial layer is preferably between 30 and 500 angstroms, and more preferably between 50 and 200 angstroms, in order to minimize expansion of the underlying optical cavity being formed, although it will be understood that thicknesses both inside and outside of that range may be suitable for a given application and may be used.
[0110] In Figure 16B, it can be seen that a support structure 162 overlying the movable layer 66 has been formed within the aperture 186. The upper support structure 162 may be formed by a method such as that discussed with respect to Figures 9F-9G, wherein a layer of self-planarizing material (see layer 110 in Figure 9F) is deposited over the patterned sacrificial layer 182 and then blanket etched back to form the upper support structure 162. A ceiling layer 192 is then deposited over the upper support post 162. The ceiling layer 192 is preferably a rigid, insulating layer, such as an inorganic oxide layer (e.g., a form of silicon oxide), so as to prevent deformation of the ceiling layer 192 itself by a movable layer 66 pressing upwards against the ceiling layer 192, and to prevent shorting between otherwise electrically isolated portions of the movable layer 66. hi certain embodiments, to aid rigidity, the ceiling layer 192 may be roughly 2-5 times, more preferably about 3 times the thickness of the movable layer 66, although it will be understood that the desirable thickness of the ceiling layer 192 will vary based on the composition of both the ceiling layer 192 and the movable layer 66. In order to minimize deflection of the ceiling layer 192 itself, the ceiling layer may be formed from a single layer, or may be formed from a plurality of symmetrical layers (not shown), such that the upper layers of the ceiling layer are the substantially same material and thickness as the lower layers of the ceiling layer, and the ceiling layer 192 is roughly a mirror image about a neutral axis..
[0111] In Figure 16C, it can be seen that a release etch has been performed to remove the lower sacrificial layer 82 and the upper sacrificial layer 182, forming a gap 19 between the movable layer 66 and the electrode layer within the optical stack 16, as well as an upper gap 119 between the movable layer 66 and the rigid ceiling layer 192. Both the movable layer 66 and the ceiling layer 192 are supported by a support structure comprising the upper support structure or segment 162 and the lower support structure or segment 112. The height of the upper gap 119 is dependent on the height of the second sacrificial layer 182 (see Figure 16B), and preferably made as small as possible without being so small as to result in undesirable stiction between the movable layer 66 and the ceiling layer 192, or otherwise inhibiting the operation of the MEMS device. In a further embodiment, the movable layer 66 can be deposited such that the movable layer 66 is designed, upon release, to flex upward against the rigid ceiling layer 192 when the device is in a relaxed, or unactuated, position. Such an embodiment ensures that the movable layer 66 will remain at a desired distance from the electrode layer when in a relaxed height, providing uniformity both within a MEMS element and across an array of MEMS elements.
[0112] Figures 17A-17B illustrate an alternate method of forming a MEMS device comprising a ceiling layer, which again includes the steps of Figures 9A-9H or any suitable alternative methods. In Figure 17A, it can be seen that an upper layer of sacrificial material 182 (having a thickness as described with respect to Figure 16) has been deposited over the movable layer 66, and patterned to form apertures 188, which in this embodiment comprise tapered sidewalls. In Figure 17B, a layer of conformal support material 172 has been deposited over the patterned upper sacrificial layer 182, such that support structures are formed from the support material within support regions 174, and the support material extends over the substantially flat portions of the sacrificial layer 192 in ceiling regions 176. The process may then continue as discussed with respect to Figure 16C, wherein a release etch is performed to form gaps between the movable layer 66 and both the electrode within the optical stack 16 and the ceiling regions 176. A support structure is thus formed which includes a lower support segment 112 and an upper support segment 174 which is a part of the ceiling layer itself. In a further embodiment (not shown), support layer 172 may be patterned to form isolated support segments, and a separate ceiling layer may be deposited over these isolated support segments.
[0113] Figure 18 illustrates another embodiment of a MEMS device comprising a ceiling layer. In the illustrated embodiment, a single contiguous support structure 180 extends at least through the movable layer 66, enclosing a portion of the movable layer 66. Such an embodiment may be formed, for example, through the deposition of a lower sacrificial layer, followed by the deposition of a movable layer 66, followed by the deposition of an upper sacrificial layer. A via or aligned vias can be etched through each of those layers, forming a single cavity extending through all three layers, which can then be filled with a self-planarizing material in which the movable layer 66 is embedded. In a further embodiment, a via may be formed through the ceiling layer 192, as well, and the support structure 180 may enclose or embed a portion of the ceiling layer 192. In addition to the embodiments discussed above, it will be understood that a wide variety of alternate support structures and methods of fabricating the same may also be used to space a rigid ceiling layer apart from the movable layer.
[0114] It will be understood that various combinations of the above embodiments are possible. Various other combinations of the support structures discussed above are contemplated and are within the scope of the invention. In addition, it will be understood that support structures formed by any of the methods above may be utilized in combination with other methods of forming support structures, in order to improve the rigidity and durability of those support structures, or to minimize deflection due to stress mismatches.
[0115] It will also be recognized that the order of layers and the materials forming those layers in the above embodiments are merely exemplary. Moreover, in some embodiments, other layers, not shown, may be deposited and processed to form portions of an MEMS device or to form other structures on the substrate. In other embodiments, these layers may be formed using alternative deposition, patterning, and etching materials and processes, may be deposited in a different order, or composed of different materials, as would be known to one of skill in the art. [0116] It is also to be recognized that, depending on the embodiment, the acts or events of any methods described herein can be performed in other sequences, may be added, merged, or left out altogether (e.g., not all acts or events are necessary for the practice of the methods), unless the text specifically and clearly states otherwise.
[0117] While the above detailed description has shown, described, and pointed out novel features of the invention as applied to various embodiments, it will be understood that various omissions, substitutions, and changes in the form and details of the device of process illustrated may be made by those skilled in the art without departing from the spirit of the invention. As will be recognized, the present invention may be embodied within a form that does not provide all of the features and benefits set forth herein, as some features may be used or practiced separately from others.

Claims

WHAT IS CLAIMED IS:
1. A method of fabricating a MEMS device, comprising: providing a substrate; depositing an electrode layer over the substrate; depositing a sacrificial layer over the electrode layer; patterning the sacrificial layer to form an aperture; depositing a layer of inorganic self-planarizing material over the sacrificial layer, such that it fills the aperture; etching back the layer of self-planarizing material to a level at or below the upper surface of the sacrificial layer to form a support structure; and depositing a movable layer over the support structure.
2. The method of Claim 1, additionally comprising perfoming a release etch to remove the sacrificial layer, forming an air gap located between the movable layer and the electrode layer.
3. The method of Claim 1, wherein the aperture comprises substantially vertical sidewalls.
4. The method of Claim 1, wherein patterning the layer of self-planarizing material to form a support structure comprises etching back the layer of self-planarizing material to a level at or below the upper surface of the sacrificial layer.
5. The method of Claim 1, wherein depositing a movable layer over the support structure comprises depositing a reflective sublayer over the support structures and depositing a mechanical sublayer over the reflective sublayer.
6. The method of Claim 1, wherein depositing a movable layer over the support structure comprises: depositing an upper electrode layer over the sacrificial layer; patterning the upper electrode layer layer to form isolated electrode members; and depositing an upper sacrificial layer over the isolated electrode members, wherein the upper sacrificial layer is deposited prior to patterning the sacrificial layer to form the aperture, and wherein patterning the sacrificial layer to form the aperture comprises patterning both the upper sacrificial layer and the lower sacrificial layer.
7. The method of Claim 6, wherein the upper electrode layer comprises a reflective layer.
8. The method of Claim 6, additionally comprising patterning the upper sacrificial layer to form an aperture exposing a portion of the isolated electrode member; and forming a mechanical layer over the support structure and the patterned upper sacrificial layer, wherein a portion of the mechanical layer is in contact with the exposed portion of the isolated electrode member.
9. The method of Claim 1, wherein the MEMS device comprises an interferometric modulator.
10. A MEMS device formed by the method of Claim 1. '
11. A method of fabricating a MEMS device, comprising: providing a substrate; depositing an electrode layer over the substrate; depositing a sacrificial layer over the electrode layer; patterning the sacrificial layer to define an aperture; forming a metallic seed layer, wherein the metallic seed layer does not extend over unpatterned portions of the sacrificial layer; forming a support structure within the aperture via a plating process; and depositing a movable layer over the support structure.
12. The method of Claim 11, additionally comprising perfoming a release etch to remove the sacrificial layer, forming an air gap located between the movable layer and the electrode layer.
13. The method of Claim 11, wherein the aperture comprises substantially vertical sidewalls.
14. The method of Claim 11, wherein the sacrificial layer comprises a conductive material, and wherein the metallic seed layer can be selectively plated relative to the sacrificial layer.
15. The method of Claim 14, wherein forming the metallic seed layer comprises: depositing the metallic seed layer after depositing of the sacrificial layer; and patterning the metallic seed layer to remove portions of the metallic seed layer extending over unpatterned portions of the sacrificial layer.
16. The method of Claim 14, wherein the metallic seed layer is deposited prior to deposition of the sacrificial layer, additionally comprising patterning the metallic seed layer prior to deposition of the sacrificial layer to form remove a portion of the metallic seed layer which will not underlie the aperture in the sacrificial layer.
17. The method of Claim 11, wherein depositing a movable layer over the support structure comprises depositing a reflective sublayer over the support structures and depositing a mechanical sublayer over the reflective sublayer.
18. The method of Claim 11, wherein the MEMS device is an interferometric modulator.
19. A MEMS device formed by the method of Claim 11.
20. A method of fabricating a MEMS device, comprising: providing a substrate; depositing an electrode layer over the substrate; depositing a sacrificial layer over the electrode layer; patterning the sacrificial layer to define an aperture having a substantially vertical sidewall; depositing a layer of conformal support material over the vertical sidewall and over an upper surface of the sacrificial layer; performing a directional etch to form a spacer structure located within the aperture and against the substantially vertical sidewall, wherein the directional etch removes the support material overlying the upper surface of the sacrificial layer; and depositing a movable layer over the support structure.
21. The method of Claim 20, additionally comprising perfoming a release etch to remove the sacrificial layer, forming an air gap located between the movable layer and the electrode layer.
22. The method of Claim 20, wherein performing a directional etch comprises removing the portions of the layer of support material located away from the aperture.
23. The method of Claim 20, wherein performing a directional etch comprises removing a portion of the support layer located within the aperture, thereby exposing a portion of an underlying layer.
24. The method of Claim 20, wherein the movable layer is conformal over the spacers and wherein a portion of the movable layer is located within the aperture.
25. The method of Claim 20, wherein depositing a movable layer over the support structure comprises depositing a reflective sublayer over the support structures and depositing a mechanical sublayer over the reflective sublayer.
26. The method of Claim 20, wherein the MEMS device is an interferometric modulator.
27. A MEMS device formed by the method of Claim 20.
28. A method of fabricating a MEMS device, comprising: providing a substrate; depositing an electrode layer over the substrate; depositing a sacrificial layer over the electrode layer; depositing a movable layer over the sacrificial layer; patterning the movable layer to form an aperture extending through the movable layer, thereby exposing a portion of the sacrifical layer; etching the exposed portion of the sacrificial layer to form a cavity extending through the sacrificial layer and undercutting a portion of the movable layer; and depositing a layer of self-planarizing support material to fill the cavity.
29. The method of Claim 28, wherein the layer of self-planarizing material extends over the movable layer, additionally comprising patterning the layer of support material to form a support structure, wherein the support structure comprises a convex outer surface corresponding to a re-entrant profile of the cavity.
30. The method of Claim 29 additionally comprising patterning the layer of support material, leaving a portion overlying at least a portion of the aperture in the movable layer and extending over at least a portion of the movable layer.
31. The method of Claim 30, wherein patterning the layer of support material to form a support structure additionally comprises removing a portion of the support material extending though the aperture in the mechanical layer, forming an aperture extending through the support structure.
32. The method of Claim 28, additionally comprising perfoming a release etch to remove the sacrificial layer, forming an air gap located between the movable layer and the electrode layer.
33. The method of Claim 28, wherein depositing the layer of support material comprises exposing the partially fabricated MEMS device to a liquid precursor.
34. The method of Claim 33, wherein the liquid precursor comprises a spin-on precursor.
35. The method of Claim 28, wherein the layer of support material comprises a polymeric material.
36. The method of Claim 28, wherein etching the exposed portion of the sacrificial layer to form a cavity comprises performing an isotropic etch.
37. The method of Claim 28, wherein depositing a movable layer over the support structure comprises depositing a reflective sublayer over the support structures and depositing a mechanical sublayer over the reflective sublayer.
38. The method of Claim 28, wherein the MEMS device comprises an interferometric modulator.
39. A MEMS device formed by the method of Claim 28.
40. A MEMS device, comprising: a substrate; an electrode layer located over the substrate; a movable layer located over the electrode layer, wherein the movable layer is generally spaced apart from the electrode layer by an air gap; and an inorganic support structure underlying the movable layer, wherein the inorganic support structure comprises a substantially vertical sidewall, and wherein the inorganic support structure is spaced apart from the substrate by at least one intermediate layer.
41. The MEMS device of Claim 40, wherein the support structure comprises a metallic material and is formed by an electroplating process.
42. The MEMS device of Claim 40, wherein the support structure comprises an annular spacer having a tapered interior surface.
43. The MEMS device of Claim 42, wherein the movable layer is conformal over the tapered interior surface of the annular spacer.
44. The MEMS device of Claim 40, wherein the support structure comprises a self-planarizing material.
45. The MEMS device of Claim 40, wherein the at least one intermediate layer comprises a dielectric layer located over the electrode layer.
46. The MEMS device of Claim 40, wherein the movable layer comprises a mechanical sublayer and a reflective sublayer located on the side of the mechanical sublayer facing the air gap.
47. The MEMS device of Claim 46, additionally comprising a partially reflective layer located on the opposite side of the air gap from the reflective sublayer.
48. The MEMS device of Claim 40, wherein the movable layer comprises an isolated electrode member spaced apart from the electrode layer by the air gap and a mechanical layer overlying the support structure, wherein the mechanical layer supports the isolated electrode member and is partially detached from the isolated electrode member.
49. The MEMS device of Claim 40, additionally comprising: a processor that is configured to communicate with at least one of said electrode layer and said movable layer, said processor being configured to process image data; and a memory device that is configured to communicate with said processor.
50. The MEMS device of Claim 49, further comprising a driver circuit configured to send at least one signal to at least one of said electrode layer and said movable layer.
51. The MEMS device of Claim 50, further comprising a controller configured to send at least a portion of the image data to the driver circuit
52. The MEMS device of Claim 49, further comprising an image source module configured to send said image data to said processor.
53. The MEMS device of Claim 52, wherein the image source module comprises at least one of a receiver, transceiver, and transmitter.
54. The MEMS device of Claim 49, further comprising an input device configured to receive input data and to communicate said input data to said processor.
55. A MEMS device, comprising: a substrate; an electrode layer located over the substrate; a movable layer located over the electrode layer, wherein the movable layer is generally spaced apart from the electrode layer by an air gap, said movable layer comprising an aperture extending through the movable layer; and a support structure located at least partially beneath the aperture in the movable layer, said support structure comprising a convex sidewall portion located underneath the movable layer.
56. The MEMS device of Claim 55, wherein the support structure comprises a self-planarizing material.
57. The MEMS device of Claim 56, wherein the support structure comprises a spin-on material.
58. The MEMS device of Claim 56, wherein the support structure comprises a polymeric material.
59. The MEMS device of Claim 55, wherein a portion of the support structure extends through the aperture in the movable layer, and wherein said support structure encloses at least a portion of the movable layer located adjacent the aperture in the movable layer.
60. The MEMS device of Claim 59, wherein the support structure comprises an aperture extending through support structure to an underlying layer, and wherein said aperture extending though the support structure extends through the aperture in the movable layer.
61. The MEMS device of Claim 55, wherein the movable layer comprises a mechanical sublayer and a reflective sublayer located on the side of the mechanical sublayer facing the air gap.
62. The MEMS device of Claim 61, additionally comprising a partially reflective layer located on the opposite side of the air gap from the reflective sublayer.
63. A MEMS device, comprising: first means for electrically conducting; second means for electrically conducting; and means for supporting said second conducting means over said first conducting means, wherein said second conducting means is movable relative to said first conducting means in response to generating electrostatic potential between said first and second conducting means, and wherein said supporting means extend through an aperture in said second conducting means and enclose at least a portion of said second conducting means.
64. The MEMS device of Claim 63, wherein the first conducting means comprises an electrode layer supported by a substrate.
65. The MEMS device of Claim 63, wherein the second conducting means comprises a movable layer, portions of which are spaced apart from said first conducting means by an interferometric gap.
66. The MEMS device of Claim 63, wherein the supporting means comprises a support structure which extends through an aperture in the second conducting means and encloses at least a portion of the second conducting means, wherein the support structure is formed from a self-planarizing material.
67. A method of manufacturing a MEMS device, comprising: forming a lower sacrificial layer over a substrate; forming a movable layer over the first sacrificial layer; forming an upper sacrificial layer over the movable layer, wherein the thickness of the second sacrificial layer is between 30 and 500 angstroms; forming a rigid ceiling layer over the second sacrificial layer; and forming a support structure which provides support to both the movable layer and the rigid ceiling layer.
68. The method of Claim 67, additionally comprising removing the upper and lower sacrificial layers via a release etch.
69. The method of Claim 67, wherein forming a support structure comprises forming a lower support segment underlying the movable layer and forming an upper support segment overlying the movable layer.
70. The method of Claim 69, wherein forming the upper support segment comprises: patterning the second sacrificial layer to form an aperture extending through the second sacrificial layer; and depositing a support layer over the patterned second sacrificial layer.
71. The method of Claim 70, wherein the support material comprises a self- planarizing material.
72. The method of Claim 71, additionally comprising etching back the support layer to a height below the upper surface of the patterned second sacrificial layer.
73. The method of Claim 70, wherein the support layer overlying substantially flat portions of the upper sacrificial layer remains in the finished MEMS device and serves as the rigid ceiling layer.
74. The method of Claim 73, wherein patterning the second sacrificial layer to form apertures comprises forming tapered apertures, and wherein the support layer is conformal over the patterned second sacrificial layer.
75. The method of Claim 67, wherein forming the support structure comprises: removing portions of the upper sacrificial layer, the movable layer, and the lower sacrificial layer to form a via; and depositing a layer of self-planarizing material to fill the via, thereby forming a support structure which extends through an aperture in the movable layer and encloses at least a portion of the movable layer.
76. The method of Claim 71, wherein the rigid ceiling layer is formed prior to forming the via, additionally comprising patterning the rigid ceiling layer to remove a portion of the rigid ceiling layer overlying the via.
77. The method of Claim 67, wherein the thickness of the upper sacrificial material is between 50 and 200 angstroms.
78. The method of Claim 67, wherein forming the movable layer comprises: forming a reflective sublayer over the first sacrificial layer; and forming a mechanical sublayer over the reflective sublayer.
79. The method of Claim 67, wherein forming the movable layer comprises: depositing a layer of reflective material over the lower sacrificial layer; patterning the layer of reflective material to form independent electrode members; depositing an intermediate layer of sacrificial material over the isolated electrode members; patterning the intermediate layer of sacrificial material to expose a portion of the isolated electrode members; and depositing a mechanical layer over the patterned sacrificial layer.
80. The method of Claim 67, wherein the MEMS device comprises an interferometric modulator.
81. A MEMS device formed by the method of Claim 67.
82. A MEMS device, comprising: a movable layer spaced apart from a substrate'by a lower air gap; a rigid ceiling layer spaced apart from the movable layer by an upper air gap, wherein the height of the upper air gap is between 30 and 500 angstroms; and a support structure which provides support to both the movable layer and the rigid ceiling layer.
83. The MEMS device of Claim 82, wherein the support structure comprises an upper support segment overlying the movable layer and a lower support segment underlying the movable layer.
84. The MEMS device of Claim 83, wherein the upper support segment comprises a self-planarizing material.
85. The MEMS device of Claim 83, wherein the rigid ceiling layer and the upper support segment comprise a single structure spaced apart from the movable layer in the upper air gap in a ceiling region and in contact with the movable layer in a support region.
86. The MEMS device of Claim 85, wherein the single support structure comprises a depression having a tapered sidewall in the support region.
87. The MEMS device of Claim 82, wherein the support structure comprises a unitary support structure extending through an aperture in the movable layer and enclosing at least a portion of the movable layer.
88. The MEMS device of Claim 87, wherein the unitary support structure extends through an aperture in the rigid ceiling layer and encloses at least a portion of the rigid ceiling layer.
89. The MEMS device of Claim 82, wherein the height of the upper air gap is between 50 and 200 angstroms.
90. The MEMS device of Claim 82, wherein the movable layer comprises a reflective sublayer facing the electrode layer and a mechanical sublayer overlying the reflective sublayer.
91. The MEMS device of Claim 82, wherein the MEMS device comprises an interferometric modulator.
PCT/US2006/032691 2005-08-19 2006-08-17 Mems devices having support structures with substantially vertical sidewalls and methods for fabricating the same WO2007022528A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US71001905P 2005-08-19 2005-08-19
US60/710,019 2005-08-19

Publications (1)

Publication Number Publication Date
WO2007022528A1 true WO2007022528A1 (en) 2007-02-22

Family

ID=37451248

Family Applications (3)

Application Number Title Priority Date Filing Date
PCT/US2006/032516 WO2007022479A1 (en) 2005-08-19 2006-08-17 Methods for forming layers within a mems device to achieve a tapered edge
PCT/US2006/032691 WO2007022528A1 (en) 2005-08-19 2006-08-17 Mems devices having support structures with substantially vertical sidewalls and methods for fabricating the same
PCT/US2006/032511 WO2007022476A1 (en) 2005-08-19 2006-08-17 Mems device having support structures configured to minimize stress-related deformation and methods for fabricating same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
PCT/US2006/032516 WO2007022479A1 (en) 2005-08-19 2006-08-17 Methods for forming layers within a mems device to achieve a tapered edge

Family Applications After (1)

Application Number Title Priority Date Filing Date
PCT/US2006/032511 WO2007022476A1 (en) 2005-08-19 2006-08-17 Mems device having support structures configured to minimize stress-related deformation and methods for fabricating same

Country Status (7)

Country Link
US (9) US7747109B2 (en)
EP (3) EP2495212A3 (en)
JP (6) JP5129136B2 (en)
KR (2) KR20080055849A (en)
CN (3) CN101258101A (en)
TW (3) TWI435372B (en)
WO (3) WO2007022479A1 (en)

Families Citing this family (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100703140B1 (en) 1998-04-08 2007-04-05 이리다임 디스플레이 코포레이션 Interferometric modulation and its manufacturing method
US8928967B2 (en) 1998-04-08 2015-01-06 Qualcomm Mems Technologies, Inc. Method and device for modulating light
DE59913000D1 (en) * 1998-10-26 2011-03-17 Studer Professional Audio Gmbh DEVICE FOR ENTERING VALUES WITH A SCREEN
TWI289708B (en) * 2002-12-25 2007-11-11 Qualcomm Mems Technologies Inc Optical interference type color display
US7342705B2 (en) 2004-02-03 2008-03-11 Idc, Llc Spatial light modulator with integrated optical compensation structure
JP4554978B2 (en) * 2004-04-21 2010-09-29 Okiセミコンダクタ株式会社 Method for manufacturing MEMS device and bonded substrate for manufacturing MEMS device
US7807488B2 (en) 2004-09-27 2010-10-05 Qualcomm Mems Technologies, Inc. Display element having filter material diffused in a substrate of the display element
US7349141B2 (en) * 2004-09-27 2008-03-25 Idc, Llc Method and post structures for interferometric modulation
US7561323B2 (en) * 2004-09-27 2009-07-14 Idc, Llc Optical films for directing light towards active areas of displays
US7372613B2 (en) 2004-09-27 2008-05-13 Idc, Llc Method and device for multistate interferometric light modulation
US20060066586A1 (en) * 2004-09-27 2006-03-30 Gally Brian J Touchscreens for displays
US7944599B2 (en) 2004-09-27 2011-05-17 Qualcomm Mems Technologies, Inc. Electromechanical device with optical function separated from mechanical and electrical function
DE102005002967B4 (en) * 2005-01-21 2011-03-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Method for producing a component with a movable section
KR101423321B1 (en) * 2005-07-22 2014-07-30 퀄컴 엠이엠에스 테크놀로지스, 인크. Electomechanical devices having support structures and methods of fabricating the same
EP2495212A3 (en) * 2005-07-22 2012-10-31 QUALCOMM MEMS Technologies, Inc. Mems devices having support structures and methods of fabricating the same
US8043950B2 (en) * 2005-10-26 2011-10-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7630114B2 (en) * 2005-10-28 2009-12-08 Idc, Llc Diffusion barrier layer for MEMS devices
US7795061B2 (en) 2005-12-29 2010-09-14 Qualcomm Mems Technologies, Inc. Method of creating MEMS device cavities by a non-etching process
US7916980B2 (en) 2006-01-13 2011-03-29 Qualcomm Mems Technologies, Inc. Interconnect structure for MEMS device
US7382515B2 (en) * 2006-01-18 2008-06-03 Qualcomm Mems Technologies, Inc. Silicon-rich silicon nitrides as etch stops in MEMS manufacture
US7547568B2 (en) 2006-02-22 2009-06-16 Qualcomm Mems Technologies, Inc. Electrical conditioning of MEMS device and insulating layer thereof
US7450295B2 (en) 2006-03-02 2008-11-11 Qualcomm Mems Technologies, Inc. Methods for producing MEMS with protective coatings using multi-component sacrificial layers
US7711239B2 (en) 2006-04-19 2010-05-04 Qualcomm Mems Technologies, Inc. Microelectromechanical device and method utilizing nanoparticles
US7623287B2 (en) * 2006-04-19 2009-11-24 Qualcomm Mems Technologies, Inc. Non-planar surface structures and process for microelectromechanical systems
WO2007136706A1 (en) * 2006-05-17 2007-11-29 Qualcomm Mems Technologies Inc. Desiccant in a mems device
US7405863B2 (en) * 2006-06-01 2008-07-29 Qualcomm Mems Technologies, Inc. Patterning of mechanical layer in MEMS to reduce stresses at supports
EP1943551A2 (en) 2006-10-06 2008-07-16 Qualcomm Mems Technologies, Inc. Light guide
JP2010510530A (en) 2006-10-06 2010-04-02 クォルコム・メムズ・テクノロジーズ・インコーポレーテッド Optical loss structure integrated into lighting equipment
US7545552B2 (en) * 2006-10-19 2009-06-09 Qualcomm Mems Technologies, Inc. Sacrificial spacer process and resultant structure for MEMS support structure
US20080124823A1 (en) * 2006-11-24 2008-05-29 United Microdisplay Optronics Corp. Method of fabricating patterned layer using lift-off process
US7706042B2 (en) 2006-12-20 2010-04-27 Qualcomm Mems Technologies, Inc. MEMS device and interconnects for same
US7916378B2 (en) * 2007-03-08 2011-03-29 Qualcomm Mems Technologies, Inc. Method and apparatus for providing a light absorbing mask in an interferometric modulator display
US7733552B2 (en) * 2007-03-21 2010-06-08 Qualcomm Mems Technologies, Inc MEMS cavity-coating layers and methods
KR20100016195A (en) * 2007-04-04 2010-02-12 퀄컴 엠이엠스 테크놀로지스, 인크. Eliminate release etch attack by interface modification in sacrificial layers
US7719752B2 (en) 2007-05-11 2010-05-18 Qualcomm Mems Technologies, Inc. MEMS structures, methods of fabricating MEMS components on separate substrates and assembly of same
JP5135879B2 (en) * 2007-05-21 2013-02-06 富士電機株式会社 Method for manufacturing silicon carbide semiconductor device
US7625825B2 (en) * 2007-06-14 2009-12-01 Qualcomm Mems Technologies, Inc. Method of patterning mechanical layer for MEMS structures
US8068268B2 (en) * 2007-07-03 2011-11-29 Qualcomm Mems Technologies, Inc. MEMS devices having improved uniformity and methods for making them
US7563720B2 (en) * 2007-07-23 2009-07-21 Honeywell International Inc. Boron doped shell for MEMS device
CN101755232A (en) * 2007-07-25 2010-06-23 高通Mems科技公司 Mems display devices and methods of fabricating the same
JP2011501874A (en) * 2007-09-14 2011-01-13 クォルコム・メムズ・テクノロジーズ・インコーポレーテッド Etching process used in MEMS manufacturing
JP5259720B2 (en) * 2007-09-28 2013-08-07 クォルコム・メムズ・テクノロジーズ・インコーポレーテッド Multi-component sacrificial structure
US8435838B2 (en) * 2007-09-28 2013-05-07 Qualcomm Mems Technologies, Inc. Optimization of desiccant usage in a MEMS package
US8368100B2 (en) 2007-11-14 2013-02-05 Cree, Inc. Semiconductor light emitting diodes having reflective structures and methods of fabricating same
US8068710B2 (en) 2007-12-07 2011-11-29 Qualcomm Mems Technologies, Inc. Decoupled holographic film and diffuser
KR20100093590A (en) * 2007-12-17 2010-08-25 퀄컴 엠이엠스 테크놀로지스, 인크. Photovoltaics with interferometric back side masks
WO2009082812A1 (en) * 2007-12-28 2009-07-09 The Royal Institution For The Advancement Of Learning/Mcgill University Direct contact heat control of micro structures
DE102008007345B4 (en) 2008-02-04 2016-10-06 Robert Bosch Gmbh Micromechanical component and method for producing the same
US7863079B2 (en) 2008-02-05 2011-01-04 Qualcomm Mems Technologies, Inc. Methods of reducing CD loss in a microelectromechanical device
WO2009142960A1 (en) * 2008-05-22 2009-11-26 Fujifilm Corporation Etching piezoelectric material
US7851239B2 (en) 2008-06-05 2010-12-14 Qualcomm Mems Technologies, Inc. Low temperature amorphous silicon sacrificial layer for controlled adhesion in MEMS devices
US20100013060A1 (en) * 2008-06-22 2010-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a conductive trench in a silicon wafer and silicon wafer comprising such trench
US7782522B2 (en) * 2008-07-17 2010-08-24 Qualcomm Mems Technologies, Inc. Encapsulation methods for interferometric modulator and MEMS devices
US7855826B2 (en) * 2008-08-12 2010-12-21 Qualcomm Mems Technologies, Inc. Method and apparatus to reduce or eliminate stiction and image retention in interferometric modulator devices
US8203776B2 (en) * 2008-11-26 2012-06-19 Texas Instruments Incorporated Planarity of pixel mirrors
US8066893B2 (en) * 2008-12-23 2011-11-29 Hitachi Global Storage Technologies Netherlands B.V. Method for creating a magnetic write pole having a stepped perpendicular pole via CMP-assisted liftoff
US8410690B2 (en) 2009-02-13 2013-04-02 Qualcomm Mems Technologies, Inc. Display device with desiccant
US8722537B2 (en) * 2009-03-19 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-sacrificial layer and method
US8741715B2 (en) * 2009-04-29 2014-06-03 Cree, Inc. Gate electrodes for millimeter-wave operation and methods of fabrication
KR20120090771A (en) 2009-05-29 2012-08-17 퀄컴 엠이엠에스 테크놀로지스, 인크. Illumination devices and methods of fabrication thereof
TW201102340A (en) * 2009-07-10 2011-01-16 Nat Univ Tsing Hua A method for fabricating a multilayer microstructure with balancing residual stress capability
DE102010000666A1 (en) * 2010-01-05 2011-07-07 Robert Bosch GmbH, 70469 Component with a micromechanical microphone structure and method for its production
US8547626B2 (en) * 2010-03-25 2013-10-01 Qualcomm Mems Technologies, Inc. Mechanical layer and methods of shaping the same
KR20130100232A (en) * 2010-04-09 2013-09-10 퀄컴 엠이엠에스 테크놀로지스, 인크. Mechanical layer of an electromechanical device and methods of forming the same
MX2012012033A (en) 2010-04-16 2013-05-20 Flex Lighting Ii Llc Illumination device comprising a film-based lightguide.
EP2558776B1 (en) 2010-04-16 2022-09-14 Azumo, Inc. Front illumination device comprising a film-based lightguide
US8848294B2 (en) 2010-05-20 2014-09-30 Qualcomm Mems Technologies, Inc. Method and structure capable of changing color saturation
JP5707780B2 (en) * 2010-08-25 2015-04-30 セイコーエプソン株式会社 Wavelength variable interference filter, optical module, and optical analyzer
US9070851B2 (en) 2010-09-24 2015-06-30 Seoul Semiconductor Co., Ltd. Wafer-level light emitting diode package and method of fabricating the same
US20120194897A1 (en) * 2011-01-27 2012-08-02 Qualcomm Mems Technologies, Inc. Backside patterning to form support posts in an electromechanical device
US8461655B2 (en) * 2011-03-31 2013-06-11 Infineon Technologies Ag Micromechanical sound transducer having a membrane support with tapered surface
US8963159B2 (en) 2011-04-04 2015-02-24 Qualcomm Mems Technologies, Inc. Pixel via and methods of forming the same
US9134527B2 (en) 2011-04-04 2015-09-15 Qualcomm Mems Technologies, Inc. Pixel via and methods of forming the same
US8718432B1 (en) * 2011-04-21 2014-05-06 Octrolix Bv Method for forming a spotsize converter
US9268089B2 (en) * 2011-04-21 2016-02-23 Octrolix Bv Layer having a non-linear taper and method of fabrication
US8659816B2 (en) 2011-04-25 2014-02-25 Qualcomm Mems Technologies, Inc. Mechanical layer and methods of making the same
JP6023188B2 (en) * 2011-06-27 2016-11-09 シン フイルム エレクトロニクス エイエスエイ Reduction of short circuits in electronic components including laminates provided on flexible substrates
US9134529B2 (en) 2011-07-21 2015-09-15 Pixronix, Inc. Display device with tapered light reflecting layer and manufacturing method for same
JP5879886B2 (en) * 2011-10-03 2016-03-08 セイコーエプソン株式会社 Virtual image display device and manufacturing method thereof
US8733871B2 (en) * 2011-10-25 2014-05-27 Stmicroelectronics Pte Ltd. AlCu hard mask process
US8803861B2 (en) * 2012-02-23 2014-08-12 Qualcomm Mems Technologies, Inc. Electromechanical systems device
WO2014061906A1 (en) * 2012-10-15 2014-04-24 서울바이오시스 주식회사 Method for separating growth substrate, method for manufacturing light-emitting diode, and light-emitting diode manufactured using methods
US9013012B2 (en) * 2013-03-05 2015-04-21 Stmicroelectronics Pte. Ltd. Self-sealing membrane for MEMS devices
US9176317B2 (en) * 2013-03-13 2015-11-03 Pixtronix, Inc. Display apparatus incorporating dual-level shutters
US9134530B2 (en) 2013-03-13 2015-09-15 Pixtronix, Inc. Display apparatus incorporating dual-level shutters
US9142452B2 (en) * 2013-07-22 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal scheme
US9510103B2 (en) * 2013-09-09 2016-11-29 Audio Pixels Ltd. Microelectromechanical apparatus for generating a physical effect
US10322481B2 (en) 2014-03-06 2019-06-18 Infineon Technologies Ag Support structure and method of forming a support structure
MY168564A (en) * 2014-05-20 2018-11-13 Univ Kebangsaan Malaysia Ukm Electrodynamics (mems) micro speaker
JP2016139015A (en) * 2015-01-28 2016-08-04 セイコーエプソン株式会社 Mirror device, manufacturing method of mirror device, and image display apparatus
KR102266707B1 (en) * 2015-02-04 2021-06-22 삼성디스플레이 주식회사 Method of manufacturing touch panel
US9613848B2 (en) 2015-02-12 2017-04-04 Infineon Technologies Ag Dielectric structures with negative taper and methods of formation thereof
US9715102B2 (en) 2015-06-11 2017-07-25 Snaptrack, Inc. Electromechanical systems device with hinges for reducing tilt instability
US10433067B2 (en) * 2015-07-22 2019-10-01 Audio Pixels Ltd. DSR speaker elements and methods of manufacturing thereof
CN205944139U (en) 2016-03-30 2017-02-08 首尔伟傲世有限公司 Ultraviolet ray light -emitting diode spare and contain this emitting diode module
SG11201810376PA (en) 2016-05-27 2018-12-28 Hamamatsu Photonics Kk Production method for fabry-perot interference filter
JP6341959B2 (en) 2016-05-27 2018-06-13 浜松ホトニクス株式会社 Manufacturing method of Fabry-Perot interference filter
FI3505987T3 (en) * 2016-08-24 2023-12-19 Hamamatsu Photonics Kk Fabry-perot interference filter
WO2018037725A1 (en) * 2016-08-24 2018-03-01 浜松ホトニクス株式会社 Fabry-perot interference filter
US10800649B2 (en) 2016-11-28 2020-10-13 Analog Devices International Unlimited Company Planar processing of suspended microelectromechanical systems (MEMS) devices
WO2019119127A1 (en) * 2017-12-19 2019-06-27 The University Of British Columbia Layered structure and method for fabricating same
EP3803102A4 (en) 2018-05-30 2022-04-20 Oceana Energy Company Hydroelectric energy systems and methods
CN108892098B (en) * 2018-06-20 2020-09-29 青岛科技大学 Deflection-controllable MEMS micro reflector structure
CN113631840A (en) * 2018-12-07 2021-11-09 海洋能源公司 Orbital magnetic gear and related system
CN109589798B (en) * 2018-12-12 2021-05-25 南方科技大学 Method and apparatus for measuring threshold flux of separation membrane
US11256083B2 (en) * 2018-12-27 2022-02-22 Texas Instruments Incorporated MEMS electrostatic actuator with linearized displacements
JP2020112592A (en) * 2019-01-08 2020-07-27 ソニーセミコンダクタソリューションズ株式会社 Light reflection element and spatial light modulator
KR102052367B1 (en) * 2019-02-20 2020-01-08 김흥필 Generator using variable rotary weight
US10843920B2 (en) 2019-03-08 2020-11-24 Analog Devices International Unlimited Company Suspended microelectromechanical system (MEMS) devices
US11131595B2 (en) * 2019-07-26 2021-09-28 Raytheon Technologies Corporation Pressure sensing device and method for using the same
DE102020213772A1 (en) 2020-11-03 2022-05-05 Robert Bosch Gesellschaft mit beschränkter Haftung micromechanical component
CN112551475B (en) * 2021-02-20 2021-04-20 甬矽电子(宁波)股份有限公司 Chip packaging structure, manufacturing method thereof and electronic equipment
US20220365339A1 (en) * 2021-05-11 2022-11-17 II-VI Delaware, Inc Optical Package Having Tunable Filter
CN113820852B (en) * 2021-08-30 2023-10-17 安徽中科米微电子技术有限公司 High duty ratio MEMS micro-mirror, micro-mirror array and preparation method
CN113820851B (en) * 2021-08-30 2023-10-17 安徽中科米微电子技术有限公司 Double-shaft vertical comb MEMS (micro electro mechanical systems) micromirror, micromirror array and preparation method
CN113873404A (en) * 2021-09-29 2021-12-31 瑞声声学科技(深圳)有限公司 Vibrating diaphragm, preparation method thereof and MEMS (micro-electromechanical systems) microphone

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030036215A1 (en) * 2001-07-20 2003-02-20 Reflectivity, Inc., A Delaware Corporation MEMS device made of transition metal-dielectric oxide materials
US20030119221A1 (en) * 2001-11-09 2003-06-26 Coventor, Inc. Trilayered beam MEMS device and related methods
US20040051929A1 (en) * 1994-05-05 2004-03-18 Sampsell Jeffrey Brian Separable modulator

Family Cites Families (495)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR1603131A (en) 1968-07-05 1971-03-22
US3728030A (en) * 1970-06-22 1973-04-17 Cary Instruments Polarization interferometer
DE2336930A1 (en) 1973-07-20 1975-02-06 Battelle Institut E V INFRARED MODULATOR (II.)
US4190488A (en) 1978-08-21 1980-02-26 International Business Machines Corporation Etching method using noble gas halides
NL8001281A (en) 1980-03-04 1981-10-01 Philips Nv DISPLAY DEVICE.
US4377324A (en) 1980-08-04 1983-03-22 Honeywell Inc. Graded index Fabry-Perot optical filter device
US4592628A (en) 1981-07-01 1986-06-03 International Business Machines Mirror array light valve
NL8103377A (en) 1981-07-16 1983-02-16 Philips Nv DISPLAY DEVICE.
NL8200354A (en) 1982-02-01 1983-09-01 Philips Nv PASSIVE DISPLAY.
JPS58158914A (en) 1982-03-16 1983-09-21 Semiconductor Res Found Semiconductor manufacturing device
US4500171A (en) 1982-06-02 1985-02-19 Texas Instruments Incorporated Process for plastic LCD fill hole sealing
US4482213A (en) 1982-11-23 1984-11-13 Texas Instruments Incorporated Perimeter seal reinforcement holes for plastic LCDs
US4498953A (en) * 1983-07-27 1985-02-12 At&T Bell Laboratories Etching techniques
US4550684A (en) 1983-08-11 1985-11-05 Genus, Inc. Cooled optical window for semiconductor wafer heating
JPS60159731A (en) 1984-01-30 1985-08-21 Sharp Corp Liquid crystal display body
US5633652A (en) 1984-02-17 1997-05-27 Canon Kabushiki Kaisha Method for driving optical modulation device
US4863245A (en) 1984-02-28 1989-09-05 Exxon Research And Engineering Company Superlattice electrooptic devices
US4550694A (en) * 1984-05-11 1985-11-05 Evans Cooling Associates Process and apparatus for cooling internal combustion engines
US4710732A (en) * 1984-07-31 1987-12-01 Texas Instruments Incorporated Spatial light modulator and method
US4566935A (en) * 1984-07-31 1986-01-28 Texas Instruments Incorporated Spatial light modulator and method
US5061049A (en) 1984-08-31 1991-10-29 Texas Instruments Incorporated Spatial light modulator and method
US4560435A (en) 1984-10-01 1985-12-24 International Business Machines Corporation Composite back-etch/lift-off stencil for proximity effect minimization
US5172262A (en) 1985-10-30 1992-12-15 Texas Instruments Incorporated Spatial light modulator and method
GB2186708B (en) 1985-11-26 1990-07-11 Sharp Kk A variable interferometric device and a process for the production of the same
US5835255A (en) * 1986-04-23 1998-11-10 Etalon, Inc. Visible spectrum modulator arrays
GB8610129D0 (en) 1986-04-25 1986-05-29 Secr Defence Electro-optical device
US4786128A (en) 1986-12-02 1988-11-22 Quantum Diagnostics, Ltd. Device for modulating and reflecting electromagnetic radiation employing electro-optic layer having a variable index of refraction
NL8701138A (en) 1987-05-13 1988-12-01 Philips Nv ELECTROSCOPIC IMAGE DISPLAY.
US4811493A (en) 1987-08-05 1989-03-14 Burgio Joseph T Jr Dryer-cooler apparatus
US4900136A (en) 1987-08-11 1990-02-13 North American Philips Corporation Method of metallizing silica-containing gel and solid state light modulator incorporating the metallized gel
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
US4956619A (en) 1988-02-19 1990-09-11 Texas Instruments Incorporated Spatial light modulator
JPH0242761A (en) 1988-04-20 1990-02-13 Matsushita Electric Ind Co Ltd Manufacture of active matrix substrate
US4949783A (en) 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
US4880493A (en) 1988-06-16 1989-11-14 The United States Of America As Represented By The United States Department Of Energy Electronic-carrier-controlled photochemical etching process in semiconductor device fabrication
US5028939A (en) 1988-08-23 1991-07-02 Texas Instruments Incorporated Spatial light modulator system
JP2700903B2 (en) 1988-09-30 1998-01-21 シャープ株式会社 Liquid crystal display
JP2731855B2 (en) 1989-02-14 1998-03-25 アネルバ株式会社 Low pressure vapor phase growth equipment
US5192946A (en) 1989-02-27 1993-03-09 Texas Instruments Incorporated Digitized color video display system
US5218472A (en) 1989-03-22 1993-06-08 Alcan International Limited Optical interference structures incorporating porous films
US4900395A (en) 1989-04-07 1990-02-13 Fsi International, Inc. HF gas etching of wafers in an acid processor
US5022745A (en) 1989-09-07 1991-06-11 Massachusetts Institute Of Technology Electrostatically deformable single crystal dielectrically coated mirror
US4954789A (en) 1989-09-28 1990-09-04 Texas Instruments Incorporated Spatial light modulator
US5124834A (en) 1989-11-16 1992-06-23 General Electric Company Transferrable, self-supporting pellicle for elastomer light valve displays and method for making the same
US5279990A (en) 1990-03-02 1994-01-18 Motorola, Inc. Method of making a small geometry contact using sidewall spacers
CH682523A5 (en) 1990-04-20 1993-09-30 Suisse Electronique Microtech A modulation matrix addressed light.
GB9012099D0 (en) 1990-05-31 1990-07-18 Kodak Ltd Optical article for multicolour imaging
US5099353A (en) 1990-06-29 1992-03-24 Texas Instruments Incorporated Architecture and process for integrating DMD with control circuit substrates
US5216537A (en) 1990-06-29 1993-06-01 Texas Instruments Incorporated Architecture and process for integrating DMD with control circuit substrates
US5142405A (en) 1990-06-29 1992-08-25 Texas Instruments Incorporated Bistable dmd addressing circuit and method
US5083857A (en) * 1990-06-29 1992-01-28 Texas Instruments Incorporated Multi-level deformable mirror device
US5018256A (en) 1990-06-29 1991-05-28 Texas Instruments Incorporated Architecture and process for integrating DMD with control circuit substrates
US5526688A (en) 1990-10-12 1996-06-18 Texas Instruments Incorporated Digital flexure beam accelerometer and method
US5192395A (en) 1990-10-12 1993-03-09 Texas Instruments Incorporated Method of making a digital flexure beam accelerometer
US5044736A (en) 1990-11-06 1991-09-03 Motorola, Inc. Configurable optical filter or display
US5602671A (en) 1990-11-13 1997-02-11 Texas Instruments Incorporated Low surface energy passivation layer for micromechanical devices
US5233459A (en) 1991-03-06 1993-08-03 Massachusetts Institute Of Technology Electric display device
US5358806A (en) 1991-03-19 1994-10-25 Hitachi, Ltd. Phase shift mask, method of correcting the same and apparatus for carrying out the method
US5226099A (en) 1991-04-26 1993-07-06 Texas Instruments Incorporated Digital micromirror shutter device
US5287215A (en) * 1991-07-17 1994-02-15 Optron Systems, Inc. Membrane light modulation systems
US5168406A (en) 1991-07-31 1992-12-01 Texas Instruments Incorporated Color deformable mirror device and method for manufacture
CH680534A5 (en) 1991-09-16 1992-09-15 Landis & Gyr Betriebs Ag Fabry=perot sensor for optical parameter measurement - uses two opposing mirrors respectively attached to deflected measuring membrane and transparent plate
US5181556A (en) 1991-09-20 1993-01-26 Intevac, Inc. System for substrate cooling in an evacuated environment
US5233456A (en) 1991-12-20 1993-08-03 Texas Instruments Incorporated Resonant mirror and method of manufacture
US5231532A (en) 1992-02-05 1993-07-27 Texas Instruments Incorporated Switchable resonant filter for optical radiation
US5212582A (en) 1992-03-04 1993-05-18 Texas Instruments Incorporated Electrostatically controlled beam steering device and method
US5312513A (en) 1992-04-03 1994-05-17 Texas Instruments Incorporated Methods of forming multiple phase light modulators
US5190637A (en) * 1992-04-24 1993-03-02 Wisconsin Alumni Research Foundation Formation of microstructures by multiple level deep X-ray lithography with sacrificial metal layers
US5311360A (en) 1992-04-28 1994-05-10 The Board Of Trustees Of The Leland Stanford, Junior University Method and apparatus for modulating a light beam
US6219015B1 (en) 1992-04-28 2001-04-17 The Board Of Directors Of The Leland Stanford, Junior University Method and apparatus for using an array of grating light valves to produce multicolor optical images
TW245772B (en) 1992-05-19 1995-04-21 Akzo Nv
JPH06214169A (en) 1992-06-08 1994-08-05 Texas Instr Inc <Ti> Controllable optical and periodic surface filter
US5347377A (en) 1992-06-17 1994-09-13 Eastman Kodak Company Planar waveguide liquid crystal variable retarder
DE69332407T2 (en) 1992-06-17 2003-06-18 Harris Corp Manufacture of semiconductor devices on SOI substrates
US5818095A (en) 1992-08-11 1998-10-06 Texas Instruments Incorporated High-yield spatial light modulator with light blocking layer
US5345328A (en) 1992-08-12 1994-09-06 Sandia Corporation Tandem resonator reflectance modulator
US5293272A (en) 1992-08-24 1994-03-08 Physical Optics Corporation High finesse holographic fabry-perot etalon and method of fabricating
US5737050A (en) 1992-08-25 1998-04-07 Matsushita Electric Industrial Co., Ltd. Light valve having reduced reflected light, high brightness and high contrast
US5488505A (en) 1992-10-01 1996-01-30 Engle; Craig D. Enhanced electrostatic shutter mosaic modulator
US5312512A (en) 1992-10-23 1994-05-17 Ncr Corporation Global planarization using SOG and CMP
US6674562B1 (en) 1994-05-05 2004-01-06 Iridigm Display Corporation Interferometric modulation of radiation
US5461411A (en) 1993-03-29 1995-10-24 Texas Instruments Incorporated Process and architecture for digital micromirror printer
US5559358A (en) 1993-05-25 1996-09-24 Honeywell Inc. Opto-electro-mechanical device or filter, process for making, and sensors made therefrom
US6199874B1 (en) 1993-05-26 2001-03-13 Cornell Research Foundation Inc. Microelectromechanical accelerometer for automotive applications
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
US5489952A (en) 1993-07-14 1996-02-06 Texas Instruments Incorporated Method and device for multi-format television
US5673139A (en) 1993-07-19 1997-09-30 Medcom, Inc. Microelectromechanical television scanning device and method for making the same
US5526172A (en) 1993-07-27 1996-06-11 Texas Instruments Incorporated Microminiature, monolithic, variable electrical signal processor and apparatus including same
US5629790A (en) 1993-10-18 1997-05-13 Neukermans; Armand P. Micromachined torsional scanner
US5447431A (en) 1993-10-29 1995-09-05 Brooks Automation, Inc. Low-gas temperature stabilization system
EP0733130A4 (en) 1993-12-17 1997-04-02 Brooks Automation Inc Apparatus for heating or cooling wafers
US5583688A (en) 1993-12-21 1996-12-10 Texas Instruments Incorporated Multi-level digital micromirror device
US5500761A (en) 1994-01-27 1996-03-19 At&T Corp. Micromechanical modulator
US5665997A (en) 1994-03-31 1997-09-09 Texas Instruments Incorporated Grated landing area to eliminate sticking of micro-mechanical devices
US5690839A (en) 1994-05-04 1997-11-25 Daewoo Electronics Co., Ltd. Method for forming an array of thin film actuated mirrors
US20010003487A1 (en) 1996-11-05 2001-06-14 Mark W. Miles Visible spectrum modulator arrays
US6040937A (en) 1994-05-05 2000-03-21 Etalon, Inc. Interferometric modulation
US7776631B2 (en) 1994-05-05 2010-08-17 Qualcomm Mems Technologies, Inc. MEMS device and method of forming a MEMS device
US6680792B2 (en) 1994-05-05 2004-01-20 Iridigm Display Corporation Interferometric modulation of radiation
US7550794B2 (en) 2002-09-20 2009-06-23 Idc, Llc Micromechanical systems device comprising a displaceable electrode and a charge-trapping layer
US7123216B1 (en) 1994-05-05 2006-10-17 Idc, Llc Photonic MEMS and structures
US7297471B1 (en) 2003-04-15 2007-11-20 Idc, Llc Method for manufacturing an array of interferometric modulators
KR0135391B1 (en) 1994-05-28 1998-04-22 김광호 Self aligned thin film transistor for lcd and manufacture
US5454906A (en) 1994-06-21 1995-10-03 Texas Instruments Inc. Method of providing sacrificial spacer for micro-mechanical devices
JPH0822024A (en) 1994-07-05 1996-01-23 Mitsubishi Electric Corp Active matrix substrate and its production
US5485304A (en) * 1994-07-29 1996-01-16 Texas Instruments, Inc. Support posts for micro-mechanical devices
US5636052A (en) 1994-07-29 1997-06-03 Lucent Technologies Inc. Direct view display based on a micromechanical modulation
US5656554A (en) 1994-07-29 1997-08-12 International Business Machines Corporation Semiconductor chip reclamation technique involving multiple planarization processes
US5561735A (en) 1994-08-30 1996-10-01 Vortek Industries Ltd. Rapid thermal processing apparatus and method
WO1996008031A1 (en) 1994-09-02 1996-03-14 Dabbaj Rad H Reflective light valve modulator
US6053617A (en) 1994-09-23 2000-04-25 Texas Instruments Incorporated Manufacture method for micromechanical devices
US5619059A (en) 1994-09-28 1997-04-08 National Research Council Of Canada Color deformable mirror device having optical thin film interference color coatings
US5526951A (en) * 1994-09-30 1996-06-18 Texas Instruments Incorporated Fabrication method for digital micro-mirror devices using low temperature CVD
JP3435850B2 (en) 1994-10-28 2003-08-11 株式会社デンソー Semiconductor dynamic quantity sensor and method of manufacturing the same
US5703728A (en) * 1994-11-02 1997-12-30 Texas Instruments Incorporated Support post architecture for micromechanical devices
US5650881A (en) 1994-11-02 1997-07-22 Texas Instruments Incorporated Support post architecture for micromechanical devices
US5552924A (en) 1994-11-14 1996-09-03 Texas Instruments Incorporated Micromechanical device having an improved beam
US5474865A (en) 1994-11-21 1995-12-12 Sematech, Inc. Globally planarized binary optical mask using buried absorbers
JPH08153700A (en) 1994-11-25 1996-06-11 Semiconductor Energy Lab Co Ltd Anisotropic etching of electrically conductive coating
US5610624A (en) 1994-11-30 1997-03-11 Texas Instruments Incorporated Spatial light modulator with reduced possibility of an on state defect
US5726480A (en) 1995-01-27 1998-03-10 The Regents Of The University Of California Etchants for use in micromachining of CMOS Microaccelerometers and microelectromechanical devices and method of making the same
US5535047A (en) 1995-04-18 1996-07-09 Texas Instruments Incorporated Active yoke hidden hinge digital micromirror device
US5835256A (en) 1995-06-19 1998-11-10 Reflectivity, Inc. Reflective spatial light modulator with encapsulated micro-mechanical elements
US6849471B2 (en) 2003-03-28 2005-02-01 Reflectivity, Inc. Barrier layers for microelectromechanical systems
US6046840A (en) 1995-06-19 2000-04-04 Reflectivity, Inc. Double substrate reflective spatial light modulator with self-limiting micro-mechanical elements
US6969635B2 (en) * 2000-12-07 2005-11-29 Reflectivity, Inc. Methods for depositing, releasing and packaging micro-electromechanical devices on wafer substrates
US5578976A (en) 1995-06-22 1996-11-26 Rockwell International Corporation Micro electromechanical RF switch
JP3489273B2 (en) 1995-06-27 2004-01-19 株式会社デンソー Manufacturing method of semiconductor dynamic quantity sensor
JP3234854B2 (en) 1995-08-28 2001-12-04 アルプス電気株式会社 Multilayer filter and manufacturing method thereof
US5739945A (en) 1995-09-29 1998-04-14 Tayebati; Parviz Electrically tunable optical filter utilizing a deformable multi-layer mirror
US6324192B1 (en) 1995-09-29 2001-11-27 Coretek, Inc. Electrically tunable fabry-perot structure utilizing a deformable multi-layer mirror and method of making the same
US5825528A (en) 1995-12-26 1998-10-20 Lucent Technologies Inc. Phase-mismatched fabry-perot cavity micromechanical modulator
JP3799092B2 (en) * 1995-12-29 2006-07-19 アジレント・テクノロジーズ・インク Light modulation device and display device
US5771321A (en) 1996-01-04 1998-06-23 Massachusetts Institute Of Technology Micromechanical optical switch and flat panel display
US5638946A (en) 1996-01-11 1997-06-17 Northeastern University Micromechanical switch with insulated switch contact
US5967163A (en) 1996-01-30 1999-10-19 Abbott Laboratories Actuator and method
US5751469A (en) * 1996-02-01 1998-05-12 Lucent Technologies Inc. Method and apparatus for an improved micromechanical modulator
US5828485A (en) * 1996-02-07 1998-10-27 Light & Sound Design Ltd. Programmable light beam shape altering device using programmable micromirrors
JPH09237789A (en) 1996-02-29 1997-09-09 Toshiba Corp Shielding body as well as apparatus and method for heat treatment
US6624944B1 (en) 1996-03-29 2003-09-23 Texas Instruments Incorporated Fluorinated coating for an optical element
US5783864A (en) 1996-06-05 1998-07-21 Advanced Micro Devices, Inc. Multilevel interconnect structure of an integrated circuit having air gaps and pillars separating levels of interconnect
US5710656A (en) 1996-07-30 1998-01-20 Lucent Technologies Inc. Micromechanical optical modulator having a reduced-mass composite membrane
US5838484A (en) 1996-08-19 1998-11-17 Lucent Technologies Inc. Micromechanical optical modulator with linear operating characteristic
US5753418A (en) * 1996-09-03 1998-05-19 Taiwan Semiconductor Manufacturing Company Ltd 0.3 Micron aperture width patterning process
FI108581B (en) * 1996-10-03 2002-02-15 Valtion Teknillinen Electrically adjustable optical filter
JPH10163219A (en) 1996-11-05 1998-06-19 Texas Instr Inc <Ti> Furnace temperature sudden raising/lowering device using insertion shutter and manufacture of the device
DE19730715C1 (en) 1996-11-12 1998-11-26 Fraunhofer Ges Forschung Method of manufacturing a micromechanical relay
US5683649A (en) 1996-11-14 1997-11-04 Eastman Kodak Company Method for the fabrication of micro-electromechanical ceramic parts
EP1376684B1 (en) 1997-01-21 2008-11-26 Georgia Tech Research Corporation Fabrication of a semiconductor device with air gaps for ultra-low capacitance interconnections
JPH10260641A (en) 1997-03-17 1998-09-29 Nec Corp Mount structure for driver ic for flat panel type display device
US6104525A (en) * 1997-04-29 2000-08-15 Daewoo Electronics Co., Ltd. Array of thin film actuated mirrors and method for the manufacture thereof
DE69806846T2 (en) 1997-05-08 2002-12-12 Texas Instruments Inc Improvements for spatial light modulators
US5896796A (en) 1997-06-06 1999-04-27 Chih; Chen-Keng Device for punching holes in a bicycle rim
US6508977B2 (en) * 1997-06-26 2003-01-21 C. Edward Eckert Reinforced refractory shaft design for fluxing molten metal
US5914803A (en) 1997-07-01 1999-06-22 Daewoo Electronics Co., Ltd. Thin film actuated mirror array in an optical projection system and method for manufacturing the same
US5960158A (en) 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US5867302A (en) 1997-08-07 1999-02-02 Sandia Corporation Bistable microelectromechanical actuator
US6031653A (en) 1997-08-28 2000-02-29 California Institute Of Technology Low-cost thin-metal-film interference filters
US5978127A (en) 1997-09-09 1999-11-02 Zilog, Inc. Light phase grating device
WO1999013465A1 (en) 1997-09-09 1999-03-18 Hitachi, Ltd. Information recording medium
US5937541A (en) 1997-09-15 1999-08-17 Siemens Aktiengesellschaft Semiconductor wafer temperature measurement and control thereof using gas temperature measurement
US5994174A (en) 1997-09-29 1999-11-30 The Regents Of The University Of California Method of fabrication of display pixels driven by silicon thin film transistors
US6333556B1 (en) 1997-10-09 2001-12-25 Micron Technology, Inc. Insulating materials
US5822170A (en) 1997-10-09 1998-10-13 Honeywell Inc. Hydrophobic coating for reducing humidity effect in electrostatic actuators
US5972193A (en) 1997-10-10 1999-10-26 Industrial Technology Research Institute Method of manufacturing a planar coil using a transparency substrate
JP2001522072A (en) 1997-10-31 2001-11-13 テーウー エレクトロニクス カンパニー リミテッド Manufacturing method of thin film type optical path adjusting device
US6008123A (en) 1997-11-04 1999-12-28 Lucent Technologies Inc. Method for using a hardmask to form an opening in a semiconductor substrate
US5945980A (en) 1997-11-14 1999-08-31 Logitech, Inc. Touchpad with active plane for pen detection
US6028690A (en) 1997-11-26 2000-02-22 Texas Instruments Incorporated Reduced micromirror mirror gaps for improved contrast ratio
US5920421A (en) 1997-12-10 1999-07-06 Daewoo Electronics Co., Ltd. Thin film actuated mirror array in an optical projection system and method for manufacturing the same
US6438149B1 (en) 1998-06-26 2002-08-20 Coretek, Inc. Microelectromechanically tunable, confocal, vertical cavity surface emitting laser and fabry-perot filter
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6689211B1 (en) * 1999-04-09 2004-02-10 Massachusetts Institute Of Technology Etch stop layer system
EP0951068A1 (en) 1998-04-17 1999-10-20 Interuniversitair Micro-Elektronica Centrum Vzw Method of fabrication of a microstructure having an inside cavity
US6097145A (en) 1998-04-27 2000-08-01 Copytele, Inc. Aerogel-based phase transition flat panel display
US5943158A (en) 1998-05-05 1999-08-24 Lucent Technologies Inc. Micro-mechanical, anti-reflection, switched optical modulator array and fabrication method
US6160833A (en) 1998-05-06 2000-12-12 Xerox Corporation Blue vertical cavity surface emitting laser
AU3987299A (en) * 1998-05-12 1999-11-29 E-Ink Corporation Microencapsulated electrophoretic electrostatically-addressed media for drawing device applications
US6282010B1 (en) 1998-05-14 2001-08-28 Texas Instruments Incorporated Anti-reflective coatings for spatial light modulators
US6858080B2 (en) 1998-05-15 2005-02-22 Apollo Diamond, Inc. Tunable CVD diamond structures
US6046659A (en) * 1998-05-15 2000-04-04 Hughes Electronics Corporation Design and fabrication of broadband surface-micromachined micro-electro-mechanical switches for microwave and millimeter-wave applications
US6323982B1 (en) 1998-05-22 2001-11-27 Texas Instruments Incorporated Yield superstructure for digital micromirror device
KR100301803B1 (en) 1998-06-05 2001-09-22 김영환 Thin film transistor and its manufacturing method
US6295154B1 (en) 1998-06-05 2001-09-25 Texas Instruments Incorporated Optical switching apparatus
WO1999064950A1 (en) 1998-06-08 1999-12-16 Kaneka Corporation Resistor film touch panel used for liquid crystal display and liquid crystal display with the same
US6100477A (en) 1998-07-17 2000-08-08 Texas Instruments Incorporated Recessed etch RF micro-electro-mechanical switch
US5976902A (en) 1998-08-03 1999-11-02 Industrial Technology Research Institute Method of fabricating a fully self-aligned TFT-LCD
KR100281182B1 (en) 1998-08-10 2001-04-02 윤종용 Method for forming self-aligned contacts in semiconductor devices
US5943155A (en) 1998-08-12 1999-08-24 Lucent Techonolgies Inc. Mars optical modulators
US6710539B2 (en) 1998-09-02 2004-03-23 Micron Technology, Inc. Field emission devices having structure for reduced emitter tip to gate spacing
DE19938072A1 (en) 1998-09-09 2000-03-16 Siemens Ag Self-aligned structure, especially for semiconductor, micro-optical or micromechanical devices, is produced using an existing substrate structure as mask for back face resist layer exposure
US6249039B1 (en) 1998-09-10 2001-06-19 Bourns, Inc. Integrated inductive components and method of fabricating such components
JP4074714B2 (en) 1998-09-25 2008-04-09 富士フイルム株式会社 Array type light modulation element and flat display driving method
US6323834B1 (en) 1998-10-08 2001-11-27 International Business Machines Corporation Micromechanical displays and fabrication method
DE19847455A1 (en) 1998-10-15 2000-04-27 Bosch Gmbh Robert Silicon multi-layer etching, especially for micromechanical sensor production, comprises etching trenches down to buried separation layer, etching exposed separation layer and etching underlying silicon layer
JP3919954B2 (en) 1998-10-16 2007-05-30 富士フイルム株式会社 Array type light modulation element and flat display driving method
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6288824B1 (en) 1998-11-03 2001-09-11 Alex Kastalsky Display device based on grating electromechanical shutter
US6391675B1 (en) 1998-11-25 2002-05-21 Raytheon Company Method and apparatus for switching high frequency signals
KR100301050B1 (en) 1998-12-14 2002-06-20 윤종용 Method of manufacturing capacitor of semiconductor device including contacts
US6194323B1 (en) 1998-12-16 2001-02-27 Lucent Technologies Inc. Deep sub-micron metal etch with in-situ hard mask etch
US6284560B1 (en) 1998-12-18 2001-09-04 Eastman Kodak Company Method for producing co-planar surface structures
US6215221B1 (en) 1998-12-29 2001-04-10 Honeywell International Inc. Electrostatic/pneumatic actuators for active surfaces
US6358021B1 (en) * 1998-12-29 2002-03-19 Honeywell International Inc. Electrostatic actuators for active surfaces
US6210988B1 (en) 1999-01-15 2001-04-03 The Regents Of The University Of California Polycrystalline silicon germanium films for forming micro-electromechanical systems
JP3864204B2 (en) 1999-02-19 2006-12-27 株式会社日立プラズマパテントライセンシング Plasma display panel
JP4787412B2 (en) * 1999-03-30 2011-10-05 シチズンホールディングス株式会社 Method for forming thin film substrate and thin film substrate formed by the method
US6358854B1 (en) 1999-04-21 2002-03-19 Sandia Corporation Method to fabricate layered material compositions
KR100290852B1 (en) * 1999-04-29 2001-05-15 구자홍 method for etching
US6449084B1 (en) 1999-05-10 2002-09-10 Yanping Guo Optical deflector
US6342452B1 (en) 1999-05-20 2002-01-29 International Business Machines Corporation Method of fabricating a Si3N4/polycide structure using a dielectric sacrificial layer as a mask
JP3592136B2 (en) 1999-06-04 2004-11-24 キヤノン株式会社 Liquid discharge head, method of manufacturing the same, and method of manufacturing microelectromechanical device
US6201633B1 (en) 1999-06-07 2001-03-13 Xerox Corporation Micro-electromechanical based bistable color display sheets
US6359673B1 (en) 1999-06-21 2002-03-19 Eastman Kodak Company Sheet having a layer with different light modulating materials
US6525310B2 (en) 1999-08-05 2003-02-25 Microvision, Inc. Frequency tunable resonant scanner
WO2001014248A2 (en) 1999-08-24 2001-03-01 Knowles Electronics, Llc Assembly process for delicate silicon structures
KR100307490B1 (en) 1999-08-31 2001-11-01 한신혁 Method for reducing prostitute capacitance
US6322712B1 (en) 1999-09-01 2001-11-27 Micron Technology, Inc. Buffer layer in flat panel display
KR100333482B1 (en) 1999-09-15 2002-04-25 오길록 High speed semiconductor optical modulator and its fabrication method
US6337027B1 (en) * 1999-09-30 2002-01-08 Rockwell Science Center, Llc Microelectromechanical device manufacturing process
WO2003007049A1 (en) 1999-10-05 2003-01-23 Iridigm Display Corporation Photonic mems and structures
US6351329B1 (en) 1999-10-08 2002-02-26 Lucent Technologies Inc. Optical attenuator
US6960305B2 (en) 1999-10-26 2005-11-01 Reflectivity, Inc Methods for forming and releasing microelectromechanical structures
US7041224B2 (en) 1999-10-26 2006-05-09 Reflectivity, Inc. Method for vapor phase etching of silicon
US6259062B1 (en) 1999-12-03 2001-07-10 Asm America, Inc. Process chamber cooling
US6552840B2 (en) 1999-12-03 2003-04-22 Texas Instruments Incorporated Electrostatic efficiency of micromechanical devices
US6674090B1 (en) 1999-12-27 2004-01-06 Xerox Corporation Structure and method for planar lateral oxidation in active
US20010040675A1 (en) * 2000-01-28 2001-11-15 True Randall J. Method for forming a micromechanical device
US20020071169A1 (en) 2000-02-01 2002-06-13 Bowers John Edward Micro-electro-mechanical-system (MEMS) mirror device
US6407851B1 (en) 2000-08-01 2002-06-18 Mohammed N. Islam Micromechanical optical switch
DE10006035A1 (en) 2000-02-10 2001-08-16 Bosch Gmbh Robert Micro-mechanical component production, used as sensor element or actuator element, comprises providing functional element and/or functional layer with protective layer
JP2002174721A (en) * 2000-12-06 2002-06-21 Yokogawa Electric Corp Fabry-perot filter
JP2003524215A (en) 2000-02-24 2003-08-12 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Display device with optical waveguide
US6836366B1 (en) * 2000-03-03 2004-12-28 Axsun Technologies, Inc. Integrated tunable fabry-perot filter and method of making same
US7008812B1 (en) 2000-05-30 2006-03-07 Ic Mechanics, Inc. Manufacture of MEMS structures in sealed cavity using dry-release MEMS device encapsulation
JP2001356701A (en) 2000-06-15 2001-12-26 Fuji Photo Film Co Ltd Optical element, light source unit and display device
US6465320B1 (en) 2000-06-16 2002-10-15 Motorola, Inc. Electronic component and method of manufacturing
US6452465B1 (en) 2000-06-27 2002-09-17 M-Squared Filters, Llc High quality-factor tunable resonator
WO2002001584A1 (en) 2000-06-28 2002-01-03 The Regents Of The University Of California Capacitive microelectromechanical switches
TW535024B (en) 2000-06-30 2003-06-01 Minolta Co Ltd Liquid display element and method of producing the same
EP1802114B1 (en) 2000-07-03 2011-11-23 Sony Corporation Optical multilayer structure, optical switching device, and image display
JP4830183B2 (en) 2000-07-19 2011-12-07 ソニー株式会社 Optical multilayer structure, optical switching element, and image display device
JP2002023070A (en) 2000-07-04 2002-01-23 Sony Corp Optical multilayered structure, optical switching element and image display device
JP4614027B2 (en) 2000-07-03 2011-01-19 ソニー株式会社 Optical multilayer structure, optical switching element, and image display device
CA2352729A1 (en) * 2000-07-13 2002-01-13 Creoscitex Corporation Ltd. Blazed micro-mechanical light modulator and array thereof
US6677225B1 (en) * 2000-07-14 2004-01-13 Zyvex Corporation System and method for constraining totally released microcomponents
US6795605B1 (en) 2000-08-01 2004-09-21 Cheetah Omni, Llc Micromechanical optical switch
WO2002012116A2 (en) 2000-08-03 2002-02-14 Analog Devices, Inc. Bonded wafer optical mems process
US6867897B2 (en) * 2003-01-29 2005-03-15 Reflectivity, Inc Micromirrors and off-diagonal hinge structures for micromirror arrays in projection displays
JP4193340B2 (en) * 2000-08-08 2008-12-10 富士通株式会社 Galvano micromirror and optical disk device using the same
TW471063B (en) * 2000-08-11 2002-01-01 Winbond Electronics Corp Method to form opening in insulator layer using ion implantation
JP2002062490A (en) 2000-08-14 2002-02-28 Canon Inc Interferrometric modulation device
US6635919B1 (en) 2000-08-17 2003-10-21 Texas Instruments Incorporated High Q-large tuning range micro-electro mechanical system (MEMS) varactor for broadband applications
JP4392970B2 (en) 2000-08-21 2010-01-06 キヤノン株式会社 Display element using interferometric modulation element
US6376787B1 (en) 2000-08-24 2002-04-23 Texas Instruments Incorporated Microelectromechanical switch with fixed metal electrode/dielectric interface with a protective cap layer
US7172296B2 (en) 2000-08-30 2007-02-06 Reflectivity, Inc Projection display
MY128644A (en) 2000-08-31 2007-02-28 Georgia Tech Res Inst Fabrication of semiconductor devices with air gaps for ultra low capacitance interconnections and methods of making same
JP4304852B2 (en) 2000-09-04 2009-07-29 コニカミノルタホールディングス株式会社 Non-flat liquid crystal display element and method for manufacturing the same
US6466354B1 (en) 2000-09-19 2002-10-15 Silicon Light Machines Method and apparatus for interferometric modulation of light
FI111457B (en) * 2000-10-02 2003-07-31 Nokia Corp Micromechanical structure
US6522801B1 (en) 2000-10-10 2003-02-18 Agere Systems Inc. Micro-electro-optical mechanical device having an implanted dopant included therein and a method of manufacture therefor
GB2367788A (en) 2000-10-16 2002-04-17 Seiko Epson Corp Etching using an ink jet print head
US6775048B1 (en) 2000-10-31 2004-08-10 Microsoft Corporation Microelectrical mechanical structure (MEMS) optical modulator and optical display system
US6519075B2 (en) * 2000-11-03 2003-02-11 Agere Systems Inc. Packaged MEMS device and method for making the same
DE10055421A1 (en) 2000-11-09 2002-05-29 Bosch Gmbh Robert Method for producing a micromechanical structure and micromechanical structure
KR100381011B1 (en) 2000-11-13 2003-04-26 한국전자통신연구원 Stiction-free release method of microstructure for fabrication of MEMS device
US6525352B1 (en) 2000-11-22 2003-02-25 Network Photonics, Inc. Method to reduce release time of micromachined devices
US6406975B1 (en) 2000-11-27 2002-06-18 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap shallow trench isolation (STI) structure
US6647171B1 (en) 2000-12-01 2003-11-11 Corning Incorporated MEMS optical switch actuator
US6906847B2 (en) * 2000-12-07 2005-06-14 Reflectivity, Inc Spatial light modulators with light blocking/absorbing areas
US7307775B2 (en) * 2000-12-07 2007-12-11 Texas Instruments Incorporated Methods for depositing, releasing and packaging micro-electromechanical devices on wafer substrates
US7196599B2 (en) * 2000-12-11 2007-03-27 Dabbaj Rad H Electrostatic device
WO2002061486A1 (en) 2000-12-19 2002-08-08 Coventor, Incorporated Bulk micromachining process for fabricating an optical mems device with integrated optical aperture
DE10063991B4 (en) 2000-12-21 2005-06-02 Infineon Technologies Ag Process for the production of micromechanical components
JP2002207182A (en) 2001-01-10 2002-07-26 Sony Corp Optical multilayered structure and method for manufacturing the same, optical switching element, and image display device
US6947195B2 (en) 2001-01-18 2005-09-20 Ricoh Company, Ltd. Optical modulator, optical modulator manufacturing method, light information processing apparatus including optical modulator, image formation apparatus including optical modulator, and image projection and display apparatus including optical modulator
US6440767B1 (en) 2001-01-23 2002-08-27 Hrl Laboratories, Llc Monolithic single pole double throw RF MEMS switch
US6480320B2 (en) * 2001-02-07 2002-11-12 Transparent Optical, Inc. Microelectromechanical mirror and mirror array
US6620712B2 (en) 2001-02-14 2003-09-16 Intpax, Inc. Defined sacrifical region via ion implantation for micro-opto-electro-mechanical system (MOEMS) applications
JP3858606B2 (en) 2001-02-14 2006-12-20 セイコーエプソン株式会社 Method for manufacturing interference filter, interference filter, method for manufacturing variable wavelength interference filter, and variable wavelength interference filter
US6555904B1 (en) 2001-03-05 2003-04-29 Analog Devices, Inc. Electrically shielded glass lid for a packaged device
US6768403B2 (en) * 2002-03-12 2004-07-27 Hrl Laboratories, Llc Torsion spring for electro-mechanical switches and a cantilever-type RF micro-electromechanical switch incorporating the torsion spring
WO2002080255A1 (en) 2001-03-16 2002-10-10 Corning Intellisense Corporation Electrostatically actuated micro-electro-mechanical devices and method of manufacture
WO2002079853A1 (en) * 2001-03-16 2002-10-10 Corning Intellisense Corporation Electrostatically actuated micro-electro-mechanical devices and method of manufacture
US6465856B2 (en) 2001-03-19 2002-10-15 Xerox Corporation Micro-fabricated shielded conductors
JP3888075B2 (en) 2001-03-23 2007-02-28 セイコーエプソン株式会社 Optical switching element, optical switching device, and image display apparatus
JP4684448B2 (en) 2001-03-30 2011-05-18 株式会社リコー LIGHT MODULATION DEVICE, ITS MODULATION DEVICE MANUFACTURING METHOD, IMAGE FORMING DEVICE HAVING THE LIGHT MODULATION DEVICE, AND IMAGE PROJECTION DISPLAY DEVICE HAVING THE LIGHT MODULATION DEVICE
CN1228818C (en) * 2001-04-02 2005-11-23 华邦电子股份有限公司 Method for forming funnel-shaped dielectric layer window in semiconductor
US6704475B2 (en) * 2001-04-03 2004-03-09 Agere Systems Inc. Mirror for use with a micro-electro-mechanical system (MEMS) optical device and a method of manufacture therefor
US20020171610A1 (en) 2001-04-04 2002-11-21 Eastman Kodak Company Organic electroluminescent display with integrated touch-screen
US6525396B2 (en) 2001-04-17 2003-02-25 Texas Instruments Incorporated Selection of materials and dimensions for a micro-electromechanical switch for use in the RF regime
US20020149850A1 (en) * 2001-04-17 2002-10-17 E-Tek Dynamics, Inc. Tunable optical filter
US6756317B2 (en) 2001-04-23 2004-06-29 Memx, Inc. Method for making a microstructure by surface micromachining
US6600587B2 (en) * 2001-04-23 2003-07-29 Memx, Inc. Surface micromachined optical system with reinforced mirror microstructure
US6657832B2 (en) 2001-04-26 2003-12-02 Texas Instruments Incorporated Mechanically assisted restoring force support for micromachined membranes
US6602791B2 (en) * 2001-04-27 2003-08-05 Dalsa Semiconductor Inc. Manufacture of integrated fluidic devices
US6465355B1 (en) * 2001-04-27 2002-10-15 Hewlett-Packard Company Method of fabricating suspended microstructures
JP2002328313A (en) 2001-05-01 2002-11-15 Sony Corp Optical switching element, its manufacturing method, and image display device
US6576489B2 (en) 2001-05-07 2003-06-10 Applied Materials, Inc. Methods of forming microstructure devices
JP4449249B2 (en) 2001-05-11 2010-04-14 ソニー株式会社 Method for driving optical multilayer structure, method for driving display device, and display device
US6424094B1 (en) 2001-05-15 2002-07-23 Eastman Kodak Company Organic electroluminescent display with integrated resistive touch screen
AU2002303842A1 (en) 2001-05-22 2002-12-03 Reflectivity, Inc. A method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US7106307B2 (en) 2001-05-24 2006-09-12 Eastman Kodak Company Touch screen for use with an OLED display
US6803534B1 (en) * 2001-05-25 2004-10-12 Raytheon Company Membrane for micro-electro-mechanical switch, and methods of making and using it
US6639724B2 (en) 2001-06-05 2003-10-28 Lucent Technologies Inc. Device having a barrier layer located therein and a method of manufacture therefor
DE10127622B4 (en) 2001-06-07 2009-10-22 Qimonda Ag Method of making an isolation trench filled with HDPCVD oxide
US6598985B2 (en) 2001-06-11 2003-07-29 Nanogear Optical mirror system with multi-axis rotational control
US6958123B2 (en) * 2001-06-15 2005-10-25 Reflectivity, Inc Method for removing a sacrificial material with a compressed fluid
JP2003001598A (en) 2001-06-21 2003-01-08 Sony Corp ETCHING METHOD OF Si FILM
US7005314B2 (en) 2001-06-27 2006-02-28 Intel Corporation Sacrificial layer technique to make gaps in MEMS applications
US6822628B2 (en) 2001-06-28 2004-11-23 Candescent Intellectual Property Services, Inc. Methods and systems for compensating row-to-row brightness variations of a field emission display
JP3852306B2 (en) 2001-07-06 2006-11-29 ソニー株式会社 Method for manufacturing MEMS element, method for manufacturing GLV device, and method for manufacturing laser display
KR100437825B1 (en) 2001-07-06 2004-06-26 엘지.필립스 엘시디 주식회사 Liquid Crystal Display Device And Method For Fabricating The Same
US6905613B2 (en) 2001-07-10 2005-06-14 Honeywell International Inc. Use of an organic dielectric as a sacrificial layer
KR100853131B1 (en) * 2001-07-10 2008-08-20 이리다임 디스플레이 코포레이션 Method and apparatus for driving electronic device
JP3740444B2 (en) 2001-07-11 2006-02-01 キヤノン株式会社 Optical deflector, optical equipment using the same, torsional oscillator
KR100452112B1 (en) * 2001-07-18 2004-10-12 한국과학기술원 Electrostatic Actuator
JP2003059905A (en) 2001-07-31 2003-02-28 Applied Materials Inc Etching method, method of manufacturing capacitor, and semiconductor device
US6600201B2 (en) 2001-08-03 2003-07-29 Hewlett-Packard Development Company, L.P. Systems with high density packing of micromachines
US6632698B2 (en) * 2001-08-07 2003-10-14 Hewlett-Packard Development Company, L.P. Microelectromechanical device having a stiffened support beam, and methods of forming stiffened support beams in MEMS
US6778728B2 (en) * 2001-08-10 2004-08-17 Corning Intellisense Corporation Micro-electro-mechanical mirror devices having a high linear mirror fill factor
JP2003057571A (en) 2001-08-16 2003-02-26 Sony Corp Optical multi-layered structure and optical switching element, and image display device
US6930364B2 (en) 2001-09-13 2005-08-16 Silicon Light Machines Corporation Microelectronic mechanical system and methods
US20030053078A1 (en) * 2001-09-17 2003-03-20 Mark Missey Microelectromechanical tunable fabry-perot wavelength monitor with thermal actuators
US6940636B2 (en) * 2001-09-20 2005-09-06 Analog Devices, Inc. Optical switching apparatus and method of assembling same
WO2003028059A1 (en) 2001-09-21 2003-04-03 Hrl Laboratories, Llc Mems switches and methods of making same
US6788175B1 (en) * 2001-10-04 2004-09-07 Superconductor Technologies, Inc. Anchors for micro-electro-mechanical systems (MEMS) devices
US6936183B2 (en) * 2001-10-17 2005-08-30 Applied Materials, Inc. Etch process for etching microstructures
AUPR846701A0 (en) 2001-10-25 2001-11-15 Microtechnology Centre Management Limited A method of fabrication of micro-devices
US6666979B2 (en) 2001-10-29 2003-12-23 Applied Materials, Inc. Dry etch release of MEMS structures
JP4045090B2 (en) 2001-11-06 2008-02-13 オムロン株式会社 Adjustment method of electrostatic actuator
JP2003177336A (en) * 2001-12-11 2003-06-27 Fuji Photo Film Co Ltd Optical modulating element, optical modulating element array, and exposure device using the same
US6803160B2 (en) 2001-12-13 2004-10-12 Dupont Photomasks, Inc. Multi-tone photomask and method for manufacturing the same
US20030111439A1 (en) * 2001-12-14 2003-06-19 Fetter Linus Albert Method of forming tapered electrodes for electronic devices
US6782166B1 (en) 2001-12-21 2004-08-24 United States Of America As Represented By The Secretary Of The Air Force Optically transparent electrically conductive charge sheet poling electrodes to maximize performance of electro-optic devices
DE10163214A1 (en) * 2001-12-21 2003-07-10 Philips Intellectual Property Method and control system for voice control of a device
JP3893421B2 (en) 2001-12-27 2007-03-14 富士フイルム株式会社 Light modulation element, light modulation element array, and exposure apparatus using the same
US7106491B2 (en) * 2001-12-28 2006-09-12 Texas Instruments Incorporated Split beam micromirror
US6791735B2 (en) * 2002-01-09 2004-09-14 The Regents Of The University Of California Differentially-driven MEMS spatial light modulator
KR100439423B1 (en) 2002-01-16 2004-07-09 한국전자통신연구원 Microelectromechanical actuators
US6608268B1 (en) 2002-02-05 2003-08-19 Memtronics, A Division Of Cogent Solutions, Inc. Proximity micro-electro-mechanical system
US6794119B2 (en) 2002-02-12 2004-09-21 Iridigm Display Corporation Method for fabricating a structure for a microelectromechanical systems (MEMS) device
JP3558066B2 (en) 2002-02-19 2004-08-25 ソニー株式会社 MEMS element and its manufacturing method, light modulation element, GLV device and its manufacturing method, and laser display
US6574033B1 (en) 2002-02-27 2003-06-03 Iridigm Display Corporation Microelectromechanical systems device and method for fabricating same
AUPS098002A0 (en) * 2002-03-08 2002-03-28 University Of Western Australia, The Tunable cavity resonator, and method of fabricating same
US7145143B2 (en) 2002-03-18 2006-12-05 Honeywell International Inc. Tunable sensor
US6700770B2 (en) 2002-03-22 2004-03-02 Turnstone Systems, Inc. Protection of double end exposed systems
US7027200B2 (en) 2002-03-22 2006-04-11 Reflectivity, Inc Etching method used in fabrications of microstructures
US6965468B2 (en) 2003-07-03 2005-11-15 Reflectivity, Inc Micromirror array having reduced gap between adjacent micromirrors of the micromirror array
JP2003305697A (en) * 2002-04-12 2003-10-28 Sony Corp Method for manufacturing hollow structure
US7029829B2 (en) 2002-04-18 2006-04-18 The Regents Of The University Of Michigan Low temperature method for forming a microcavity on a substrate and article having same
US20030202264A1 (en) 2002-04-30 2003-10-30 Weber Timothy L. Micro-mirror device
US6954297B2 (en) 2002-04-30 2005-10-11 Hewlett-Packard Development Company, L.P. Micro-mirror device including dielectrophoretic liquid
US6791441B2 (en) 2002-05-07 2004-09-14 Raytheon Company Micro-electro-mechanical switch, and methods of making and using it
US6806110B2 (en) 2002-05-16 2004-10-19 Agilent Technologies, Inc. Monolithic multi-wavelength vertical-cavity surface emitting laser array and method of manufacture therefor
US6953702B2 (en) 2002-05-16 2005-10-11 Agilent Technologies, Inc. Fixed wavelength vertical cavity optical devices and method of manufacture therefor
JP2003340795A (en) 2002-05-20 2003-12-02 Sony Corp Electrostatic drive type mems element and manufacturing method therefor, optical mems element, optical modulator, glv device and laser display
JP3801099B2 (en) 2002-06-04 2006-07-26 株式会社デンソー Tunable filter, manufacturing method thereof, and optical switching device using the same
US6678085B2 (en) 2002-06-12 2004-01-13 Eastman Kodak Company High-contrast display system with scanned conformal grating device
US20040001258A1 (en) 2002-06-28 2004-01-01 Mandeep Singh Solid state etalons with low thermally-induced optical path length change
US6741377B2 (en) 2002-07-02 2004-05-25 Iridigm Display Corporation Device having a light-absorbing mask and a method for fabricating same
US20040058531A1 (en) * 2002-08-08 2004-03-25 United Microelectronics Corp. Method for preventing metal extrusion in a semiconductor structure.
US6822798B2 (en) * 2002-08-09 2004-11-23 Optron Systems, Inc. Tunable optical filter
JP2004106074A (en) 2002-09-13 2004-04-08 Sony Corp Production method for hollow structure and production method for mems element
TW544787B (en) 2002-09-18 2003-08-01 Promos Technologies Inc Method of forming self-aligned contact structure with locally etched gate conductive layer
US7781850B2 (en) 2002-09-20 2010-08-24 Qualcomm Mems Technologies, Inc. Controlling electromechanical behavior of structures within a microelectromechanical systems device
KR100512960B1 (en) 2002-09-26 2005-09-07 삼성전자주식회사 Flexible MEMS transducer and its manufacturing method, and flexible MEMS wireless microphone
US6872319B2 (en) * 2002-09-30 2005-03-29 Rockwell Scientific Licensing, Llc Process for high yield fabrication of MEMS devices
JP2004130396A (en) * 2002-10-08 2004-04-30 Sony Corp Micromachine
JP2004133281A (en) 2002-10-11 2004-04-30 Seiko Epson Corp Method for manufacturing micro electromechanical device, and projector
US6986587B2 (en) * 2002-10-16 2006-01-17 Olympus Corporation Variable-shape reflection mirror and method of manufacturing the same
JP2004141995A (en) 2002-10-23 2004-05-20 Sony Corp Micro-machine and its method of manufacture
FR2846318B1 (en) * 2002-10-24 2005-01-07 Commissariat Energie Atomique INTEGRATED ELECTROMECHANICAL MICROSTRUCTURE HAVING MEANS FOR ADJUSTING THE PRESSURE IN A SEALED CAVITY AND A METHOD OF ADJUSTING THE PRESSURE
US6747785B2 (en) 2002-10-24 2004-06-08 Hewlett-Packard Development Company, L.P. MEMS-actuated color light modulator and methods
JP2004149607A (en) 2002-10-29 2004-05-27 Jsr Corp Polymer for forming cavity between multilayered wirings and its manufacturing method
US7370185B2 (en) 2003-04-30 2008-05-06 Hewlett-Packard Development Company, L.P. Self-packaged optical interference display device having anti-stiction bumps, integral micro-lens, and reflection-absorbing layers
US6909589B2 (en) 2002-11-20 2005-06-21 Corporation For National Research Initiatives MEMS-based variable capacitor
US6958846B2 (en) 2002-11-26 2005-10-25 Reflectivity, Inc Spatial light modulators with light absorbing areas
US6844959B2 (en) 2002-11-26 2005-01-18 Reflectivity, Inc Spatial light modulators with light absorbing areas
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
US6944008B2 (en) 2002-12-18 2005-09-13 Lucent Technologies Inc. Charge dissipation in electrostatically driven devices
TWI289708B (en) * 2002-12-25 2007-11-11 Qualcomm Mems Technologies Inc Optical interference type color display
US6872654B2 (en) 2002-12-26 2005-03-29 Intel Corporation Method of fabricating a bismaleimide (BMI) ASA sacrifical material for an integrated circuit air gap dielectric
TW559686B (en) 2002-12-27 2003-11-01 Prime View Int Co Ltd Optical interference type panel and the manufacturing method thereof
TW594155B (en) 2002-12-27 2004-06-21 Prime View Int Corp Ltd Optical interference type color display and optical interference modulator
JP2004212656A (en) 2002-12-27 2004-07-29 Fuji Photo Film Co Ltd Optical modulator array and plane display
US6808953B2 (en) 2002-12-31 2004-10-26 Robert Bosch Gmbh Gap tuning for surface micromachined structures in an epitaxial reactor
US6815234B2 (en) 2002-12-31 2004-11-09 Infineon Technologies Aktiengesellschaft Reducing stress in integrated circuits
US7002719B2 (en) * 2003-01-15 2006-02-21 Lucent Technologies Inc. Mirror for an integrated device
JP4625639B2 (en) * 2003-01-17 2011-02-02 富士フイルム株式会社 Light modulation element, light modulation element array, image forming apparatus, and flat display device
US20040140557A1 (en) 2003-01-21 2004-07-22 United Test & Assembly Center Limited Wl-bga for MEMS/MOEMS devices
US6943448B2 (en) 2003-01-23 2005-09-13 Akustica, Inc. Multi-metal layer MEMS structure and process for making the same
TW557395B (en) 2003-01-29 2003-10-11 Yen Sun Technology Corp Optical interference type reflection panel and the manufacturing method thereof
TW200413810A (en) 2003-01-29 2004-08-01 Prime View Int Co Ltd Light interference display panel and its manufacturing method
US20040157426A1 (en) 2003-02-07 2004-08-12 Luc Ouellet Fabrication of advanced silicon-based MEMS devices
US7459402B2 (en) 2003-02-12 2008-12-02 Texas Instruments Incorporated Protection layers in micromirror array devices
US20040159629A1 (en) 2003-02-19 2004-08-19 Cabot Microelectronics Corporation MEM device processing with multiple material sacrificial layers
US20040166603A1 (en) 2003-02-25 2004-08-26 Carley L. Richard Micromachined assembly with a multi-layer cap defining a cavity
US7027202B1 (en) 2003-02-28 2006-04-11 Silicon Light Machines Corp Silicon substrate as a light modulator sacrificial layer
TW200417806A (en) 2003-03-05 2004-09-16 Prime View Int Corp Ltd A structure of a light-incidence electrode of an optical interference display plate
TWI328805B (en) 2003-03-13 2010-08-11 Lg Electronics Inc Write-once recording medium and defective area management method and apparatus for write-once recording medium
US6720267B1 (en) 2003-03-19 2004-04-13 United Microelectronics Corp. Method for forming a cantilever beam model micro-electromechanical system
US6913942B2 (en) 2003-03-28 2005-07-05 Reflectvity, Inc Sacrificial layers for use in fabrications of microelectromechanical devices
US7128843B2 (en) 2003-04-04 2006-10-31 Hrl Laboratories, Llc Process for fabricating monolithic membrane substrate structures with well-controlled air gaps
US6987432B2 (en) 2003-04-16 2006-01-17 Robert Bosch Gmbh Temperature compensation for silicon MEMS resonator
JP2004314251A (en) * 2003-04-17 2004-11-11 Fuji Photo Film Co Ltd Thin-film beam and method of producing the same
TWI226504B (en) * 2003-04-21 2005-01-11 Prime View Int Co Ltd A structure of an interference display cell
TW567355B (en) * 2003-04-21 2003-12-21 Prime View Int Co Ltd An interference display cell and fabrication method thereof
TW594360B (en) * 2003-04-21 2004-06-21 Prime View Int Corp Ltd A method for fabricating an interference display cell
TWI224235B (en) * 2003-04-21 2004-11-21 Prime View Int Co Ltd A method for fabricating an interference display cell
KR100599083B1 (en) 2003-04-22 2006-07-12 삼성전자주식회사 Cantilevered type Film Bulk Acoustic Resonator fabrication method and Resonator fabricated by the same
US6929969B2 (en) * 2003-04-23 2005-08-16 Taiwan Semiconductor Manufacturing Company Reflective spatial light modulator mirror device manufacturing process and layout method
US6819469B1 (en) 2003-05-05 2004-11-16 Igor M. Koba High-resolution spatial light modulator for 3-dimensional holographic display
TW591716B (en) 2003-05-26 2004-06-11 Prime View Int Co Ltd A structure of a structure release and manufacturing the same
TW570896B (en) 2003-05-26 2004-01-11 Prime View Int Co Ltd A method for fabricating an interference display cell
FR2855908B1 (en) * 2003-06-06 2005-08-26 Soitec Silicon On Insulator METHOD FOR OBTAINING A STRUCTURE COMPRISING AT LEAST ONE SUBSTRATE AND AN ULTRAMINO LAYER
KR100513696B1 (en) * 2003-06-10 2005-09-09 삼성전자주식회사 Seasaw type MEMS switch for radio frequency and method for manufacturing the same
US20070064760A1 (en) 2003-06-12 2007-03-22 Soren Kragh Optical amplification in miniaturized polymer cavity resonators
US7221495B2 (en) 2003-06-24 2007-05-22 Idc Llc Thin film precursor stack for MEMS manufacturing
JP2005028504A (en) 2003-07-11 2005-02-03 Sony Corp Micro electromechanical system (mems) element and method for manufacturing the same
JP2005051007A (en) 2003-07-28 2005-02-24 Tokyo Electron Ltd Manufacturing method of semiconductor chip
TWI305599B (en) 2003-08-15 2009-01-21 Qualcomm Mems Technologies Inc Interference display panel and method thereof
TW200506479A (en) 2003-08-15 2005-02-16 Prime View Int Co Ltd Color changeable pixel for an interference display
TWI251712B (en) 2003-08-15 2006-03-21 Prime View Int Corp Ltd Interference display plate
TWI231865B (en) * 2003-08-26 2005-05-01 Prime View Int Co Ltd An interference display cell and fabrication method thereof
TWI230801B (en) 2003-08-29 2005-04-11 Prime View Int Co Ltd Reflective display unit using interferometric modulation and manufacturing method thereof
JP3979982B2 (en) 2003-08-29 2007-09-19 シャープ株式会社 Interferometric modulator and display device
TWI232333B (en) 2003-09-03 2005-05-11 Prime View Int Co Ltd Display unit using interferometric modulation and manufacturing method thereof
US6982820B2 (en) 2003-09-26 2006-01-03 Prime View International Co., Ltd. Color changeable pixel
TW593126B (en) 2003-09-30 2004-06-21 Prime View Int Co Ltd A structure of a micro electro mechanical system and manufacturing the same
US6861277B1 (en) 2003-10-02 2005-03-01 Hewlett-Packard Development Company, L.P. Method of forming MEMS device
EP2444368A3 (en) 2003-10-31 2012-07-25 Epcos AG A method of manufacturing an electronic device and electronic device
US7012726B1 (en) 2003-11-03 2006-03-14 Idc, Llc MEMS devices with unreleased thin film components
DE10352001A1 (en) 2003-11-07 2005-06-09 Robert Bosch Gmbh Micromechanical component with a membrane and method for producing such a component
US20050170670A1 (en) 2003-11-17 2005-08-04 King William P. Patterning of sacrificial materials
US6967757B1 (en) 2003-11-24 2005-11-22 Sandia Corporation Microelectromechanical mirrors and electrically-programmable diffraction gratings based on two-stage actuation
US7056757B2 (en) 2003-11-25 2006-06-06 Georgia Tech Research Corporation Methods of forming oxide masks with submicron openings and microstructures formed thereby
US20050118832A1 (en) 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
KR20060121168A (en) 2003-12-01 2006-11-28 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Removal of mems sacrificial layers using supercritical fluid/chemical formulations
US7375404B2 (en) 2003-12-05 2008-05-20 University Of Maryland Biotechnology Institute Fabrication and integration of polymeric bioMEMS
US7064883B2 (en) 2003-12-10 2006-06-20 Silicon Light Machines Corporation Two dimensional spatial light modulator
KR100797433B1 (en) 2003-12-11 2008-01-23 마이크로닉 레이저 시스템즈 에이비 Method and apparatus for patterning a workpiece and methods of manufacturing the same
KR100518606B1 (en) * 2003-12-19 2005-10-04 삼성전자주식회사 Method for fabricating a recess channel array transistor using a mask layer having high etch selectivity for silicon substrate
US7323217B2 (en) 2004-01-08 2008-01-29 Qualcomm Mems Technologies, Inc. Method for making an optical interference type reflective panel
JP4466081B2 (en) 2004-01-08 2010-05-26 ソニー株式会社 MEMS element
TWI235345B (en) 2004-01-20 2005-07-01 Prime View Int Co Ltd A structure of an optical interference display unit
JP4166712B2 (en) * 2004-01-29 2008-10-15 株式会社デンソー Fabry-Perot filter
JP4496091B2 (en) * 2004-02-12 2010-07-07 株式会社東芝 Thin film piezoelectric actuator
US7041571B2 (en) * 2004-03-01 2006-05-09 International Business Machines Corporation Air gap interconnect structure and method of manufacture
US7119945B2 (en) 2004-03-03 2006-10-10 Idc, Llc Altering temporal response of microelectromechanical elements
US6999228B2 (en) * 2004-03-05 2006-02-14 Hewlett-Packard Development Company, L.P. Micro mirror device with adjacently suspended spring and method for the same
TW200530669A (en) 2004-03-05 2005-09-16 Prime View Int Co Ltd Interference display plate and manufacturing method thereof
TWI261683B (en) 2004-03-10 2006-09-11 Qualcomm Mems Technologies Inc Interference reflective element and repairing method thereof
US7476327B2 (en) 2004-05-04 2009-01-13 Idc, Llc Method of manufacture for microelectromechanical devices
US7256107B2 (en) 2004-05-04 2007-08-14 The Regents Of The University Of California Damascene process for use in fabricating semiconductor structures having micro/nano gaps
US7612759B2 (en) 2004-05-12 2009-11-03 Shimano Inc. Cycle computer display apparatus
US7145213B1 (en) 2004-05-24 2006-12-05 The United States Of America As Represented By The Secretary Of The Air Force MEMS RF switch integrated process
JP2005342808A (en) 2004-05-31 2005-12-15 Oki Electric Ind Co Ltd Manufacturing method of mems device
US7042619B1 (en) * 2004-06-18 2006-05-09 Miradia Inc. Mirror structure with single crystal silicon cross-member
CA2575314A1 (en) 2004-07-29 2006-02-09 Idc, Llc System and method for micro-electromechanical operating of an interferometric modulator
US7273693B2 (en) 2004-07-30 2007-09-25 Hewlett-Packard Development Company, L.P. Method for forming a planar mirror using a sacrificial oxide
US20060037933A1 (en) * 2004-08-23 2006-02-23 Wei-Ya Wang Mirror process using tungsten passivation layer for preventing metal-spiking induced mirror bridging and improving mirror curvature
JP4852835B2 (en) 2004-09-02 2012-01-11 ソニー株式会社 Grating-light modulator assembly
US7429334B2 (en) 2004-09-27 2008-09-30 Idc, Llc Methods of fabricating interferometric modulators by selectively removing a material
US7130104B2 (en) 2004-09-27 2006-10-31 Idc, Llc Methods and devices for inhibiting tilting of a mirror in an interferometric modulator
US7289259B2 (en) * 2004-09-27 2007-10-30 Idc, Llc Conductive bus structure for interferometric modulator array
US7349141B2 (en) * 2004-09-27 2008-03-25 Idc, Llc Method and post structures for interferometric modulation
US7527995B2 (en) 2004-09-27 2009-05-05 Qualcomm Mems Technologies, Inc. Method of making prestructure for MEMS systems
US7710636B2 (en) 2004-09-27 2010-05-04 Qualcomm Mems Technologies, Inc. Systems and methods using interferometric optical modulators and diffusers
US7692839B2 (en) 2004-09-27 2010-04-06 Qualcomm Mems Technologies, Inc. System and method of providing MEMS device with anti-stiction coating
US7369296B2 (en) * 2004-09-27 2008-05-06 Idc, Llc Device and method for modifying actuation voltage thresholds of a deformable membrane in an interferometric modulator
US7373026B2 (en) 2004-09-27 2008-05-13 Idc, Llc MEMS device fabricated on a pre-patterned substrate
US7492502B2 (en) 2004-09-27 2009-02-17 Idc, Llc Method of fabricating a free-standing microstructure
US20060066932A1 (en) 2004-09-27 2006-03-30 Clarence Chui Method of selective etching using etch stop layer
US7327510B2 (en) 2004-09-27 2008-02-05 Idc, Llc Process for modifying offset voltage characteristics of an interferometric modulator
US7259449B2 (en) 2004-09-27 2007-08-21 Idc, Llc Method and system for sealing a substrate
US7446926B2 (en) 2004-09-27 2008-11-04 Idc, Llc System and method of providing a regenerating protective coating in a MEMS device
US7684104B2 (en) * 2004-09-27 2010-03-23 Idc, Llc MEMS using filler material and method
US7161730B2 (en) 2004-09-27 2007-01-09 Idc, Llc System and method for providing thermal compensation for an interferometric modulator display
WO2006058034A2 (en) 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
US7199916B2 (en) 2004-12-07 2007-04-03 Hewlett-Packard Development Company, L.P. Light modulator device
CN101375197B (en) 2004-12-09 2012-05-16 维斯普瑞公司 Micro-electro-mechanical system (MEMS) capacitors, inductors, and related systems and methods
TW200628877A (en) 2005-02-04 2006-08-16 Prime View Int Co Ltd Method of manufacturing optical interference type color display
US7502155B2 (en) 2005-03-15 2009-03-10 Texas Instruments Incorporated Antireflective coating for semiconductor devices and method for the same
US20060234412A1 (en) 2005-04-19 2006-10-19 Hewlett-Packard Development Company, L.P. Intellectual Property Administration MEMS release methods
WO2007004119A2 (en) * 2005-06-30 2007-01-11 Koninklijke Philips Electronics N.V. A method of manufacturing a mems element
EP2495212A3 (en) 2005-07-22 2012-10-31 QUALCOMM MEMS Technologies, Inc. Mems devices having support structures and methods of fabricating the same
KR101423321B1 (en) * 2005-07-22 2014-07-30 퀄컴 엠이엠에스 테크놀로지스, 인크. Electomechanical devices having support structures and methods of fabricating the same
JP2009503565A (en) 2005-07-22 2009-01-29 クアルコム,インコーポレイテッド Support structure for MEMS device and method thereof
EP1910216A1 (en) 2005-07-22 2008-04-16 QUALCOMM Incorporated Support structure for mems device and methods therefor
US7795061B2 (en) * 2005-12-29 2010-09-14 Qualcomm Mems Technologies, Inc. Method of creating MEMS device cavities by a non-etching process
US7527996B2 (en) * 2006-04-19 2009-05-05 Qualcomm Mems Technologies, Inc. Non-planar surface structures and process for microelectromechanical systems
US20070249078A1 (en) * 2006-04-19 2007-10-25 Ming-Hau Tung Non-planar surface structures and process for microelectromechanical systems
US7321457B2 (en) * 2006-06-01 2008-01-22 Qualcomm Incorporated Process and structure for fabrication of MEMS device having isolated edge posts

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040051929A1 (en) * 1994-05-05 2004-03-18 Sampsell Jeffrey Brian Separable modulator
US20030036215A1 (en) * 2001-07-20 2003-02-20 Reflectivity, Inc., A Delaware Corporation MEMS device made of transition metal-dielectric oxide materials
US20030119221A1 (en) * 2001-11-09 2003-06-26 Coventor, Inc. Trilayered beam MEMS device and related methods

Also Published As

Publication number Publication date
US20070041703A1 (en) 2007-02-22
US20100200938A1 (en) 2010-08-12
US20070041076A1 (en) 2007-02-22
EP2495212A3 (en) 2012-10-31
JP2009505162A (en) 2009-02-05
TWI435372B (en) 2014-04-21
US20080218840A1 (en) 2008-09-11
KR20080055849A (en) 2008-06-19
JP2012161913A (en) 2012-08-30
US8229253B2 (en) 2012-07-24
CN101282903A (en) 2008-10-08
US20100265563A1 (en) 2010-10-21
TW200713414A (en) 2007-04-01
US20070042524A1 (en) 2007-02-22
US20100202039A1 (en) 2010-08-12
KR20080055851A (en) 2008-06-19
US20120287138A1 (en) 2012-11-15
US8298847B2 (en) 2012-10-30
WO2007022479A1 (en) 2007-02-22
EP2495212A2 (en) 2012-09-05
EP1915319A1 (en) 2008-04-30
WO2007022476A1 (en) 2007-02-22
CN102320562A (en) 2012-01-18
US7486867B2 (en) 2009-02-03
EP2497745A2 (en) 2012-09-12
US7835093B2 (en) 2010-11-16
JP2015064614A (en) 2015-04-09
TW200715358A (en) 2007-04-16
EP2497745A3 (en) 2012-11-07
KR101317870B1 (en) 2013-10-16
JP2009505163A (en) 2009-02-05
CN101258101A (en) 2008-09-03
TW200713415A (en) 2007-04-01
US7660058B2 (en) 2010-02-09
JP2015195717A (en) 2015-11-05
US7704773B2 (en) 2010-04-27
JP5180076B2 (en) 2013-04-10
US20110058243A1 (en) 2011-03-10
JP2013068959A (en) 2013-04-18
JP5129136B2 (en) 2013-01-23
US7747109B2 (en) 2010-06-29

Similar Documents

Publication Publication Date Title
US7704773B2 (en) MEMS devices having support structures with substantially vertical sidewalls and methods for fabricating the same
US7566940B2 (en) Electromechanical devices having overlying support structures
US7944603B2 (en) Microelectromechanical device and method utilizing a porous surface
US7679812B2 (en) Support structure for MEMS device and methods therefor
US7684104B2 (en) MEMS using filler material and method
US7535621B2 (en) Aluminum fluoride films for microelectromechanical system applications
US7405863B2 (en) Patterning of mechanical layer in MEMS to reduce stresses at supports
US7545552B2 (en) Sacrificial spacer process and resultant structure for MEMS support structure
US7625825B2 (en) Method of patterning mechanical layer for MEMS structures

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 06802044

Country of ref document: EP

Kind code of ref document: A1