WO2007109491A3 - Selective deposition - Google Patents

Selective deposition Download PDF

Info

Publication number
WO2007109491A3
WO2007109491A3 PCT/US2007/064038 US2007064038W WO2007109491A3 WO 2007109491 A3 WO2007109491 A3 WO 2007109491A3 US 2007064038 W US2007064038 W US 2007064038W WO 2007109491 A3 WO2007109491 A3 WO 2007109491A3
Authority
WO
WIPO (PCT)
Prior art keywords
gas
selective deposition
hcl
pressure
halogen containing
Prior art date
Application number
PCT/US2007/064038
Other languages
French (fr)
Other versions
WO2007109491A2 (en
Inventor
David K Carlson
Satheesh Kuppurao
Errol Antonio C Sanchez
Howard Beckford
Yihwan Kim
Original Assignee
Applied Materials Inc
David K Carlson
Satheesh Kuppurao
Errol Antonio C Sanchez
Howard Beckford
Yihwan Kim
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc, David K Carlson, Satheesh Kuppurao, Errol Antonio C Sanchez, Howard Beckford, Yihwan Kim filed Critical Applied Materials Inc
Priority to CN2007800091259A priority Critical patent/CN101401202B/en
Priority to JP2009500603A priority patent/JP2009533546A/en
Publication of WO2007109491A2 publication Critical patent/WO2007109491A2/en
Publication of WO2007109491A3 publication Critical patent/WO2007109491A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76243Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8248Combination of bipolar and field-effect technology
    • H01L21/8249Bipolar and MOS technology
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/969Simultaneous formation of monocrystalline and polycrystalline regions

Abstract

A method for epitaxially forming a silicon-containing material on a substrate surface utilizes a halogen containing gas as both an etching gas as well as a carrier gas through adjustments of the process chamber temperature and pressure. It is beneficial to utilize HCl as the halogen containing gas because converting HCl from a carrier gas to an etching gas can easily be performed by adjusting the chamber pressure.
PCT/US2007/064038 2006-03-17 2007-03-15 Selective deposition WO2007109491A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN2007800091259A CN101401202B (en) 2006-03-17 2007-03-15 Selective deposition
JP2009500603A JP2009533546A (en) 2006-03-17 2007-03-15 Selective deposition

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/378,101 US7560352B2 (en) 2004-12-01 2006-03-17 Selective deposition
US11/378,101 2006-03-17

Publications (2)

Publication Number Publication Date
WO2007109491A2 WO2007109491A2 (en) 2007-09-27
WO2007109491A3 true WO2007109491A3 (en) 2007-12-13

Family

ID=38523167

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/064038 WO2007109491A2 (en) 2006-03-17 2007-03-15 Selective deposition

Country Status (6)

Country Link
US (1) US7560352B2 (en)
JP (1) JP2009533546A (en)
KR (1) KR101037524B1 (en)
CN (1) CN101401202B (en)
TW (1) TWI419204B (en)
WO (1) WO2007109491A2 (en)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101050377B1 (en) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 Improved process for deposition of semiconductor films
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
WO2006044268A1 (en) * 2004-10-13 2006-04-27 Dow Global Technologies Inc. Catalysed diesel soot filter and process for its use
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7438760B2 (en) * 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
KR20080089403A (en) 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 Epitaxial deposition of doped semiconductor materials
WO2007112058A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
JP2007281038A (en) * 2006-04-03 2007-10-25 Toshiba Corp Semiconductor device
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7560350B2 (en) * 2006-04-17 2009-07-14 United Microelectronics Corp. Method for forming strained semiconductor device and method for forming source/drain region
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
CN101496153A (en) * 2006-07-31 2009-07-29 应用材料股份有限公司 Methods of forming carbon-containing silicon epitaxial layers
JP5175285B2 (en) * 2006-07-31 2013-04-03 アプライド マテリアルズ インコーポレイテッド Method for controlling morphology during epitaxial layer formation
US7605407B2 (en) * 2006-09-06 2009-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Composite stressors with variable element atomic concentrations in MOS devices
KR100764058B1 (en) * 2006-09-20 2007-10-09 삼성전자주식회사 Semiconductor device including a field effect transistor and method of forming the same
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US20080138955A1 (en) * 2006-12-12 2008-06-12 Zhiyuan Ye Formation of epitaxial layer containing silicon
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US7557010B2 (en) * 2007-02-12 2009-07-07 Agere Systems Inc. Method to improve writer leakage in a SiGe bipolar device
US7833883B2 (en) * 2007-03-28 2010-11-16 Intel Corporation Precursor gas mixture for depositing an epitaxial carbon-doped silicon film
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7776698B2 (en) * 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
JP5018473B2 (en) * 2007-12-28 2012-09-05 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US20090269926A1 (en) * 2008-04-28 2009-10-29 International Business Machines Corporation Polygrain engineering by adding impurities in the gas phase during chemical vapor deposition of polysilicon
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
CN102117741B (en) * 2010-01-06 2013-03-13 上海华虹Nec电子有限公司 Method for improving morphology of interface of germanium-silicon or germanium-silicon-carbon single crystals and polycrystals
WO2012029661A1 (en) * 2010-09-01 2012-03-08 株式会社日立国際電気 Method for manufacturing semiconductor device and substrate treatment device
US8778767B2 (en) 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
CN102569082B (en) * 2010-12-24 2015-05-20 中芯国际集成电路制造(上海)有限公司 Method for manufacturing embedded germanium-silicon strain PMOS (P-channel Metal Oxide Semiconductor) structure
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US8664069B2 (en) * 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
CN103832965B (en) * 2012-11-23 2017-02-08 北京北方微电子基地设备工艺研究中心有限责任公司 Substrate etching method
US8900958B2 (en) 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US9564321B2 (en) * 2013-03-11 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic epitaxial deposition and etch processes
JP5864637B2 (en) 2013-03-19 2016-02-17 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9099423B2 (en) 2013-07-12 2015-08-04 Asm Ip Holding B.V. Doped semiconductor films and processing
CN105493248B (en) * 2013-09-30 2018-04-10 株式会社日立国际电气 Manufacture method, lining processor, lining treatment system and the recording medium of semiconductor devices
CN103556219B (en) * 2013-10-31 2016-04-20 国家电网公司 A kind of Device for epitaxial growth of silicon carbide
US20170005176A1 (en) * 2013-12-27 2017-01-05 Intel Corporation Selective etching for gate all around architectures
US9202812B2 (en) * 2014-03-21 2015-12-01 International Business Machines Corporation Abrupt source/drain junction formation using a diffusion facilitation layer
JP6271356B2 (en) * 2014-07-07 2018-01-31 株式会社東芝 Manufacturing method of semiconductor device
JP6269854B2 (en) * 2014-10-31 2018-01-31 富士電機株式会社 Method for growing silicon carbide epitaxial film
CN105609406B (en) * 2014-11-19 2018-09-28 株式会社日立国际电气 The manufacturing method of semiconductor devices, substrate processing device, gas supply system
KR102427152B1 (en) 2016-12-12 2022-07-28 어플라이드 머티어리얼스, 인코포레이티드 Method of Forming Conformal Epitaxial Semiconductor Cladding Material Over Fin Field Effect Transistor (FINFET) Devices
US9923081B1 (en) 2017-04-04 2018-03-20 Applied Materials, Inc. Selective process for source and drain formation
US10256322B2 (en) 2017-04-04 2019-04-09 Applied Materials, Inc. Co-doping process for n-MOS source drain application
US10392725B2 (en) * 2017-09-19 2019-08-27 Frank Asbeck Method for depositing silicon feedstock material, silicon wafer, solar cell and PV module
US10689405B2 (en) * 2017-11-30 2020-06-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030022528A1 (en) * 2001-02-12 2003-01-30 Todd Michael A. Improved Process for Deposition of Semiconductor Films
US20040259333A1 (en) * 2003-03-12 2004-12-23 Pierre Tomasini Method to planarize and reduce defect density of silicon germanium
US20050079691A1 (en) * 2003-10-10 2005-04-14 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US20060046442A1 (en) * 2004-09-01 2006-03-02 Nirmal Ramaswamy Method of forming epitaxial silicon-comprising material and a method of forming a vertical transistor

Family Cites Families (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US590680A (en) * 1897-09-28 gillespie
US3675619A (en) * 1969-02-25 1972-07-11 Monsanto Co Apparatus for production of epitaxial films
NL187942C (en) * 1980-08-18 1992-02-17 Philips Nv ZENERDIODE AND METHOD OF MANUFACTURE THEREOF
US4578142A (en) * 1984-05-10 1986-03-25 Rca Corporation Method for growing monocrystalline silicon through mask layer
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
JPH0639357B2 (en) * 1986-09-08 1994-05-25 新技術開発事業団 Method for growing element semiconductor single crystal thin film
US5607511A (en) 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US5112439A (en) * 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
US5037775A (en) * 1988-11-30 1991-08-06 Mcnc Method for selectively depositing single elemental semiconductor material on substrates
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
EP0413982B1 (en) * 1989-07-27 1997-05-14 Junichi Nishizawa Impurity doping method with adsorbed diffusion source
JP2880322B2 (en) * 1991-05-24 1999-04-05 キヤノン株式会社 Method of forming deposited film
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP2917694B2 (en) 1992-04-02 1999-07-12 日本電気株式会社 Compound semiconductor vapor deposition method and apparatus therefor
JPH0750690B2 (en) 1992-08-21 1995-05-31 日本電気株式会社 Method and apparatus for epitaxial growth of semiconductor crystal using halide
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
JP3265042B2 (en) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 Film formation method
JPH0729897A (en) * 1993-06-25 1995-01-31 Nec Corp Manufacture of semiconductor device
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
JPH07109573A (en) 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd Glass substrate and heat treatment
US5796116A (en) * 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
JPH0992621A (en) * 1995-09-28 1997-04-04 Oki Electric Ind Co Ltd Method for selective growth of semiconductor thin film
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5807792A (en) * 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6118216A (en) * 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
KR100385946B1 (en) * 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100261017B1 (en) * 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6514880B2 (en) * 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6159852A (en) 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
US6797558B2 (en) * 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
KR100652909B1 (en) * 1998-03-06 2006-12-01 에이에스엠 아메리카, 인코포레이티드 Method of depositing silicon with high step coverage
JP4214585B2 (en) * 1998-04-24 2009-01-28 富士ゼロックス株式会社 Semiconductor device, semiconductor device manufacturing method and manufacturing apparatus
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
JP2000007337A (en) 1998-06-12 2000-01-11 Gerest Inc Tantalum thin film and thin film consisting mainly of tantalum and their production
KR100275738B1 (en) * 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
JP4204671B2 (en) * 1998-09-11 2009-01-07 三菱電機株式会社 Manufacturing method of semiconductor device
KR100287180B1 (en) * 1998-09-17 2001-04-16 윤종용 Method for manufacturing semiconductor device including metal interconnection formed using interface control layer
KR100327328B1 (en) * 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6124158A (en) * 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6291319B1 (en) * 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
DE60125338T2 (en) * 2000-03-07 2007-07-05 Asm International N.V. GRADED THIN LAYERS
KR100363088B1 (en) * 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
JP3687651B2 (en) * 2000-06-08 2005-08-24 ジニテック インク. Thin film formation method
KR100373853B1 (en) * 2000-08-11 2003-02-26 삼성전자주식회사 Selective epitaxial growth method in semiconductor device
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
JP5290488B2 (en) * 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ Vapor growth of oxides, silicates and phosphates
KR100378186B1 (en) * 2000-10-19 2003-03-29 삼성전자주식회사 Semiconductor device adopting thin film formed by atomic layer deposition and fabrication method thereof
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100869326B1 (en) * 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. thin films for magnetic devices
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
KR20020049875A (en) * 2000-12-20 2002-06-26 윤종용 Ferroelectric capacitor in semiconductor memory device and method for manufacturing the same
KR100393208B1 (en) * 2001-01-15 2003-07-31 삼성전자주식회사 Semiconductor device using doped polycrystalline silicon-germanium layer and method for manufacturing the same
US6426265B1 (en) * 2001-01-30 2002-07-30 International Business Machines Corporation Incorporation of carbon in silicon/silicon germanium epitaxial layer to enhance yield for Si-Ge bipolar technology
US6528374B2 (en) 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
JP3730962B2 (en) * 2001-04-02 2006-01-05 松下電器産業株式会社 Manufacturing method of semiconductor device
JP2002343790A (en) 2001-05-21 2002-11-29 Nec Corp Vapor-phase deposition method of metallic compound thin film and method for manufacturing semiconductor device
AU2002305733A1 (en) * 2001-05-30 2002-12-09 Asm America, Inc Low temperature load and bake
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
KR100430404B1 (en) * 2001-06-02 2004-05-04 삼성전자주식회사 Method Of Forming Singlecrystalline Silicon Pattern Utilizing Structural Selective Epitaxial Growth Technique and Selective Silicon Etching Technique
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) * 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6551893B1 (en) * 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP3914064B2 (en) * 2002-02-28 2007-05-16 富士通株式会社 Method and apparatus for growing mixed crystal film
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
KR100542736B1 (en) * 2002-08-17 2006-01-11 삼성전자주식회사 Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6759286B2 (en) * 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6998305B2 (en) * 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
EP1678795A1 (en) * 2003-10-31 2006-07-12 Bookham Technology PLC Method for manufacturing gratings in semiconductor materials that readily oxidise
US7045432B2 (en) * 2004-02-04 2006-05-16 Freescale Semiconductor, Inc. Method for forming a semiconductor device with local semiconductor-on-insulator (SOI)

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030022528A1 (en) * 2001-02-12 2003-01-30 Todd Michael A. Improved Process for Deposition of Semiconductor Films
US20040259333A1 (en) * 2003-03-12 2004-12-23 Pierre Tomasini Method to planarize and reduce defect density of silicon germanium
US20050079691A1 (en) * 2003-10-10 2005-04-14 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US20060046442A1 (en) * 2004-09-01 2006-03-02 Nirmal Ramaswamy Method of forming epitaxial silicon-comprising material and a method of forming a vertical transistor

Also Published As

Publication number Publication date
JP2009533546A (en) 2009-09-17
KR101037524B1 (en) 2011-05-26
WO2007109491A2 (en) 2007-09-27
TWI419204B (en) 2013-12-11
US20060166414A1 (en) 2006-07-27
TW200802547A (en) 2008-01-01
US7560352B2 (en) 2009-07-14
KR20080112298A (en) 2008-12-24
CN101401202A (en) 2009-04-01
CN101401202B (en) 2011-09-28

Similar Documents

Publication Publication Date Title
WO2007109491A3 (en) Selective deposition
TW200729339A (en) Selective etch of films with high dielectric constant with H2 addition
WO2012002995A3 (en) Thin films and methods of making them using cyclohexasilane
TW200802552A (en) Method of manufacturing epitaxial silicon wafer and apparatus thereof
WO2006060339A3 (en) Selective epitaxy process with alternating gas supply
WO2011155858A3 (en) Method of graphene manufacturing
WO2012008789A3 (en) Method for producing graphene at a low temperature, method for direct transfer of graphene using same, and graphene sheet
WO2008011688A3 (en) GROWTH OF MONOCRYSTALLINE GeN ON A SUBSTRATE
TW200943419A (en) Low wet etch rate silicon nitride film
WO2010088267A3 (en) Method and apparatus for etching
WO2007112058A3 (en) Carbon precursors for use during silicon epitaxial firm formation
WO2009047894A1 (en) Method for producing group iii nitride crystal substrate, group iii nitride crystal substrate, and semiconductor device using group iii nitride crystal substrate
WO2011126637A3 (en) Method and apparatus for removing glass soot sheet from substrate
TW200603225A (en) Method of manufacturing carbon nanotube and plasma cvd(chemical vapor deposition) apparatus for implementing thereof
WO2007078802A3 (en) Epitaxial deposition of doped semiconductor materials
TW200943389A (en) Selective formation of silicon carbon epitaxial layer
WO2010129292A3 (en) Cluster tool for leds
WO2012170150A3 (en) Selective deposition of polymer films on bare silicon instead of oxide surface
EA200870558A1 (en) METHODS OF PREPARING POLYCRYSTALLIC SILICON SILICONS OF HIGH DEGREE OF PURITY WITH THE USE OF METAL MEANS-BASIS
WO2013036376A3 (en) Methods for the epitaxial growth of silicon carbide
WO2008008098A3 (en) Plasma deposition apparatus and method for making polycrystalline silicon
UA95942C2 (en) Method for producing a solar element, use of silicon tetrachloride based on thin-film solar element obtaining by this method
WO2011037377A3 (en) Batch-type epitaxial layer forming device and a method for forming the same
WO2008078502A1 (en) Film deposition apparatus and film deposition method
TW200739688A (en) Method for manufacturing epitaxial wafer and epitaxial wafer

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07758579

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2009500603

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 200780009125.9

Country of ref document: CN

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 1020087025093

Country of ref document: KR

122 Ep: pct application non-entry in european phase

Ref document number: 07758579

Country of ref document: EP

Kind code of ref document: A2