WO2007130295A2 - Procédé et appareil de calibrage précis d'un réflectomètre par une mesure de réflectance relative - Google Patents

Procédé et appareil de calibrage précis d'un réflectomètre par une mesure de réflectance relative Download PDF

Info

Publication number
WO2007130295A2
WO2007130295A2 PCT/US2007/010003 US2007010003W WO2007130295A2 WO 2007130295 A2 WO2007130295 A2 WO 2007130295A2 US 2007010003 W US2007010003 W US 2007010003W WO 2007130295 A2 WO2007130295 A2 WO 2007130295A2
Authority
WO
WIPO (PCT)
Prior art keywords
calibration
reflectance
sample
samples
data
Prior art date
Application number
PCT/US2007/010003
Other languages
English (en)
Other versions
WO2007130295A3 (fr
Inventor
Phillip Walsh
Dale A. Harrison
Original Assignee
Metrosol, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/418,827 external-priority patent/US7282703B2/en
Priority claimed from US11/418,846 external-priority patent/US7511265B2/en
Application filed by Metrosol, Inc. filed Critical Metrosol, Inc.
Priority to JP2009509605A priority Critical patent/JP2009536354A/ja
Publication of WO2007130295A2 publication Critical patent/WO2007130295A2/fr
Publication of WO2007130295A3 publication Critical patent/WO2007130295A3/fr

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/27Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands using photo-electric detection ; circuits for computing concentration
    • G01N21/274Calibration, base line adjustment, drift correction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/31Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry
    • G01N21/33Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using ultraviolet light
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers

Definitions

  • the present invention relates to the field of optical metrology. More specifically, it provides a method by which reflectance data may be accurately calibrated. In one embodiment it provides a method by which broad-band vacuum ultraviolet (VUV) reflectance data may be accurately calibrated. Additionally, it also provides a method by which highly accurate thin film measurements may be performed.
  • VUV vacuum ultraviolet
  • Optical reflectometry techniques have long been employed in process control applications in the semiconductor manufacturing industry due to their non-contact, non-destructive and generally high-throughput nature.
  • the vast majority of these tools operate in some portion of the spectral region spanning the deep ultraviolet and near-infrared wavelengths (DUV-NIR generally 200- 1000 nm).
  • DUV-NIR deep ultraviolet and near-infrared wavelengths
  • the push towards thinner layers and the introduction of complicated new materials have challenged the sensitivity of such instrumentation.
  • this has necessitated an effort to develop optical reflectometry equipment utilizing shorter wavelengths (below 200 nm), where greater sensitivity to subtle changes in material properties may be realized.
  • One approach to performing such measurements is described in U.S. Application Ser. No. 10/668,642, filed on September 23, 2003, which discloses a system and method for a vacuum ultraviolet (VUV) reflectometer, the disclosure of which is incorporated herein by reference.
  • VUV vacuum ultraviolet
  • I n is the intensity reflected from the sample and measured by the detector
  • Io is the incident intensity. Io is generally not known. In addition, Io will change over time due to environmental changes, drift in the optical system caused by environmental changes, and to drift of the intensity profile of the light source. At any given point in time, Io is determined by a calibration procedure:
  • R ca i is the assumed reflectance of the calibration standard. If enough information about the calibration sample is known, e.g. optical properties, surface roughness, etc., then Rcai can be generated using standard thin film models. Subsequent measurements are performed calibrated using this Io via eq. 1.
  • the reflectance of single crystal silicon wafers is neither stable nor predictable. Subtle variations in the thickness of the naturally (or “native") formed silicon dioxide layer present on the wafer can significantly influence the measured reflectance. Additionally, ultra-thin layers of moisture and/or hydrocarbons (sometimes called airborne molecular contaminant, or AMC in the literature) are known to adsorb onto the surface further modifying the sample reflectance in this spectral region. Contaminant films may also develop on calibration samples as a result of repeated use in VUV metrology tools. The presence and growth of these films change the reflectance of the calibration standards. As a result, it is generally not advisable to regard the reflectance of single crystal silicon wafers at wavelengths ⁇ 250 nm as a "known" property.
  • U.S. Pat. No. 5,798,837 describes an optical measurement system that includes a reference ellipsometer and at least one non-contact optical measurement device, such as a reflectometer.
  • the reference ellipsometer is used to determine an optical property of the calibration sample.
  • the optical measurement device is then calibrated by comparing the measured optical property from the optical measurement device to the determined optical property from the reference ellipsometer.
  • this method specifically enabled the accurate calibration of reflectometry data at wavelengths encompassing the VUV spectral region, where small uncertainties in the properties of third party certified standards can result in substantial errors. It would be further desirable if this method was capable of independently determining the properties of such standards so as to reduce or altogether remove the need for their procurement and maintenance.
  • Optical reflectance measurements are used in a wide range of thin film applications. Ordinarily the absolute reflectance of a sample is recorded and subsequently analyzed using mathematical models in order to determine an assortment of physical properties.
  • One embodiment of the current invention provides a means by which VUV reflectance data may be quickly and accurately calibrated.
  • the method enables simultaneous calibration of reflectance data covering a broad range of wavelengths. Additionally, the technique operates in a manner well suited for use in semiconductor manufacturing environments.
  • the method may be self-contained in that it may not require use of a second referencing instrument. It may provide a method by which calibration results may be autonomously verified such that use of third party certified standards will be reduced and/or altogether eliminated.
  • the techniques include utilizing a standard (or "calibration") sample that allows for calibration in the wavelengths of interest even when the standard sample may exhibit significant reflectance variations at those wavelengths for subtle variations in the properties of the standard sample.
  • calibration may be achieved even in cases where traditionally significant calibration error in regions of wavelengths that a user is interested in would be expected to be encountered.
  • the technique takes advantage of the presence of a certain amount of calibration error that may be referred to as a calibration error function.
  • the calibration process may include a technique that utilizes a first sample and a second sample.
  • the first sample may include significant reflectance variation in the spectral region of interest as a function of sample property variations and the second sample may have a relatively featureless reflectance spectrum over the same spectral region.
  • the first sample may be considered a standard or calibration sample and the second sample may be considered a reference sample.
  • the spectral region may include the VUV spectral region.
  • a calibration technique in which a standard or calibration sample may have relatively unknown properties with the exception that it may be assumed to have a significant calibration error function in the spectral regions of interest.
  • the exact properties of the standard sample need not be known if it can be assumed that the standard sample exhibits sharp changes in reflectance for changes in the sample property.
  • a technique by which highly accurate thin film measurements may be performed is provided.
  • the method may provide mathematical fitting algorithms with a more sensitive "goodness of fit" indicator that is less susceptible to noise present in the raw data.
  • the fitting routine may be a spectrally driven fitting routine rather than relying solely on an amplitude driven routine (which typically incorporates difference calculations).
  • the measurements may be obtained by utilizing the presence of sharp, narrow spectral features.
  • the measurements are obtained by a spectrally driven fitting routine that utilizes a ratio of an expected reflectance spectrum of the sample being measured to the actual reflectance spectrum of the sample being measured.
  • the techniques provided herein utilize a ratio of the values.
  • the techniques are particularly useful in spectral regions that contain sharp spectral features, for example the sharp features that are often exhibited in the VUV region for thin film samples.
  • a data convergent technique is provided that may beneficially utilize an absorption edge effect of the material is disclosed. In this manner sharp spectral features, for example resulting from either interference or absorption effects are advantageously utilized to better determine a data minimum that is indicative of an actual measurement value.
  • the data reduction techniques may utilize a two step approach.
  • a low resolution step such as an amplitude driven fitting routine may be used to first provide a "coarse" measurement.
  • a high resolution step such as a spectrally-driven fitting routine that advantageously utilizes the presence of sharp spectral features may be used to provide a "fine" measurement.
  • the low resolution step may obtain a rough measurement value by using a difference based technique as in a "Chi-square" merit function.
  • the high resolution step may be a spectrally driven step that includes a ratio based technique in the region of interest initially identified by the low resolution technique.
  • a reflectometer calibration technique may include the use of two calibration samples in the calibration process. Further, the technique allows for calibration even in the presence of variations between the actual and assumed properties of at least one or more of the calibration samples. In addition, the technique utilizes a ratio of the measurements from the first and second calibration samples to determine the actual properties of at least one of the calibration samples. The determined actual properties may then be utilized to assist calibration of the reflectometer.
  • a ratio of the intensity reflected from the first and second calibration samples may be utilized.
  • the samples may exhibit relatively different reflective properties at the desired wavelengths.
  • the reflectance data of each sample may then be considered relatively decoupled from the other and actual properties of one or more of the calibration samples may be calculated. The determined actual properties may then be utilized to assist calibration of the reflectometer.
  • a method of calibrating a system that obtains reflectance data.
  • the method may include obtaining reflectance data from a first calibration sample and obtaining reflectance data from a second calibration sample, wherein exact properties of the at least one of the first and second calibration samples may vary from assumed properties of the calibration samples and wherein the reflective properties of the first and second calibration samples differ.
  • the method may further include utilizing a ratio based upon the data obtained from the first calibration sample and the data obtained from the second calibration sample in order to assist in calibrating the system.
  • a method of calibrating a reflectometer may include providing a first calibration sample and a second calibration sample, wherein the reflectance properties of the first calibration sample and the second calibration sample are different.
  • the method further includes collecting a first set of data from the first calibration sample and collecting a second set of data from the second calibration sample.
  • the method also includes utilizing a ratio of at least a portion of the first set of data and at least a portion of the second set of data to determine a property of at least one of the first and second calibration samples so that reflectance data from an unknown sample may be calibrated.
  • a method of calibrating a reflectometer which operates at wavelengths that include at least some wavelengths below deep ultra-violet (DUV) wavelengths.
  • the method may include providing a first calibration sample and a second calibration sample, wherein the reflectance properties of the first calibration sample and the second calibration sample are different.
  • the method further includes collecting a first set of data from a first calibration sample, the first set of data including at least some intensity data collected for wavelengths below DUV wavelengths.
  • the method also includes collecting a second set of data from the second calibration sample, the second set of data including at least some intensity data collected for wavelengths below DUV wavelengths.
  • the method may include utilizing a ratio based on the first set of data and the second set of data to determine a reflectance of at least one of the first calibration sample and the second calibration sample to assist in calibrating the reflectometer at wavelengths that include at least some DUV wavelengths.
  • a method of analyzing reflectometer data may include providing a first reflectometer sample and at least a second reflectometer sample, wherein the optical response properties of the first calibration sample and the second calibration sample are different.
  • the method may further include collecting a first set of optical response data from the first reflectometer sample and collecting a second set of optical response data from the second reflectometer sample.
  • the method further including determining at least one property of at least one of the first and second reflectometer samples by utilizing the first set and second set of optical response data in a manner independent of an incident reflectometer intensity that is utilized when collecting the first and second set of optical response data.
  • Figure 1 illustrates a prior art calibration and measurement flowchart for a reflectometer.
  • Figure 2 illustrates a prior art detailed calibration and measurement flowchart for a reflectometer.
  • Figure 3 illustrates reflectance spectra from ultra-thin SiO2/Si samples.
  • Figure 4 illustrates calibration error spectra for a 20 A SiO2/Si sample generated for a series of assumed thicknesses.
  • Figure 5 illustrates an exemplary calibration and measurement flowchart according to one embodiment of the present invention.
  • Figure 6 illustrates calibration error spectra for a 10000 A SiO2/Si sample generated for a series of assumed thicknesses.
  • Figure 7 illustrates a reflectance spectra for a broad-band VUV mirror (#1200) manufactured by Acton Research Corp.
  • Figure 8 illustrates a product of a reference sample reflectance spectrum and a calibration error function for a 10000 A SiO2/Si sample obtained from the measurement of an arbitrary reference sample.
  • Figure 9 illustrates a derivative of a calibration error function for a 10000 A SiO2/Si sample generated for an assumed thickness of 10010 A.
  • Figure 10 illustrates a sensitivity plot calculated using a calibration error function integral for a 10000 A SiO2/Si standard sample.
  • Figure 11 illustrates a reflectance of a reference sample used in a calibration routine.
  • Figure 12 illustrates an exemplary detailed calibration and measurement flowchart according to one embodiment of the present invention.
  • Figure 12A illustrates an exemplary reflectometer system which may utilize the calibration concepts of the present invention.
  • Figure 13 illustrates the sensitivity plot calculated using a standard prior art merit function for a 10000 A SiO2/Si sample.
  • Figure 14 illustrates an expanded sensitivity plot calculated using a standard prior art merit function for a 10000 A SiO2/Si sample in the presence of 1 % noise on the measured reflectance data.
  • Figure 15 illustrates an exemplary detailed measurement flowchart according to one embodiment of the present invention.
  • Figure 16 illustrates an expanded sensitivity plot calculated using an MEF integral for a 10000 A SiO2/Si sample in the presence of 1% noise on the measured reflectance data.
  • Figure 17 illustrates a sensitivity plot calculated using an MEF integral for a 10000 A SiO2/Si sample.
  • Figure 18 illustrates a comparison of sensitivity plots calculated using an MEF integral and a standard prior art merit function for a 100 A SiO2/Si sample.
  • Figure 19 illustrates an expanded sensitivity plot calculated using an MEF integral for a 100 A SiO2/Si sample in the presence of 1% noise on the measured reflectance data.
  • Figure 20 illustrates an expanded sensitivity plot calculated using standard prior art merit function for a 100 A SiO2/Si sample in the presence of 1% noise on the measured reflectance data.
  • Figures 21 A and 21 B illustrate plots of a relative reflectance ratio of two calibration samples in which a thinner oxide varies on one of the samples.
  • Figures 22A and 22B illustrate plots of a relative reflectance of two calibration samples in which a thicker oxide varies on one of the samples.
  • Figures 23A and 23B illustrate plots of relative reflectance of two calibration samples with varying thicknesses of a contamination layer.
  • Figure 24 illustrates an exemplary mechanical implementation of two calibration samples.
  • Figure 25 illustrates a flow chart of an exemplary technique to utilize the reflectance ratio of two calibration samples to calibrate a reflectometer measurement.
  • Figure 26 illustrates another flow chart of an exemplary technique to utilize the reflectance ratio of two calibration samples to calibrate a reflectometer measurement.
  • Figure 27 illustrates plots the result of a reflectance ratio fit from two calibration samples, one with a thin oxide and one with a thicker oxide.
  • Figures 28A-28D illustrate plots of the effects of contaminant layers reflectance on the reflectance of thin oxide and thick oxide samples.
  • Figures 29A-L illustrate plots of the reflectance ratios of various samples having contaminant layer buildup.
  • FIG. 2 illustrates the flowchart 202 for the calibration procedure.
  • a first step 204 knowledge of reflectance properties of a standard sample is assumed.
  • the intensity from the standard sample is recorded.
  • the source intensity profile is calculated in step 208 using knowledge of the assumed reflectance properties of the standard sample.
  • the intensity from an unknown sample is recorded.
  • the reflectance of the unknown sample may then be calculated as shown in step 212.
  • the reflectance of the unknown sample may then be expressed according to the equation of step 214. From examination of the final step of the process it is evident that the measured reflectance of an unknown sample is directly proportional to the assumed reflectance of the calibration sample. Hence, if the assumed reflectance is inaccurate it follows that the measured reflectance will also be inaccurate.
  • Figure 4 better illustrates the effect of such errors. Plotted in this figure are a series of curves corresponding to the ratios of pairs of reflectance spectra. The first spectrum in each pair corresponds to that expected from a SiO 2 /Si sample with an "assumed" native oxide thickness (ranging from 10 to 30 A), while the second spectrum in each pair corresponds to a SiO 2 /Si sample with an "actual" native oxide thickness of 20 A.
  • curve 302 of Figure 4 corresponds to the ratio of the reflectance spectrum for an assumed native oxide thickness of 10 A to the reflectance spectrum of a native oxide thickness of 20 A.
  • curve 304 of Figure 4 corresponds to the ratio of the reflectance spectrum for an assumed native oxide thickness of 15 A to the reflectance spectrum of a native oxide thickness of 20 A.
  • curves 306, 308 and 310 illustrate the ratio of an assumed native oxide thickness of 20, 25, and 30 A (respectively) to the reflectance spectrum of a native oxide thickness of 20 A.
  • the ratio may be considered essentially as a measure of calibration error, herein referred to as the calibration error function (CEF).
  • CEF calibration error function
  • the "assumed" thickness is equal to the "actual" thickness of 2 ⁇ A as shown by curve 306, the CEF is equal to one at all wavelengths and the calibration is perfectly accurate.
  • the CEF attains a value of greater than 1.3 at short wavelengths, while maintaining a value of less than 1.002 at wavelengths above 250 nm. This represents an error of greater than 30% in the VUV and less than ⁇ 0.2% at longer wavelengths.
  • silicon wafers may be readily used to calibrate reflectometers at wavelengths greater than 250 nm, they do not provide a practical means of accurately calibrating reflectometers in the VUV.
  • Another source of error is the buildup of a silicone-based contaminant on surfaces exposed to VUV radiation due to the presence of siloxane-based compounds in typical manufacturing environments. This "baked on” layer is harder to remove. Over time, this contaminant layer builds up on the surface of the native SiCVSi standard sample, causing the absolute reflectance of the standard to decrease, especially in the VUV region. This means that a calibration procedure which always generates R ca ⁇ assuming the native SiCVSi structure will often yield incorrect results in the VUV.
  • FIG. 5 provides a general overview of the steps involved in the process. As is evident from the figure the technique requires the use of two samples, a standard and a reference.
  • the standard sample is chosen such that it is expected to exhibit a significant and spectrally sharp CEF over some spectral region.
  • the reference sample is selected such that it is expected to exhibit a relatively featureless reflectance spectrum over the same spectral region.
  • the first two steps 504 and 506 of the process are in effect identical to those described in the conventional method of Figure 1. Namely, knowledge of the properties of the standard sample is assumed, following which the intensity of light reflected from the sample as a function of wavelength is recorded and used to calibrate the reflectometer. At this point the calibrated reflectometer is used to measure a reference sample and determine its reflectance as described in step 508. Once this has been accomplished, in step 510 the "actual" properties of the standard sample are determined through evaluation of the measured reflectance properties of the reference sample and the CEF.
  • the reflectometer can then be accurately re-calibrated in step 512, thereby removing imprecision resulting from errors associated with the "assumed" properties of the standard sample in the second step of the process.
  • the absolute reflectance of unknown samples may be accurately determined as shown in step 514.
  • the calibration techniques are dependent on the choice of the standard sample. As discussed earlier, it is desirable for the standard to exhibit a significant and spectrally sharp CEF spectrum over some spectral region of the reflectometer. To a great degree this capacity will be dictated by the optical nature of the sample. Specifically, the CEF signal generated by a standard sample is expected to increase in the vicinity of an optical absorption edge corresponding to one or more of the materials comprising it. In this spectral region small changes in the properties of the sample can generate significant changes in the reflected signal and hence a large CEF contribution. It follows that it is thus desirable that the reflectometer has sufficient spectral resolution to ensure sharp features of the CEF signal are detected and accounted for.
  • the standard sample is comprised of a relatively thick ( ⁇ 10000 A) layer of SiO 2 deposited on a silicon substrate.
  • Figure 6 presents a CEF plot for such a standard, wherein the ratios of three pairs of reflectance spectra are plotted for "assumed" SiO 2 thicknesses of 9990, 10000 and 10010 A.
  • the spectra 602 corresponding to the 9990 A assumption and the spectra 604 corresponding to the 10010 A assumption both exhibit substantial and spectrally sharp CEF features (in the case where the "assumed" thickness is equal to the "actual" thickness of 10000 A the CEF is equal to one at all wavelengths ).
  • the data in the figure indicates that the 10 A error (representing just 1 part in 1000) would introduce an inaccuracy of greater than 200 % in the VUV reflectance results.
  • the CEF is essentially a ratio of the "assumed” and "actual” reflectance spectra for a standard (or “calibration") sample. If the assumptions regarding the standard sample are completely accurate, the CEF assumes a value of one at all wavelengths. If instead the assumptions are to some extent flawed, the CEF will display values greater or less than one. The greater the inaccuracies in the assumptions, the greater the CEF values will deviate from unity.
  • the CEF clearly provides a sensitive indicator of calibration accuracy it is not, itself, observable.
  • One aspect to exploiting the CEF is therefore to use the reference sample to render the CEF features apparent. This follows since all measurements performed on samples following the initial calibration are in effect the product of the CEF and the "actual" reflectance spectrum of the sample under study. Hence if the reference sample, with its substantially smooth and featureless reflectance spectrum, is measured and if the CEF is not equal to unity then the intense sharp features in the CEF will be clearly evident in the reflectance spectrum recorded from the reference sample.
  • any sample with a substantially smooth and featureless reflectance spectrum may be employed as a reference sample
  • a particularly well-suited choice may be a broad-band VUV mirror like the broad-band VUV mirror having coating #1200 manufactured by Acton Research Corporation of the United States.
  • a typical reflectance spectrum 702 for this type of mirror is presented in Figure 7. As is evident from the figure this broad-band mirror combines high reflectance throughout the entire VUV region with a largely featureless spectrum. It may be noted from Figure 7 that the reference sample does not display sharp features in a spectral region such as the VUV where the standard sample may display a significant CEF.
  • the sample used for a reference sample need not provide a consistent reflectance spectrum from sample to sample.
  • the same type of broad-band VUV mirror with the same coating from the same manufacturer may show a difference in absolute reflectance from mirror to mirror.
  • the mirror may be suitable for use as a reference sample.
  • the reference sample such as the mirror described above
  • the sample may still be suitable as a reference sample.
  • one type of sample that is relatively featureless in the spectral region of interest such as the VUV is a silicon sample that has a native oxide on the sample.
  • Such samples are relatively featureless when compared to a silicon sample with a thick oxide such as 1000 A SiO 2 /Si.
  • a standard sample may a 1000 A SiO 2 /Si sample and a reference sample may be a silicon sample with a native oxide layer.
  • a technique includes utilizing a standard sample that allows for calibration in the wavelengths of interest even when the standard sample may exhibit significant reflectance variations at those wavelengths for subtle variations in the properties of the standard sample. Calibration may be achieved even in cases where traditionally significant calibration error in regions of wavelengths that a user is interested in would be expected to be encountered. In this regard the technique takes advantage of the presence of a certain amount of calibration error that may be referred to as a calibration error function.
  • the calibration process may thus include a technique that utilizes a first sample and a second sample.
  • the first sample may include significant reflectance variation in the spectral region of interest as a function of sample property variations and the second sample may have a relatively featureless reflectance spectrum over the same spectral region.
  • the first sample may be considered a standard or calibration sample and the second sample may be considered a reference sample.
  • the calibration technique may utilize a standard sample that may have relatively unknown properties with the exception that it may be assumed to have a significant calibration error function in the spectral regions of interest.
  • the exact properties of the standard sample need not be known if it can be assumed that the standard sample exhibits sharp changes in reflectance for changes in the sample property.
  • the derivative of the measured reflectance spectrum is calculated. This acts to reduce the coupling between the CEF and the "actual" reflectance spectrum of the reference sample and places greater emphasis on “sharp” reflectance structures (likely contributed by the CEF) than on slowly changing features (expected from the reference sample).
  • the absolute value of the derivative is calculated and the resulting function integrated. Taking the absolute value of the derivative prior to integration is necessary in order to constructively capture both positive and negative values of the function and to avoid canceling out contributions to the derivative arising from the reference sample reflectance spectrum. With the integration complete it is possible to quantitatively evaluate the results of the initial calibration procedure.
  • the integrated value can be fed back to an algorithm that iteratively adjusts the initial assumptions regarding the properties of the standard sample, re-calculates the CEF and re-determines the integrated value in an effort to minimize its value.
  • the minimum has been achieved the "actual" properties of the standard sample, and hence its "actual” reflectance have been determined.
  • the reflectometer can be accurately calibrated and measurements on unknown samples performed.
  • Figure 8 presents the results of a measurement performed on an appropriate reference sample following calibration with a 10000 A SiO 2 /Si standard sample using an "assumed" thickness of 10010 A.
  • the sharp structure evident in the measured spectrum of the reference sample is a consequence of the 10 A error introduced during the calibration process.
  • Signal 802 shown in Figure 8 is a measured spectrum obtained from the reference sample. This signal is a result of the product of the reflectance of the reference sample and the CEF spectrum resulting from the inaccurate calibration.
  • the CEF and reference sample reflectance signals are essentially coupled, and as is evident exist largely at shorter wavelengths in the VUV. In the present example, this occurs because the CEF signal was largely present in the VUV region and the reference reflectance was substantially featureless in this same region.
  • the minimum value of the CEF/reference reflectance product integral is achieved when the "assumed" thickness value matches the "actual” thickness of the standard sample.
  • the "actual” properties of the standard sample are determined and the instrument is accurately calibrated.
  • the CEF function assumes a value of unity at all wavelengths and subsequent measurement of the reference sample yields its true reflectance spectrum 1102, as illustrated in Figure 11.
  • step 1204 first the assumed knowledge of a standard sample, expected to exhibit substantial calibration error features in a given spectral region is used to calculate the assumed reflectance of the standard sample.
  • step 1206 the intensity from the standard sample is recorded.
  • step 1208 the source intensity profile is calculated using the assumed reflectance of the standard sample.
  • the intensity from the reference sample that is expected to exhibit substantially smooth reflectance properties over the same spectral region is then recorded in step 1210.
  • step 1212 the reflectance of the reference sample is calculated.
  • the reflectance of the reference sample may be then expressed according to the equation of step 1214.
  • the absolute value of the derivative of the reference sample reflectance spectrum may then be calculated in step 1216.
  • the integral of the absolute value of the derivative is then calculated in step 1218.
  • step 1220 an iterative adjustment of the assumptions regarding the properties of the standard sample is performed and the assumed reflectance of the standard is recalculated. Control is returned to step 1214 from step 1220 until the value of the integral is minimized and the actual properties of the standard sample are thus obtained at which point the process proceeds from step 1220 to step 1222.
  • step 1222 the source intensity profile is re-calculated using the actual reflectance of the. standard.
  • the intensity of an unknown sample is then recorded in step 1224.
  • the reflectance of the unknown sample is calculated and expressed according to the equation of step 1226.
  • the system 3400 may optionally include multiple sources 3201 , 3203, and 3302 and corresponding multiple spectrometers 3214, 3216, and 3304.
  • Flip-in mirrors FM- 1 through FM-4 and corresponding windows W-3 through W-6 may be utilized to select the various sources and spectrometers.
  • Mirrors M-1 through M-5 are utilized to direct the beams as shown.
  • a sample 3206 may be located in a sample beam 3210.
  • a reference beam 3212 is also provided.
  • a beam splitter BS is provided and shutters S-1 and S-2 select which of the beams is being utilized.
  • the various optics and samples may be included in environmentally sealed chambers 3202 and 3204 such that measurements in the VUV bandwidth may be obtained.
  • a sample beam (or channel) 3210 is provided for obtaining measurements from a sample 3206.
  • a reference beam (or channel) 3212 is provided for referencing the system.
  • the reference beam is configured to provide a mechanism that is indicative of environmental or other system conditions.
  • the reference beam may be configured to provide a beam path that is similar in beam length and environmental conditions as the sample beam, however, the reference beam does not encounter the sample 3206.
  • the standard sample may be placed at the sample 3206 location of Figure 12A.
  • a separate reference sample need not be placed at the sample 3206 location however (although such a use of a separate reference sample placed at the sample 3206 location may be utilized).
  • the entire reference beam 3212 path may be construed as the "reference sample.”
  • the cumulative effects of the beam splitter BS, mirror M-4, window W-2, and mirror M-5 i.e. the elements that are different between the sample and reference paths
  • the reference sample Such use of an entire beam path for the reference sample is generally available if the combined effect of the optical elements provides a relatively smooth featureless reflectance spectrum in the spectral range of interest. It will be recognized that many other methods of utilizing the calibration techniques will be apparent to one skilled in the art and the calibration techniques described herein are not limited to the mechanical configurations referred to herein.
  • the reflectometer system 3400 may include a processor, computer, other electronics, and/or software for calibrating the system according to the calibration techniques provided herein.
  • the processor, computer, other electronics, and/or software may be constructed integral with the reflectometer optical hardware or may be a separate stand alone unit that together with the reflectometer optical hardware forms a reflectometer system configured to allow for calibration.
  • VUV reflectometry data may be accurately calibrated in light of the fact that uncertainties associated with commercially available thin film standard samples may be too large to enable accurate calibration using conventional methods. As a result, it may altogether eliminate the need for reflectometer tool users to purchase, maintain and recalibrate expensive standard samples.
  • the current invention allows one to achieve highly accurate calibration results without prior knowledge of the exact properties of either the standard or reference samples. This capability is particularly useful since virtually all samples can be expected to undergo subtle changes in their properties as a function of time, as a result of either natural growth mechanisms or contamination.
  • the present invention may also be used to calibrate reflectometry data from other spectral regions. In such instances it may be advantageous to employ the use of other standard samples which could be expected to generate substantial CEF signals in the spectral region of interest.
  • a further advantage of the invention is that it does not require use of a secondary reference instrument, thereby greatly reducing system cost and complexity.
  • reflectance data Once reflectance data has been recorded from a calibrated reflectometer it is typically sent to a processor unit where it is subsequently reduced via analytical algorithms. These algorithms generally relate optical data, such as reflectance, to other properties of the sample, which can then be measured and/or monitored like film thickness, complex refractive index, composition, porosity, surface or interface roughness, etc.
  • Data reduction is generally accomplished using some form of the Fresnel Equations in combination with one or more models to describe the optical properties of the materials comprising the sample.
  • the greater goal is generally to use a mathematical expression to describe the measured data such that certain parameters, relating to the properties of the samples (as discussed above), can be obtained through an iterative optimization process. That is, the measured data set is compared to one calculated using an expression that depends on a set of parameters relating to the nature of the sample. The discrepancy between the measured and calculated data sets is minimized by iteratively adjusting the values of the parameters until such time as adequate agreement between the two data sets is achieved. This discrepancy is usually quantified in terms of a "goodness of fit" (GOF) parameter.
  • GAF goodness of fit
  • FIG. 13 presents a sensitivity plot 1302 for a prior art GOF expression (known to those skilled in the art as the "Chi-square" merit function) as calculated for a 10000 A SiCVSi test sample.
  • Another preferred embodiment of the present invention provides this capability. Namely, it provides a highly sensitive measure of convergence that can be used in combination with an appropriate minimization routine to efficiently reduce measured reflectance data, thus yielding results exhibiting a higher level of accuracy then attainable using conventional techniques alone. While designed to be used in conjunction with traditional merit functions, the current invention may in some instances altogether supplant the use of such methods.
  • a general overview of one embodiment of the data reduction techniques described herein is presented in the flowchart 1502 of Figure 15, wherein the mathematical relationships involved in an iterative data fitting routine associated with the measurement of an unknown sample using a reflectometer are presented.
  • the first step 1504 in the process is to obtain the absolute reflectance spectrum of the unknown sample using an accurately calibrated reflectometer. Once this spectrum has been recorded the initial assumptions regarding the physical properties of the sample are used to calculate the "expected" reflectance properties of the sample in step 1506. With these two spectra in hand the ratio of the "expected" to "measured” spectra is determined as shown in the equation of step 1508.
  • MEF measurement error function
  • the next step in the flowchart 1502 is to calculate the absolute value of the derivative of the MEF as shown in step 1510. This acts to accentuate sharp spectral features in the MEF, resulting largely from wavelengths in the vicinity of the absorption edge for one or more materials comprising the unknown sample.
  • the absolute value of the derivative is calculated and then the resulting function is integrated as shown in step 1512.
  • taking the absolute value of the derivative prior to integration is desirable in order to constructively capture both positive and negative values.
  • an iterative process of adjusting assumptions regarding properties of the unknown sample and recalculating the expected reflectance spectrum of the unknown sample may occur as shown in step 1514.
  • control passes again to step 1508 and steps 1508-1514 are repeated until a value of the integral is minimized at which point the actual properties of the unknown sample are determined to have been obtained and control is passed to step 1516 where the actual properties of the unknown sample are provided as an output.
  • Figure 16 presents an expanded sensitivity plot 1602 calculated using an embodiment of the current invention for the same 10000 A SiO 2 /Si test sample of Figure 14. Comparing the results in these two figures it is shown that the present invention is less affected by the 1 % noise level present in the raw reflectance data, than is the Chi-square method. This establishes that the current invention provides the optimization routine with a more effective measure of the fit minimum and hence, the "actual" thickness of the film. This improved performance demonstrates that at least when the "assumed" thickness value is in the general vicinity of the "actual” thickness the current invention is capable of achieving a more accurate and repeatable result than is possible using conventional methods.
  • Figures 19 and 20 present expanded sensitivity plots covering a 4
  • data measurements may be obtained by utilizing a fitting routine that includes at least a portion of the routine that is a spectrally driven fitting routine rather than relying solely on an amplitude driven routine (which typically incorporates difference calculations). More particularly, measurements may be obtained by utilizing the presence of sharp, narrow spectral features.
  • a ratio of an expected reflectance spectrum of the sample being measured to the actual reflectance spectrum of the sample being measured Rather than being based upon a difference between the expected and actual values, the techniques provided herein utilize a ratio of the values. The derivative of this ratio may be utilized to accentuate sharp spectral features.
  • spectrally driven techniques are particularly useful in spectral regions that contain sharp spectral features, for example such as the sharp features that thin films often exhibited in the VUV region.
  • a data convergent technique is provided that may beneficially utilize an absorption edge effect of the material is disclosed.
  • sharp spectral features for example resulting from either interference or absorption effects are advantageously utilized to better determine a data minimum that is indicative of an actual measurement value.
  • the merit function presented in the present disclosure may therefore be driven by the absorption properties of the material being measured with an emphasis on regions that encompass large changes in absorption (the absorption edges) for small changes in sample properties.
  • the data reduction techniques may utilize a two step approach.
  • a low resolution step such as an amplitude driven fitting routine may be used to first provide a "coarse" measurement.
  • a high resolution step such as a spectrally-driven fitting routine that advantageously utilizes the presence of sharp spectral features may be used to then provide a "fine” measurement.
  • a low resolution approach may be utilized to obtain a rough measurement value by using a difference based technique such as in a "Chi-square" merit function and then a more accurate determination of the actual measurement value may be obtained by utilizing the spectrally driven ratio based technique in the region of interest initially identified by the low resolution technique.
  • the techniques provided herein may be construed as dynamically weighting the results for regions in which the sharp spectral features are present. For example with regard to sharp spectral edges present in the VUV range, these techniques may be construed as applying a weighting function which strongly emphasizes the VUV and strongly de-emphasizes the DUV and longer wavelength data where sharp spectral features may not be expected for a given sample. Further, the process may be weighted such that only measured data that could reasonably be expected to contain useful information may be included. This weighting method may be dynamic since the decision making process (which measured data should be considered) could be repeated after each iteration.
  • the heightened levels of sensitivity afforded by the current invention results largely from the fact that it exploits the substantial changes in reflectance signal that accompany small changes in the properties of samples when in the vicinity of the optical absorption edge of one or more of the materials comprising such samples. While such features commonly lie in the VUV spectral region, the technique can also be generally applied at longer wavelengths in situations where substantially sharp features are expected in the MEF as a result of subtle changes in the physical properties of the samples under study.
  • the use of multiple calibration samples and the ratio of the intensity reflected from the samples may be utilized in a variety of manners to achieve a calibration even under conditions in which changes in the calibration sample and system variations and drift may exist.
  • the use of two calibration samples in which a first calibration sample has sharp spectral features in the wavelength region of interest and the second sample is relatively featureless in the wavelength region of interest as compared to the first sample.
  • a ratio of the intensity reflected from the first and second calibration samples may be utilized wherein neither of the two calibration samples need to be relatively featureless. In such an embodiment it is merely desirable that the samples be relatively different in their reflective properties at the desired wavelengths as described below in more detail.
  • the reflectance data of each sample may then be considered relatively decoupled from the other.
  • the techniques described above with reference to a first sample and a second sample that is relatively featureless are one example of the use of two calibration samples that are relatively different in their reflective properties, however, as described below techniques may be utilized in which neither calibration sample needs to be spectrally featureless.
  • the ratio of reflectances from two samples can be measured via the measured intensities, since
  • Equation 3 arises from the fact that the incident intensity Io does not change during the two measurements.
  • This ratio can be analyzed using standard thin film regression analysis to extract the same film parameters (n, k, thickness, interface roughness, etc.) that are determined from an absolute reflectance of a single sample.
  • the ratio of eq. 3 may be measured at different time intervals to determine changes in the samples independently of changes in Io . This data may then be utilized to calibrate the reflectometer and determine Io .
  • a modified calibration procedure could be constructed using a bare- Si calibration sample in conjunction with a 1000A SiCVSi calibration sample.
  • the ratio of the intensities can be analyzed to extract the oxide thicknesses of both samples.
  • the thickness determined for the bare Si calibration sample can be fed back into the calibration procedure of eq. 2 to get a more accurate absolute reflectance.
  • Figures 21 A, 21 B, 22A, and 22B show comparisons of simulated reflectance ratios between a native SiCVSi calibration sample (Sample 1, corresponding to R-i) and a nominally 1OO ⁇ A SiO 2 /Si calibration sample (Sample 2, corresponding to R 2 ).
  • Figures 21 A and 21 B shows the effects of increasing native SiO 2 thickness on the ratio R 2 /Ri.
  • the reflectance ratio R 2 /R 1 is provided for wavelengths up to IOOOnm while Figure 21 B is an expanded view of the same ratio for wavelengths between 100nm and 400nm.
  • FIGs 21 A and 21 B plots of the impact of a variation in the native oxide on Sample 1 (Ri) are shown for 10, 20, and 30 A of SiO 2 in plots 2106, 2104, and 2102 respectively.
  • the main effect of increasing native SiO 2 thickness is to increase the ratio in the VUV, since the reflectance Ri is decreased.
  • Figures 22A and 22B illustrate the effect of variations of Sample 2 of 1000A SiO 2 /Si, 1010A SiO 2 /Si, and 1020A SiO 2 /Si for a constant Sample 1 native oxide of 2 ⁇ A. More particularly, plots 2202, 2204 and 2206 show the impact of variations of Sample 2 of 1OO ⁇ A SiO 2 /Si, 1O1 ⁇ A SiO 2 /Si, and 1O2 ⁇ A SiO 2 ZSi respectively (Figure 22A showing an expanded view of the wavelengths from 100-400nm).
  • the thicknesses of the two samples may be considered decoupled, and the thickness of each can be extracted from a standard analysis of the ratio measurement. Moreover, these thicknesses may be extracted from the ratio data without making use of an absolute reflectance standard. Since this ratio is the same regardless of system or lamp drift (assuming the intensities or Sample 1 and Sample 2 are measured in fairly quick succession), differences observed in the ratio over time will correspond to changes in the actual samples.
  • Figures 21 and 22 illustrate that if the sample property in question is the SiO 2 thickness, the amount of thickness change on each sample can be determined. The thickness of the native oxide layer detected on Sample 2 in this way can then be used to improve the quality of an absolute calibration using that sample.
  • the technique described herein provides a calibration technique that may be utilized even if the contaminant layer is not merely a growing oxide layer (including for example organic or silicon based contaminants).
  • the contaminant layer is not merely a growing oxide layer (including for example organic or silicon based contaminants).
  • the Si calibration samples described above it may be sufficient to account for the fact that the absolute reflectance is reduced by growing contaminant so that a precise description of the contaminant is not strictly necessary.
  • the most accurate calibration models may include distinct contaminant layers on both samples.
  • Relative reflectance measurements can be used to determine a better optical description of the contaminant layer buildup on calibration samples, and incorporate that information into the calibration procedure.
  • the film structures in the example above may be the contaminant layer/native SiO 2 /Si of Sample 1 and contaminant layer/1 OOOA SiO 2 /Si of Sample 2, with the contaminant layer thickness determined during the relative reflectance measurement. This will yield not only more stable absolute reflectance calibration, but a more accurate absolute reflectance in the first place.
  • FIGs 23A and 23B An illustration is provided in Figures 23A and 23B of how a contaminant layer buildup might affect the reflectance ratio for different amounts of contaminant.
  • the film structures are contaminant layer/10A SiO 2 /Si for Sample 1 and contaminant layer/1 OOOA Si(VSi for Sample 2.
  • Figure 23A shows a comparison of R 2 /R 1 for three different contaminant layer thicknesses of 1 ⁇ A, 2 ⁇ A, and 3 ⁇ A in plots 2302, 2304 and 2306 respectively.
  • the contaminant layer optical properties are actually different from those of SiO 2 , the behavior is decoupled from that shown in Figures 21 and 22.
  • all three parameters - native oxide thickness, thick oxide thickness, and contaminant layer thickness - can be determined simultaneously from a single ratio measurement.
  • the determined thicknesses can be fed back into the calibration procedure of Eq. 2, as before.
  • either or both oxide thicknesses could be fixed to some previously determined value if it is only the contamination layer that is expected to change.
  • it might be reasonable to constrain the analysis model by assuming that the same amount of contaminant layer is built up on both samples.
  • this type of measurement can be used to analyze samples without the influence of an uncertain calibration standard.
  • a relative reflectance measurement may be used to obtain a modified optical description of S1O2 in the VUV region more consistent with the observed ratio.
  • both samples are formed of the same material (native Si ⁇ 2 on silicon and thick Si ⁇ 2 on silicon).
  • An advantage to using the same material for both samples may be that the same contaminant could develop on the surface of both samples. Using samples with different surfaces might cause differences in the contaminant film that develops, making the contaminant layer harder to characterize.
  • the techniques described herein may be utilized with samples having different materials.
  • the example described above provided a technique in which characteristics of Sample 1 (native oxide sample) were determined and then that data used as a calibration standard.
  • characteristics of Sample 2 may be have been determined and that data used as a calibration standard.
  • the film structures could be any structures for which enough information is known to construct a model ratio and either of the samples may be used for the further calibrations.
  • the calibration samples, Sample 1 and Sample 2 may be constructed in any number of a wide variety of manners as is known in the art. In one embodiment, the two samples may each be formed on the same substrate.
  • Figure 24 illustrates a possible mechanical implementation of the calibration procedure in a reflectometer system, with two oxide pads of different thicknesses (such as Sample 1 and Sample 2 in the example above) formed on a semiconductor wafer or mounted on a semiconductor wafer chuck as pad 1 and pad 2.
  • the techniques described herein are not however limited to any particular mechanical implementation of the concepts provided.
  • step 252 an assumed knowledge of calibration sample 1 (expected to exhibit substantial calibration error features in a given spectral region) is used to calculate an assumed reflectance of sample 1.
  • step 2504 the intensity is recorded from calibration sample 1.
  • step 2506 the source intensity profile is calculated using the assumed reflectance of calibration sample 1.
  • step 2508 the intensity of calibration sample 2 (a sample expected to exhibit reflectance properties substantially different from calibration sample 1 over the same spectral region) is recorded.
  • a reflectance of calibration sample 2 is then calculated at step 2510.
  • a ratio of the reflectances of calibration samples 1 and 2 are then expressed as a ratio in step 2512.
  • An assumed model for the calibration samples 1 and 2 may then be constructed as expressed as a reflectance ratio as shown in step 2514.
  • a regression algorithm and merit function may be used to iteratively adjust assumptions regarding properties of calibration samples 1 and 2 and to recalculate the assumed model reflectances until the difference between the reflectance of the calculated reflectance ratio and the. model ratio is minimized and thus the "actual" properties of samples 1 and 2 have been obtained.
  • the source intensity profile may be recalculated using the "actual" reflectance of calibration sample 1.
  • the intensity from an unknown sample may then be recorded and in step 2522 the calculated reflectance of the unknown sample may be expressed as shown.
  • FIG. 26 Another exemplary calibration flowchart is shown in Figure 26.
  • a simplified process may be utilized wherein the intensities from the two samples are used to directly form the reflectance ratio (in contrast to the technique of Figure 25 in which an assumed reflectance for one of the samples was calculated from an assumed knowledge of the same sample).
  • the intensity is recorded from calibration sample 1 at step 2601.
  • the intensity of calibration sample 2 is recorded (a sample expected to exhibit reflectance properties substantially different from calibration sample 1 over the same spectral region).
  • a ratio of the reflectances of calibration samples 1 and 2 are then expressed as shown in step 2605 based upon the intensities recorded from samples 1 and 2.
  • An assumed model for the calibration samples 1 and 2 may then be constructed as expressed as a reflectance ratio as shown in step 2614.
  • a regression algorithm and merit function may be used to iteratively adjust assumptions regarding properties of calibration samples 1 and 2 and to recalculate the assumed model reflectances until the difference between the reflectance of the calculated reflectance ratio and the model ratio is minimized and thus the "actual" properties of samples 1 and 2 have been obtained.
  • the source intensity profile may be recalculated using the "actual" reflectance of calibration sample 1.
  • the intensity from an unknown sample may then be recorded and in step 2622 the calculated reflectance of the unknown sample may be expressed as shown.
  • Fig 27 provides further illustration of the concepts described in Figs. 23 and 26.
  • Fig. 27 shows the result of a reflectance ratio fit that was used to extract the oxide and contaminant layer thicknesses from two calibration samples, one with a thin oxide (sample 1 ) and one with a thicker -1000A oxide (sample 2).
  • the surface of each sample also had a small amount of a contaminant layer that had built up during use in a VUV reflectometer.
  • the reflected intensities were collected from the two calibration samples, and used to form a measured reflectance ratio.
  • a model ratio was constructed and the oxide and contaminant layer thicknesses were allowed to vary during a regression analysis, which minimized the error between the calculated and measured ratio.
  • the optical properties for Si, SiO 2 , and contaminant layer were regarded as known and fixed for this analysis (the Si ⁇ 2 and contaminant optical properties were determined from previous analysis of reflectance ratios, and are slightly different the optical properties used in Figs. 23A and 23B).
  • the optimized thicknesses that give the best fit between calculated and measured ratio are 6.06A contaminant and 1052.0A SiO 2 for the thick oxide sample and 9.49A contaminant and 18.62A SiO 2 for the thin oxide sample.
  • the absolute reflectances for both samples can be regarded as known, and the optimized parameters can be used along with each layer's optical properties and standard thin film models to compute the reflectance of either sample.
  • Fig. 28A and 28B are shown in Figs. 28A and 28B for sample 1 and Figs. 28C and 28D for sample 2, compared with reflectances for films with the same SiO 2 thicknesses but no contaminant (plots 2802 and 2806 having contaminant and plots 2804 and 2808 having no contaminant).
  • Fig. 28B is an expanded version of a portion of the plot of Fig. 28A.
  • FIG. 28D is an expanded version of a portion of the plot of Fig. 28C. It is apparent from the figures that the reflectance can change significantly, especially in the region below DUV wavelengths, as even a small amount of contaminant accumulates. If one of the oxide samples were used to calibrate Io assuming constant reflectance, the error in below DUV regions would have been significant. The effect is even greater as more contaminant develops on the samples. At the end of the analysis illustrated in Fig. 27, either of the samples' measured intensities can be used along with the appropriate (with contaminant) reflectance from Fig. 28 to determine the source intensity profile.
  • the calibration procedure would then be used to determine properties of contaminant layers as they build up, with all the SiO 2 and interface properties regarded as known and their thicknesses and optical properties fixed during the ratio analysis.
  • Uncontaminated samples can be obtained by baking or cleaning the airborne molecular contaminant (AMC) off of new samples, or in extreme cases by chemical etching, which will remove some of the SiO 2 material as well.
  • AMC airborne molecular contaminant
  • ultra-thin oxides including the native oxide that forms on silicon, have optical properties that are different from thicker, thermally grown oxides. This can also be taken into account in the reflectance models to further improve the calibration accuracy when using SiO 2 ZSi calibration samples.
  • a convenient regression procedure for analyzing the reflectance ratio is the well-known Levenberg-Marquardt algorithm described in W. H. Press, S. A. Teukolsky, W. T. Vetterling, and B. P. Flannery, Numerical Recipes in C: The Art of Scientific Computing, Second Edition, Cambridge University Press (Cambridge, MA: 1992), it will be recognized however that any number of methods known in the art may be used to optimize or otherwise extract the film parameters. In some cases, a regression algorithm and film model may not even be necessary, as it may sometimes be possible to infer reflectance changes of one or more of the calibration samples more directly from changes in the reflectance ratio.
  • a third sample may be a thick (e.g. 3000A) magnesium fluoride MgF 2 /Si sample, and the ratios R2/R1 and R3/R1 simultaneously analyzed to provide further constraint on the various layers of sample 1 (samples 1 and 2 could have a similar film structure as in the Fig. 27 example).
  • the intensities would be measured for samples 1 , 2, and 3 within a time scale where Io is approximately constant, the ratios R2/R1 and R3/R1 formed for the measured data, and a regression analysis used to extract thickness for film and contaminant layers for all three samples simultaneously.
  • the regression minimizes errors between the measured and calculated R2/R1 and R3/R1 simultaneously, by optimizing the parameters used in the calculated ratios.
  • the analysis can use the usual generalization of the Levenberg-Marquardt routine to multiple sample analysis, in which case the nonlinear chi-square merit function could be written as:
  • the simultaneous analysis often provides additional constraint in the fitting procedure for determining the sample 1 properties, basically by reducing the number of possible parameter sets that could have resulted in the measured ratios.
  • the additional constraint on sample 1 can aid in determining thicknesses of multiple types of contaminant that may be present simultaneously on sample 1 , or to address an inhomogeneous contaminant layer.
  • the ratio R3/R2 could also be added to the analysis. This concept can be extended to multiple samples consisting of any combination of nominal materials.
  • Fig. 29A-L illustrates the effects of contaminant layer buildup on samples 1 , 2, and 3 of the preceding example.
  • the simulations in Figs. 29A-L used the same optical properties for SiO ⁇ , Si, and the contaminant layer as were used in Figs. 27, 28A, and 28B, and the MgF 2 optical properties were taken from the available literature.
  • Figs. 29A and 29B illustrate ratios of sample 3 to sample 1 with 10, 20, and 3 ⁇ A of contaminant on each sample as plots 2902, 2904 and 2906 respectively (Fig. 28B being an expanded version of a portion of Fig. 29A).
  • Figs. 29A-L illustrates the effects of contaminant layer buildup on samples 1 , 2, and 3 of the preceding example.
  • the simulations in Figs. 29A-L used the same optical properties for SiO ⁇ , Si, and the contaminant layer as were used in Figs. 27, 28A, and 28B, and the MgF 2
  • 29C and 29D illustrate ratios of sample 2 to sample 1 with 10, 20, and 3 ⁇ A of contaminant on each sample as plots 2908, 2910, and 2912 respectively (Fig. 28D being an expanded version of a portion of Fig. 29C).
  • Figs. 29E and 29F illustrates ratios of sample 2 to sample 1 showing the effect of 10, 20, and 3 ⁇ A of contaminant buildup on sample 1 only as plots 2914, 2916, and 2918 respectively (Fig. 28F being an expanded version of a portion of Fig. 29E).
  • Figs. 29G and 29H illustrate ratios of sample 2 to sample 1 showing the effect of 10, 20, and 3 ⁇ A of contaminant buildup on sample 2 only as plots 2920, 2922, and 2924 respectively (Fig.
  • Figs. 291 and 29J illustrate ratios of sample 3 to sample 1 showing the effect of 10, 20, and 3 ⁇ A of contaminant buildup on sample 1 only as plots 2926, 2928 and 2930 respectively (Fig. 28J being an expanded version of a portion of Fig. 29I).
  • Figs. 29K and 29L illustrate ratios of sample 3 to sample 1 showing the effect of 10, 20, and 3 ⁇ A of contaminant buildup on sample 3 only as plots 2932, 2934 and 2936 respectively (Fig. 28L being an expanded version of a portion of Fig. 29K).
  • the simulations in Figs. 29A-L show that the contaminant buildup affects different spectral regions of the different ratios.
  • Figs. 29A-L illustrate one example, but as mentioned above, even more subtle decoupling is possible with multiple samples, allowing for more accurate simultaneous determination of multiple types of contaminant films, for instance.
  • a method is discussed whereby the optical properties assumed for the contaminant layer, such as the one used in Figures 23A and 23B, are derived. These steps could be performed in order to obtain starting thicknesses for the SiO2 and interface layers, as well as optical properties for the contaminant layer(s). The optical properties determined can be used to improve the quality of the calibration procedure (the contaminant optical properties assumed for the simulations in Fig. 23A and 23B as well as Fig. 27 were in fact determined using a similar procedure).
  • the ratio of the calibration samples can be used to determine the thicknesses of the contamination layers during calibration, with all other predetermined properties, such as SiO2 and interface thicknesses and optical properties, as well as contaminant optical properties, regarded as known and held fixed.
  • predetermined properties such as SiO2 and interface thicknesses and optical properties, as well as contaminant optical properties, regarded as known and held fixed.
  • AMC airborne molecular contaminant
  • AMC or VUV induced contaminant can accumulate on the sample.
  • the AMC will naturally develop when samples are stored in ambient.
  • the VUV contaminant can be built up over time as the samples are measured repeatedly in a VUV optical metrology tool.
  • the result of the analysis is a thickness of a contaminant layer and a table or dispersion of optical properties for the contaminant layer.
  • the reflectance ratio is analyzed to determine the thicknesses for one (or multiple) types of contaminant on the samples, which are then used to derive the reflectance of at least one of the samples.
  • the optical properties of the contaminant layers would generally be left fixed in order to reduce the number of unknown parameters.

Abstract

Technique de calibrage d'un réflectomètre susceptible d'utiliser deux échantillons de calibrage au cours du processus de calibrage. La technique permet notamment d'effectuer un calibrage en cas d'écarts entre les propriétés effectives et présumées d'au moins un des échantillons de calibrage. La technique utilise également un rapport des mesures issues des premier et deuxième échantillons de calibrage dans le but de déterminer les propriétés effectives d'au moins un des échantillons de calibrage. Le rapport peut être un rapport des intensités réfléchies par les premier et deuxième échantillons de calibrage. Les échantillons peuvent présenter des propriétés réfléchissantes relativement différentes aux longueurs d'onde souhaitées. Dans cette technique, on peut ensuite considérer que les données de réflectance de chaque échantillon sont relativement dissociées les unes des autres et calculer alors les propriétés effectives d'au moins un des échantillons de calibrage. Il est ensuite possible d'utiliser les propriétés effectives déterminées pour faciliter le calibrage du réflectomètre.
PCT/US2007/010003 2006-05-05 2007-04-25 Procédé et appareil de calibrage précis d'un réflectomètre par une mesure de réflectance relative WO2007130295A2 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009509605A JP2009536354A (ja) 2006-05-05 2007-04-25 比反射率測定法を用いて反射率計を精密に校正する方法と装置

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11/418,827 US7282703B2 (en) 2004-08-11 2006-05-05 Method and apparatus for accurate calibration of a reflectometer by using a relative reflectance measurement
US11/418,846 2006-05-05
US11/418,846 US7511265B2 (en) 2004-08-11 2006-05-05 Method and apparatus for accurate calibration of a reflectometer by using a relative reflectance measurement
US11/418,827 2006-05-05

Publications (2)

Publication Number Publication Date
WO2007130295A2 true WO2007130295A2 (fr) 2007-11-15
WO2007130295A3 WO2007130295A3 (fr) 2009-02-26

Family

ID=38668211

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/010003 WO2007130295A2 (fr) 2006-05-05 2007-04-25 Procédé et appareil de calibrage précis d'un réflectomètre par une mesure de réflectance relative

Country Status (3)

Country Link
JP (1) JP2009536354A (fr)
KR (1) KR20090008454A (fr)
WO (1) WO2007130295A2 (fr)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7511265B2 (en) * 2004-08-11 2009-03-31 Metrosol, Inc. Method and apparatus for accurate calibration of a reflectometer by using a relative reflectance measurement
US7663097B2 (en) * 2004-08-11 2010-02-16 Metrosol, Inc. Method and apparatus for accurate calibration of a reflectometer by using a relative reflectance measurement
US7990549B2 (en) 2006-11-30 2011-08-02 Jordan Valley Semiconductors Ltd. Method and apparatus for optically measuring periodic structures using orthogonal azimuthal sample orientation
US8014000B2 (en) 2003-01-16 2011-09-06 Jordan Valley Semiconductors Ltd. Broad band referencing reflectometer
US8119991B2 (en) 2004-08-11 2012-02-21 Jordan Valley Semiconductors Ltd. Method and apparatus for accurate calibration of VUV reflectometer
US8564780B2 (en) 2003-01-16 2013-10-22 Jordan Valley Semiconductors Ltd. Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work pieces
US8565379B2 (en) 2011-03-14 2013-10-22 Jordan Valley Semiconductors Ltd. Combining X-ray and VUV analysis of thin film layers
US8867041B2 (en) 2011-01-18 2014-10-21 Jordan Valley Semiconductor Ltd Optical vacuum ultra-violet wavelength nanoimprint metrology
US20220316862A1 (en) * 2021-03-23 2022-10-06 Otsuka Electronics Co., Ltd. Optical measurement system, optical measurement method, and non-transitory storage medium having measurement program stored thereon

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2533589A (en) * 2014-12-22 2016-06-29 Ndc Infrared Eng Ltd Measurement of porous film

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3751643A (en) * 1972-05-23 1973-08-07 Ibm System for performing spectral analyses under computer control
US4029419A (en) * 1975-10-10 1977-06-14 International Business Machines Corporation Textile color analyzer calibration
US6525829B1 (en) * 2001-05-25 2003-02-25 Novellus Systems, Inc. Method and apparatus for in-situ measurement of thickness of copper oxide film using optical reflectivity

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0521566A (ja) * 1991-07-12 1993-01-29 Dainippon Screen Mfg Co Ltd 半導体結晶におけるイオン注入量測定方法
US5408322A (en) * 1993-04-26 1995-04-18 Materials Research Corporation Self aligning in-situ ellipsometer and method of using for process monitoring
JP2001165628A (ja) * 1999-12-13 2001-06-22 Sharp Corp 膜厚測定装置
US7126131B2 (en) * 2003-01-16 2006-10-24 Metrosol, Inc. Broad band referencing reflectometer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3751643A (en) * 1972-05-23 1973-08-07 Ibm System for performing spectral analyses under computer control
US4029419A (en) * 1975-10-10 1977-06-14 International Business Machines Corporation Textile color analyzer calibration
US6525829B1 (en) * 2001-05-25 2003-02-25 Novellus Systems, Inc. Method and apparatus for in-situ measurement of thickness of copper oxide film using optical reflectivity

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
FIELD GR AND MURPHY E: 'Method of Using the Reflectance Ratios of Different Angles of Incidence for the Determination of Optical Constants' APPLIED OPTICS vol. 10, no. 6, June 1971, pages 1402 - 1405 *

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8014000B2 (en) 2003-01-16 2011-09-06 Jordan Valley Semiconductors Ltd. Broad band referencing reflectometer
US8054453B2 (en) 2003-01-16 2011-11-08 Jordan Valley Semiconductors Ltd. Broad band referencing reflectometer
US8564780B2 (en) 2003-01-16 2013-10-22 Jordan Valley Semiconductors Ltd. Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work pieces
US7511265B2 (en) * 2004-08-11 2009-03-31 Metrosol, Inc. Method and apparatus for accurate calibration of a reflectometer by using a relative reflectance measurement
US7663097B2 (en) * 2004-08-11 2010-02-16 Metrosol, Inc. Method and apparatus for accurate calibration of a reflectometer by using a relative reflectance measurement
US8119991B2 (en) 2004-08-11 2012-02-21 Jordan Valley Semiconductors Ltd. Method and apparatus for accurate calibration of VUV reflectometer
US7990549B2 (en) 2006-11-30 2011-08-02 Jordan Valley Semiconductors Ltd. Method and apparatus for optically measuring periodic structures using orthogonal azimuthal sample orientation
US8867041B2 (en) 2011-01-18 2014-10-21 Jordan Valley Semiconductor Ltd Optical vacuum ultra-violet wavelength nanoimprint metrology
US8565379B2 (en) 2011-03-14 2013-10-22 Jordan Valley Semiconductors Ltd. Combining X-ray and VUV analysis of thin film layers
US20220316862A1 (en) * 2021-03-23 2022-10-06 Otsuka Electronics Co., Ltd. Optical measurement system, optical measurement method, and non-transitory storage medium having measurement program stored thereon
US11892281B2 (en) * 2021-03-23 2024-02-06 Otsuka Electronics Co., Ltd. Optical measurement system, optical measurement method, and non-transitory storage medium having measurement program stored thereon

Also Published As

Publication number Publication date
KR20090008454A (ko) 2009-01-21
WO2007130295A3 (fr) 2009-02-26
JP2009536354A (ja) 2009-10-08

Similar Documents

Publication Publication Date Title
US7663097B2 (en) Method and apparatus for accurate calibration of a reflectometer by using a relative reflectance measurement
US8119991B2 (en) Method and apparatus for accurate calibration of VUV reflectometer
US7511265B2 (en) Method and apparatus for accurate calibration of a reflectometer by using a relative reflectance measurement
US7282703B2 (en) Method and apparatus for accurate calibration of a reflectometer by using a relative reflectance measurement
WO2007130295A2 (fr) Procédé et appareil de calibrage précis d'un réflectomètre par une mesure de réflectance relative
US6381009B1 (en) Elemental concentration measuring methods and instruments
US10605722B2 (en) Metrology system calibration refinement
US6485872B1 (en) Method and apparatus for measuring the composition and other properties of thin films utilizing infrared radiation
US6242739B1 (en) Method and apparatus for non-destructive determination of film thickness and dopant concentration using fourier transform infrared spectrometry
US7399975B2 (en) Method and apparatus for performing highly accurate thin film measurements
US20040265477A1 (en) Method for analyzing thin-film layer structure using spectroscopic ellipsometer
US7466428B2 (en) Method of measuring thickness of thin layer in semiconductor device and apparatus for performing method
US20100171959A1 (en) Method and apparatus for using multiple relative reflectance measurements to determine properties of a sample using vacuum ultra violet wavelengths
US7271901B2 (en) Thin-film characteristic measuring method using spectroellipsometer
CN111121653B (zh) 一种单层薄膜临界厚度估值计算方法
US11835447B1 (en) Method for measuring characteristic of thin film
US7463355B1 (en) Nondestructive optical technique for simultaneously measuring optical constants and thickness of thin films
JP3532165B2 (ja) 分光エリプソメータを用いた薄膜計測方法
TWI452283B (zh) 校準一獲得反射率資料之系統的方法及校準一反射計之方法
US11579013B2 (en) Flexible integrated computational elements for optical analysis
Baskali et al. Compositional changes between free coatings and surface coating layers during mechanochemical process: An IR-based chemometric analysis
Long Vibrational spectroscopic methods for quantitative analysis
Woollam et al. Metrology standards with ellipsometers
JPH0510726A (ja) 分光光度計

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07794365

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2009509605

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 1020087029592

Country of ref document: KR

122 Ep: pct application non-entry in european phase

Ref document number: 07794365

Country of ref document: EP

Kind code of ref document: A2