WO2008033186A1 - Methods of controlling morphology during epitaxial layer formation - Google Patents

Methods of controlling morphology during epitaxial layer formation Download PDF

Info

Publication number
WO2008033186A1
WO2008033186A1 PCT/US2007/017053 US2007017053W WO2008033186A1 WO 2008033186 A1 WO2008033186 A1 WO 2008033186A1 US 2007017053 W US2007017053 W US 2007017053W WO 2008033186 A1 WO2008033186 A1 WO 2008033186A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
dichlorosilane
heating
silane
less
Prior art date
Application number
PCT/US2007/017053
Other languages
French (fr)
Inventor
Yihwan Kim
Andrew M. Lam
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to DE112007001813T priority Critical patent/DE112007001813T5/en
Priority to JP2009522826A priority patent/JP5175285B2/en
Priority to CN2007800284868A priority patent/CN101496150B/en
Priority to KR1020097003879A priority patent/KR101369355B1/en
Publication of WO2008033186A1 publication Critical patent/WO2008033186A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy

Definitions

  • the present invention relates to semiconductor device manufacturing, and more particularly to methods of controlling morphology during epitaxial layer formation.
  • CMOS complementary metal-oxide semiconductor
  • junction depth is typically less than 30 nm.
  • Selective epitaxial deposition is often utilized to form epilayers of silicon-containing materials (e.g., Si, SiGe and SiC) into the junctions.
  • silicon-containing materials e.g., Si, SiGe and SiC
  • selective epitaxial deposition permits growth of epilayers on silicon moats with no growth on dielectric areas.
  • Selective epitaxy can be used within semiconductor devices, such as elevated source/drains, source/drain extensions, contact plugs or base layer deposition of bipolar devices.
  • a selective epitaxy process involves a deposition reaction and an etch reaction.
  • the deposition and etch reactions occur simultaneously with relatively different reaction rates to an epitaxial layer and to a polycrystalline layer.
  • the epitaxial layer is formed on a monocrystalline surface while a polycrystalline layer is deposited on at least a second layer, such as an existing polycrystalline layer and/or an amorphous layer.
  • the deposited polycrystalline layer is generally etched at a faster rate than the epitaxial layer. Therefore, by changing the concentration of an etchant gas, the net selective process results in deposition of epitaxy material and limited, or no, deposition of polycrystalline material.
  • a selective epitaxy process may result in the formation of an epilayer of silicon-containing material on a monocrystalline silicon surface while no deposition is left on a spacer region.
  • Source/drain extension features are manufactured by etching a silicon surface to make a recessed source/drain feature and subsequently filling the etched surface with a selectively grown epilayer, such as a silicon germanium (SiGe) material.
  • a selectively grown epilayer such as a silicon germanium (SiGe) material.
  • Selective epitaxy permits near complete dopant activation with in-situ doping, so that the post annealing process is omitted. Therefore, junction depth can be defined accurately by silicon etching and selective epitaxy.
  • the ultra shallow source/drain junction inevitably results in increased series resistance. Also, junction consumption during suicide formation increases the series resistance even further.
  • an elevated source/drain is epitaxially and selectively grown on the junction. Typically, the elevated source/drain layer is undoped silicon.
  • the process should be versatile to form silicon-containing compounds with varied elemental concentrations while having a fast deposition rate, smooth surface morphology and maintaining a process temperature, such as about 800 0 C or less, and preferably about 700 0 C or less.
  • a first aspect of the invention provides a method of selectively forming an epitaxial layer on a substrate.
  • the method includes heating the substrate to a temperature of less than about 800 0 C and employing both silane and dichlorosilane as silicon sources during selective epitaxial film formation.
  • a method of selectively forming an epitaxial layer on a substrate includes at least one deposition step and at least one etching step which are alternated.
  • the method includes heating the substrate to a temperature of less than about 800 0 C.
  • the deposition step employs both silane and dichlorosilane as silicon sources. Each of the silicon source gases are flowed at a rate from about 10 to 100 seem at a chamber pressure of about 5 to 50 Torr.
  • the etching step includes flowing at least one of hydrogen chloride and chlorine .
  • a method of forming an epitaxial layer on a substrate is provided.
  • the method includes (1) heating the substrate to a temperature of less than about 800 0 C; and (2) performing a selective epitaxial film formation process on the substrate so as to form the epitaxial layer by employing both silane and dichlorosilane as silicon sources during the selective epitaxial film formation process.
  • a ratio of silane to dichlorosilane is greater than 1.
  • FIG. 1 is a flowchart of a first exemplary method for forming an epitaxial film in accordance with the present invention .
  • FIG. 2 is a flowchart of a second exemplary method for forming an epitaxial film in accordance with the present invention .
  • Selective epitaxial growth processes may include simultaneous etch-deposition processes as well as alternating gas supply processes.
  • simultaneous etch- deposition process both etchant species and deposition species are flowed simultaneously.
  • an epitaxial layer is simultaneously deposited and etched during its formation .
  • U.S. Patent Application Serial No. 11/001,774, filed December 1, 2004 (Docket No. 9618), describes an alternating gas supply (AGS) process for forming epitaxial layers on a substrate.
  • AGS alternating gas supply
  • an epitaxial deposition process is conducted on a substrate, and then an etching process is conducted on the substrate.
  • the cycle of an epitaxial deposition process followed by an etching process is repeated until a desired thickness of an epitaxial layer is formed.
  • SiH 4 silane
  • DCS dichlorosilane
  • an SiH 4 -based process may introduce morphology issues (e.g., surface roughness or pitting) .
  • observed morphology issues associated with the use of SiH 4 may be reduced and/or eliminated by employing both SiH 4 and DCS (e.g., by mixing SiH 4 and DCS during film growth). This approach is believed to alter the diffusion mechanism on the film's surface, allowing greater morphology control.
  • the present invention may be employed with the AGS process described in U.S. Patent Application Serial No. 11/001,774, filed December 1, 2004 (Docket No. 9618), although the present invention may be used with other selective epitaxial processes.
  • Silicon epitaxial films formed using a selective process with only SiH 4 as a silicon source were found to have surfaces that are rough and pitted.
  • Silicon epitaxial films formed using a selective process with both SiH 4 and DCS as silicon sources were found to have improved film morphology, such as improved surface smoothness (e.g., without pitting) .
  • improved film morphology such as improved surface smoothness (e.g., without pitting) .
  • the use of SiH 4 and DCS allows for in-situ control of film morphology (e.g., during epitaxial film formation) without additional process steps.
  • an example of a process which may use a silicon source as described above may include about 10 seem to about 100 seem of silane.
  • the silicon source may include about 10 seem to about 100 seem of dichlorosilane.
  • a chamber pressure in a range of about 5 Torr to about 50 Torr with a deposition time of about 2 to 250 seconds, and more preferably about 5 to 10 seconds and a temperature in a range between about 700 0 C and about 750 0 C may be employed.
  • an SiH 4 to DCS ratio of greater than 1 may be employed, such as 2:1, 3:1, 4:1, 5:1, 7:1, 10:1, etc., (SiH 4 :DCS).
  • an etchant process may be employed, for example, with about 50 seem to about 500 seem of hydrogen chloride (HCl) as the etchant, a chamber pressure of about 5 Torr to about 100 Torr with a deposition time of about 2 to 250 seconds, and more preferably about 5 to 10 seconds, and a temperature in a range between about 700 0 C and about 750 0 C.
  • a purge cycle may be conducted for about 10 seconds at a pressure of about 5 to about 50 Torr at a temperature in a range between about 700 0 C and about 750 0 C.
  • Other process times, temperatures and/or flow rates may be used during deposition, etching and/or purging.
  • chlorine (Cl 2 ) or a combination of Cl 2 and HCl may be employed during each etch step as described in U.S. Patent Application Serial No. 11/227,974, filed September 14, 2005 (Docket No. 9618/P01) .
  • FIG. 1 is a flowchart of a first exemplary method 100 for forming an epitaxial film in accordance with the present invention.
  • a substrate is loaded into a process chamber and is heated to a temperature of about 800 0 C or less.
  • a lower temperature range may be used during epitaxial film formation, such as less than 750 0 C, less than 700 0 C or less than 650 0 C.
  • silane and dichlorosilane are flowed into the process chamber, along with a suitable carrier gas and/or dopant (s) so as to form an epitaxial film on the substrate.
  • a suitable carrier gas and/or dopant s
  • one or more etchant gases such as HCl, Cl 2 , a combination of HCl and Cl 2 , etc., may be flowed at the same time as the silicon source gasses (e.g., during a simultaneous deposition-etch process).
  • a separate etchant step may be employed following deposition (e.g., during an AGS process) . Deposition and etching are continued until the desired epitaxial film thickness is achieved.
  • an SiH 4 to DCS ratio of greater than 1 may be employed, such as 2:1, 3:1, 4:1, 5:1, 7:1, 10:1, etc., (SiH 4 :DCS). Other silicon source ratios may be used.
  • FIG. 2 is a flowchart of a second exemplary method 200 for forming an epitaxial film in accordance with the present invention.
  • a substrate is loaded into a process chamber and is heated to a temperature of about 800 0 C or less.
  • a lower temperature range may be used during epitaxial film formation, such as less than 750 0 C, less than 700 0 C or less than 650 0 C.
  • silane and dichlorosilane are flowed into the process chamber, along with a suitable carrier gas and/or dopant (s) so as to form an epitaxial film on the substrate.
  • a suitable carrier gas and/or dopant s
  • about 10 seem to about 100 seem of silane may be employed, as may be about 10 seem to about 100 seem of dichlorosilane.
  • a pressure in a range of about 5 Torr to about 50 Torr may be employed.
  • Deposition may be performed for about 2 to 250 seconds, and more preferably about 5 to 10 seconds.
  • an SiH 4 to DCS ratio of greater than 1 may be employed, such as 2:1, 3:1, 4:1, 5:1, 7:1, 10:1, etc., (SiH 4 :DCS).
  • Other flow rates, pressures, temperatures, times and/or SiH 4 : DCS ratios may be used.
  • an etchant gas such as HCl and/or CI 2 is flowed into the process chamber, along with a suitable carrier gas so as to etch material deposited during step 202
  • the substrate may be etched with about 50 seem to about 500 seem of hydrogen chloride (HCl) as the etchant at a chamber pressure of about 5 Torr to about 100 Torr for about 2 to 250 seconds, and more preferably about 5 to 10 seconds.
  • HCl hydrogen chloride
  • Other etchants, flow rates, pressures and/or times may be used.
  • a purge cycle may be conducted for about 2 to 250 seconds, and more preferably about 5 to 10 seconds. Other purge times may be used.
  • step 205 a determination is made whether the desired epitaxial film thickness has been reached. If so, the process ends in step 206; otherwise, the process returns to step 202 to deposit additional epitaxial material on the substrate .
  • a lower temperature range may be used during epitaxial film formation, such as less than 750 0 C, less than 700 0 C or less than 650 0 C.

Abstract

A first aspect of the invention provides a method of selectively forming an epitaxial layer on a substrate. The method includes heating the substrate to a temperature of less than about 800°C and employing both silane and dichlorosilane as silicon sources during epitaxial film formation. Numerous other aspects are provided.

Description

METHODS OF CONTROLLING MORPHOLOGY DURING EPITAXIAL LAYER FORMATION
The present application claims priority from U.S.
Provisional Patent Application Serial No. 60/820,956, filed July 31, 2006, which is hereby incorporated by reference herein in its entirety.
CROSS REFERENCE TO RELATED APPLICATIONS
The present application is related to the following co-pending applications, each of which is hereby incorporated by reference herein in its entirety:
U.S. Patent Application Serial No. 11/001,774, filed December 1, 2004 (Docket No. 9618); and
U.S. Patent Application Serial No. 11/227,974, filed September 14, 2005 (Docket No. 9618/P01) .
FIELD OF THE INVENTION The present invention relates to semiconductor device manufacturing, and more particularly to methods of controlling morphology during epitaxial layer formation.
BACKGROUND As smaller transistors are manufactured, ultra shallow source/drain junctions are becoming more challenging to produce. Generally, sub-100 nm CMOS (complementary metal-oxide semiconductor) devices require a junction depth to be less than 30 nm. Selective epitaxial deposition is often utilized to form epilayers of silicon-containing materials (e.g., Si, SiGe and SiC) into the junctions. Generally, selective epitaxial deposition permits growth of epilayers on silicon moats with no growth on dielectric areas. Selective epitaxy can be used within semiconductor devices, such as elevated source/drains, source/drain extensions, contact plugs or base layer deposition of bipolar devices.
Generally, a selective epitaxy process involves a deposition reaction and an etch reaction. The deposition and etch reactions occur simultaneously with relatively different reaction rates to an epitaxial layer and to a polycrystalline layer. During the deposition process, the epitaxial layer is formed on a monocrystalline surface while a polycrystalline layer is deposited on at least a second layer, such as an existing polycrystalline layer and/or an amorphous layer. However, the deposited polycrystalline layer is generally etched at a faster rate than the epitaxial layer. Therefore, by changing the concentration of an etchant gas, the net selective process results in deposition of epitaxy material and limited, or no, deposition of polycrystalline material. For example, a selective epitaxy process may result in the formation of an epilayer of silicon-containing material on a monocrystalline silicon surface while no deposition is left on a spacer region.
Selective epitaxy deposition of silicon-containing materials has become a useful technique during formation of elevated source/drain and source/drain extension features, for example, during the formation of silicon-containing MOSFET (metal oxide semiconductor field effect transistor) devices. Source/drain extension features are manufactured by etching a silicon surface to make a recessed source/drain feature and subsequently filling the etched surface with a selectively grown epilayer, such as a silicon germanium (SiGe) material. Selective epitaxy permits near complete dopant activation with in-situ doping, so that the post annealing process is omitted. Therefore, junction depth can be defined accurately by silicon etching and selective epitaxy. On the other hand, the ultra shallow source/drain junction inevitably results in increased series resistance. Also, junction consumption during suicide formation increases the series resistance even further. In order to compensate for junction consumption, an elevated source/drain is epitaxially and selectively grown on the junction. Typically, the elevated source/drain layer is undoped silicon.
However, current selective epitaxy processes have some drawbacks. In order to maintain selectivity during present epitaxy processes, chemical concentrations of the precursors, as well as reaction temperatures must be regulated and adjusted throughout the deposition process. If not enough silicon precursor is administered, then the etching reaction may dominate and the overall process is slowed down. Also, harmful over etching of substrate features may occur. If not enough etchant precursor is administered, then the deposition reaction may dominate reducing the selectivity to form monocrystalline and polycrystalline materials across the substrate surface. Also, current selective epitaxy processes usually require a high reaction temperature, such as about 8000C, 1,0000C or higher. Such high temperatures are not desirable during a fabrication process due to thermal budget considerations and possible uncontrolled nitridation reactions to the substrate surface.
Therefore, there is a need to have a process for selectively and epitaxially depositing silicon and silicon- containing compounds with optional dopants. Furthermore, the process should be versatile to form silicon-containing compounds with varied elemental concentrations while having a fast deposition rate, smooth surface morphology and maintaining a process temperature, such as about 8000C or less, and preferably about 7000C or less. SUMMARY OF INVENTION
A first aspect of the invention provides a method of selectively forming an epitaxial layer on a substrate. The method includes heating the substrate to a temperature of less than about 8000C and employing both silane and dichlorosilane as silicon sources during selective epitaxial film formation.
In another aspect of the invention a method of selectively forming an epitaxial layer on a substrate is provided. The method includes at least one deposition step and at least one etching step which are alternated. The method includes heating the substrate to a temperature of less than about 8000C. The deposition step employs both silane and dichlorosilane as silicon sources. Each of the silicon source gases are flowed at a rate from about 10 to 100 seem at a chamber pressure of about 5 to 50 Torr. The etching step includes flowing at least one of hydrogen chloride and chlorine . In another aspect of the invention, a method of forming an epitaxial layer on a substrate is provided. The method includes (1) heating the substrate to a temperature of less than about 8000C; and (2) performing a selective epitaxial film formation process on the substrate so as to form the epitaxial layer by employing both silane and dichlorosilane as silicon sources during the selective epitaxial film formation process. A ratio of silane to dichlorosilane is greater than 1. Numerous other aspects are provided. Other features and aspects of the present invention will become more fully apparent from the following detailed description, the appended claims and the accompanying drawings. DESCRIPTION OF DRAWINGS
FIG. 1 is a flowchart of a first exemplary method for forming an epitaxial film in accordance with the present invention . FIG. 2 is a flowchart of a second exemplary method for forming an epitaxial film in accordance with the present invention .
DETAILED DESCRIPTION During a selective epitaxial growth process on a silicon substrate patterned with dielectric films, formation of single-crystal semiconductor occurs only on the exposed silicon surfaces (e.g., not on the dielectric surfaces). Selective thickness is defined as the maximum film thickness obtained on the silicon surfaces prior to the onset of film growth or nucleation on the dielectric surfaces .
Selective epitaxial growth processes may include simultaneous etch-deposition processes as well as alternating gas supply processes. In a simultaneous etch- deposition process, both etchant species and deposition species are flowed simultaneously. As such, an epitaxial layer is simultaneously deposited and etched during its formation .
U.S. Patent Application Serial No. 11/001,774, filed December 1, 2004 (Docket No. 9618), describes an alternating gas supply (AGS) process for forming epitaxial layers on a substrate. During an AGS process, an epitaxial deposition process is conducted on a substrate, and then an etching process is conducted on the substrate. The cycle of an epitaxial deposition process followed by an etching process is repeated until a desired thickness of an epitaxial layer is formed.
An alternative precursor for selective silicon epitaxy at deposition temperatures less than 8000C is silane (SiH4) . At such lower temperatures, SiH4 has a higher growth rate than dichlorosilane (DCS) . However, the present inventors have observed that an SiH4-based process may introduce morphology issues (e.g., surface roughness or pitting) . In at least one embodiment of the invention, observed morphology issues associated with the use of SiH4 may be reduced and/or eliminated by employing both SiH4 and DCS (e.g., by mixing SiH4 and DCS during film growth). This approach is believed to alter the diffusion mechanism on the film's surface, allowing greater morphology control.
In some embodiments, the present invention may be employed with the AGS process described in U.S. Patent Application Serial No. 11/001,774, filed December 1, 2004 (Docket No. 9618), although the present invention may be used with other selective epitaxial processes.
Silicon epitaxial films formed using a selective process with only SiH4 as a silicon source (e.g., during an AGS process) were found to have surfaces that are rough and pitted. Silicon epitaxial films formed using a selective process with both SiH4 and DCS as silicon sources (e.g., during an AGS process) were found to have improved film morphology, such as improved surface smoothness (e.g., without pitting) . Unlike other approaches such as a post- deposition smoothing step, the use of SiH4 and DCS allows for in-situ control of film morphology (e.g., during epitaxial film formation) without additional process steps.
In some embodiments, an example of a process which may use a silicon source as described above may include about 10 seem to about 100 seem of silane. In addition, the silicon source may include about 10 seem to about 100 seem of dichlorosilane. In this example, during a deposition cycle in an AGS process, a chamber pressure in a range of about 5 Torr to about 50 Torr with a deposition time of about 2 to 250 seconds, and more preferably about 5 to 10 seconds and a temperature in a range between about 7000C and about 7500C may be employed. In some embodiments, an SiH4 to DCS ratio of greater than 1 may be employed, such as 2:1, 3:1, 4:1, 5:1, 7:1, 10:1, etc., (SiH4:DCS). After the deposition cycle, an etchant process may be employed, for example, with about 50 seem to about 500 seem of hydrogen chloride (HCl) as the etchant, a chamber pressure of about 5 Torr to about 100 Torr with a deposition time of about 2 to 250 seconds, and more preferably about 5 to 10 seconds, and a temperature in a range between about 7000C and about 7500C. After the etch cycle, a purge cycle may be conducted for about 10 seconds at a pressure of about 5 to about 50 Torr at a temperature in a range between about 7000C and about 7500C. Other process times, temperatures and/or flow rates may be used during deposition, etching and/or purging. For example, chlorine (Cl2) or a combination of Cl2 and HCl may be employed during each etch step as described in U.S. Patent Application Serial No. 11/227,974, filed September 14, 2005 (Docket No. 9618/P01) .
FIG. 1 is a flowchart of a first exemplary method 100 for forming an epitaxial film in accordance with the present invention. With reference to FIG. 1, in step 101, a substrate is loaded into a process chamber and is heated to a temperature of about 800 0C or less. In some embodiments, a lower temperature range may be used during epitaxial film formation, such as less than 7500C, less than 7000C or less than 6500C.
In step 102, silane and dichlorosilane are flowed into the process chamber, along with a suitable carrier gas and/or dopant (s) so as to form an epitaxial film on the substrate. In some embodiments, one or more etchant gases such as HCl, Cl2, a combination of HCl and Cl2, etc., may be flowed at the same time as the silicon source gasses (e.g., during a simultaneous deposition-etch process). In other embodiments, a separate etchant step may be employed following deposition (e.g., during an AGS process) . Deposition and etching are continued until the desired epitaxial film thickness is achieved. In some embodiments, an SiH4 to DCS ratio of greater than 1 may be employed, such as 2:1, 3:1, 4:1, 5:1, 7:1, 10:1, etc., (SiH4:DCS). Other silicon source ratios may be used.
FIG. 2 is a flowchart of a second exemplary method 200 for forming an epitaxial film in accordance with the present invention. With reference to FIG. 2, in step 201, a substrate is loaded into a process chamber and is heated to a temperature of about 800 0C or less. In some embodiments, a lower temperature range may be used during epitaxial film formation, such as less than 7500C, less than 7000C or less than 6500C.
In step 202, silane and dichlorosilane are flowed into the process chamber, along with a suitable carrier gas and/or dopant (s) so as to form an epitaxial film on the substrate. In some embodiments, about 10 seem to about 100 seem of silane may be employed, as may be about 10 seem to about 100 seem of dichlorosilane. A pressure in a range of about 5 Torr to about 50 Torr may be employed. Deposition may be performed for about 2 to 250 seconds, and more preferably about 5 to 10 seconds. In some embodiments, an SiH4 to DCS ratio of greater than 1 may be employed, such as 2:1, 3:1, 4:1, 5:1, 7:1, 10:1, etc., (SiH4:DCS). Other flow rates, pressures, temperatures, times and/or SiH4: DCS ratios may be used.
In step 203, an etchant gas such as HCl and/or CI2 is flowed into the process chamber, along with a suitable carrier gas so as to etch material deposited during step 202 For example, the substrate may be etched with about 50 seem to about 500 seem of hydrogen chloride (HCl) as the etchant at a chamber pressure of about 5 Torr to about 100 Torr for about 2 to 250 seconds, and more preferably about 5 to 10 seconds. Other etchants, flow rates, pressures and/or times may be used. In step 204, after the etch cycle, a purge cycle may be conducted for about 2 to 250 seconds, and more preferably about 5 to 10 seconds. Other purge times may be used. In step 205, a determination is made whether the desired epitaxial film thickness has been reached. If so, the process ends in step 206; otherwise, the process returns to step 202 to deposit additional epitaxial material on the substrate . The foregoing description discloses only exemplary embodiments of the invention. Modifications of the above disclosed apparatus and methods which fall within the scope of the invention will be readily apparent to those of ordinary skill in the art. For instance, a lower temperature range may be used during epitaxial film formation, such as less than 7500C, less than 7000C or less than 6500C.
Accordingly, while the present invention has been disclosed in connection with exemplary embodiments thereof, it should be understood that other embodiments may fall within the spirit and scope of the invention, as defined by the following claims.

Claims

THE INVENTION CLAIMED IS:
1. A method of forming an epitaxial layer comprising: providing a substrate; heating the substrate to a temperature of less than about 8000C; and performing a selective epitaxial film formation process on the substrate so as to form the epitaxial layer by employing both silane and dichlorosilane as silicon sources during the selective epitaxial film formation process.
2. The method of claim 1 wherein heating the substrate comprises heating the substrate to a temperature of less than about 7500C.
3. The method of claim 1 wherein heating the substrate comprises heating the substrate to a temperature of less than about 7000C.
4. The method of claim 1 wherein heating the substrate comprises heating the substrate to a temperature of less than about 650°C.
5. The method of claim 1 wherein performing the selective epitaxial film formation process comprises: flowing silane and dichlorosilane; and flowing an etching gas that includes at least one of hydrogen chloride (HCl) and chlorine (CI2) .
6. The method of claim 1 wherein performing the selective epitaxial film formation process comprises performing a deposition step followed by an etching step.
7. The method of claim 6 wherein performing the deposition step includes providing a flow of silane and a flow of dichlorosilane .
8. The method of claim 7 wherein the flow of silane is about 10 to 100 seem.
9. The method of claim 7 wherein the flow of dichlorosilane is about 10 to 100 seem.
10. The method of claim 7 wherein performing the deposition step includes employing a process pressure of about 5 to 50 Torr .
11. The method of claim 7 wherein performing the deposition step includes flowing silane and dichlorosilane for up to about 10 seconds.
12. The method of claim 6 wherein performing the etching step includes flowing an etching gas that includes at least one of hydrogen chloride (HCl) and chlorine (CI2) •
13. The method of claim 12 wherein the flow of etching gas is about 50 to 500 seem.
14. The method of claim 12 wherein performing the etching step includes employing a process pressure of about 5 to 100 Torr.
15. The method of claim 12 wherein the etching step includes flowing etching gas for up to about 10 seconds.
16. The method of claim 6 further comprising at least one purging step.
17. A method of forming an epitaxial layer comprising: providing a substrate; heating the substrate to a temperature of less than about 8000C; performing a selective epitaxial film formation process comprising at least one deposition step and at least one etching step: wherein the deposition step and etching step are alternated; wherein the deposition step includes flowing silane and dichlorosilane each at a flow rate from about 10 to 100 seem at a deposition pressure from about 5 to 50 Torr; and wherein the etching step includes flowing at least one of hydrogen chloride and chlorine.
18. The method of claim 17 wherein the selective epitaxial film formation processes further comprises at least one purging step.
19. The method of claim 17 wherein heating the substrate comprises heating the substrate to a temperature of less than about 7500C.
20. The method of claim 17 wherein heating the substrate comprises heating the substrate to a temperature of less than about 7000C.
21. The method of claim 17 wherein heating the substrate comprises heating the substrate to a temperature of less than about 6500C.
22. A method of forming an epitaxial layer comprising: providing a substrate; heating the substrate to a temperature of less than about 8000C; and performing a selective epitaxial film formation process on the substrate so as to form the epitaxial layer by employing both silane and dichlorosilane as silicon sources during the selective epitaxial film formation process; wherein a ratio of silane to dichlorosilane is greater than 1.
23. The method of claim 22 wherein the ratio of silane to dichlorosilane is greater than 2.
24. The method of claim 23 wherein the ratio of silane to dichlorosilane is greater than 5.
PCT/US2007/017053 2006-07-31 2007-07-30 Methods of controlling morphology during epitaxial layer formation WO2008033186A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
DE112007001813T DE112007001813T5 (en) 2006-07-31 2007-07-30 Method for controlling the morphology during the formation of an epitaxial layer
JP2009522826A JP5175285B2 (en) 2006-07-31 2007-07-30 Method for controlling morphology during epitaxial layer formation
CN2007800284868A CN101496150B (en) 2006-07-31 2007-07-30 Methods of controlling morphology during epitaxial layer formation
KR1020097003879A KR101369355B1 (en) 2006-07-31 2007-07-30 Methods of controlling morphology during epitaxial layer formation

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US82095606P 2006-07-31 2006-07-31
US60/820,956 2006-07-31

Publications (1)

Publication Number Publication Date
WO2008033186A1 true WO2008033186A1 (en) 2008-03-20

Family

ID=39184089

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/017053 WO2008033186A1 (en) 2006-07-31 2007-07-30 Methods of controlling morphology during epitaxial layer formation

Country Status (7)

Country Link
US (1) US7588980B2 (en)
JP (1) JP5175285B2 (en)
KR (1) KR101369355B1 (en)
CN (1) CN101496150B (en)
DE (1) DE112007001813T5 (en)
TW (1) TWI390606B (en)
WO (1) WO2008033186A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US8029620B2 (en) 2006-07-31 2011-10-04 Applied Materials, Inc. Methods of forming carbon-containing silicon epitaxial layers

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8501594B2 (en) * 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US20100120235A1 (en) * 2008-11-13 2010-05-13 Applied Materials, Inc. Methods for forming silicon germanium layers
KR101038843B1 (en) * 2005-10-05 2011-06-03 어플라이드 머티어리얼스, 인코포레이티드 Methods and apparatus for epitaxial film formation
WO2007112058A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
WO2007117583A2 (en) * 2006-04-07 2007-10-18 Applied Materials Inc. Cluster tool for epitaxial film formation
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
JP4635062B2 (en) * 2008-03-11 2011-02-16 株式会社東芝 Manufacturing method of semiconductor device
KR101776926B1 (en) 2010-09-07 2017-09-08 삼성전자주식회사 Semiconductor device and method for manufacturing the same
CN103779278A (en) * 2012-10-22 2014-05-07 中芯国际集成电路制造(上海)有限公司 CMOS (Complementary Metal Oxide Semiconductor) tube forming method
JP5931780B2 (en) * 2013-03-06 2016-06-08 東京エレクトロン株式会社 Selective epitaxial growth method and film forming apparatus
KR102422158B1 (en) 2015-12-23 2022-07-20 에스케이하이닉스 주식회사 Semiconductor device and method for manufacturing the same
JP6640596B2 (en) * 2016-02-22 2020-02-05 東京エレクトロン株式会社 Film formation method
US10446393B2 (en) * 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
JP6489198B1 (en) * 2017-12-25 2019-03-27 株式会社Sumco Method for evaluating contamination of epitaxial wafer and method for manufacturing epitaxial wafer using the method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050191866A1 (en) * 2004-02-27 2005-09-01 Powell Don C. Semiconductor devices and methods for depositing a dielectric film
US20050277272A1 (en) * 2004-06-10 2005-12-15 Applied Materials, Inc. Low temperature epitaxial growth of silicon-containing films using UV radiation
US20060166414A1 (en) * 2004-12-01 2006-07-27 Carlson David K Selective deposition

Family Cites Families (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3675619A (en) 1969-02-25 1972-07-11 Monsanto Co Apparatus for production of epitaxial films
NL187942C (en) 1980-08-18 1992-02-17 Philips Nv ZENERDIODE AND METHOD OF MANUFACTURE THEREOF
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JPH0639357B2 (en) 1986-09-08 1994-05-25 新技術開発事業団 Method for growing element semiconductor single crystal thin film
US5607511A (en) 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
AU5977190A (en) 1989-07-27 1991-01-31 Nishizawa, Junichi Impurity doping method with adsorbed diffusion source
JP2880322B2 (en) 1991-05-24 1999-04-05 キヤノン株式会社 Method of forming deposited film
US5227330A (en) * 1991-10-31 1993-07-13 International Business Machines Corporation Comprehensive process for low temperature SI epit axial growth
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP2917694B2 (en) 1992-04-02 1999-07-12 日本電気株式会社 Compound semiconductor vapor deposition method and apparatus therefor
JPH0750690B2 (en) 1992-08-21 1995-05-31 日本電気株式会社 Method and apparatus for epitaxial growth of semiconductor crystal using halide
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
US5236545A (en) 1992-10-05 1993-08-17 The Board Of Governors Of Wayne State University Method for heteroepitaxial diamond film development
JP3255469B2 (en) 1992-11-30 2002-02-12 三菱電機株式会社 Laser thin film forming equipment
JP3265042B2 (en) 1993-03-18 2002-03-11 東京エレクトロン株式会社 Film formation method
JPH0729897A (en) 1993-06-25 1995-01-31 Nec Corp Manufacture of semiconductor device
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
JPH07109573A (en) 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd Glass substrate and heat treatment
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6055927A (en) 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US5849092A (en) 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6118216A (en) 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (en) 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6159852A (en) 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
US6797558B2 (en) 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
WO1999045167A1 (en) 1998-03-06 1999-09-10 Asm America, Inc. Method of depositing silicon with high step coverage
US6019839A (en) * 1998-04-17 2000-02-01 Applied Materials, Inc. Method and apparatus for forming an epitaxial titanium silicide film by low pressure chemical vapor deposition
JP4214585B2 (en) 1998-04-24 2009-01-28 富士ゼロックス株式会社 Semiconductor device, semiconductor device manufacturing method and manufacturing apparatus
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
FR2779572B1 (en) 1998-06-05 2003-10-17 St Microelectronics Sa LOW NOISE VERTICAL BIPOLAR TRANSISTOR AND MANUFACTURING METHOD THEREOF
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
JP4204671B2 (en) 1998-09-11 2009-01-07 三菱電機株式会社 Manufacturing method of semiconductor device
KR100287180B1 (en) 1998-09-17 2001-04-16 윤종용 Method for manufacturing semiconductor device including metal interconnection formed using interface control layer
KR100327328B1 (en) 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6653212B1 (en) 1999-04-20 2003-11-25 Sony Corporation Method and apparatus for thin-film deposition, and method of manufacturing thin-film semiconductor device
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
FR2801420B1 (en) 1999-11-23 2002-04-12 St Microelectronics Sa LOW FREQUENCY LOW NOISE VERTICAL BIPOLAR TRANSISTOR AND HIGH CURRENT GAIN, AND MANUFACTURING METHOD THEREOF
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6291319B1 (en) 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6492283B2 (en) * 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
WO2001066832A2 (en) * 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US7141278B2 (en) * 2000-06-08 2006-11-28 Asm Genitech Korea Ltd. Thin film forming method
JP3650727B2 (en) * 2000-08-10 2005-05-25 Hoya株式会社 Silicon carbide manufacturing method
KR100373853B1 (en) 2000-08-11 2003-02-26 삼성전자주식회사 Selective epitaxial growth method in semiconductor device
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
KR100814980B1 (en) * 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 Vapor deposition of oxides, silicates, and phosphates
KR100378186B1 (en) 2000-10-19 2003-03-29 삼성전자주식회사 Semiconductor device adopting thin film formed by atomic layer deposition and fabrication method thereof
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
EP1340269B1 (en) 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
KR20020049875A (en) 2000-12-20 2002-06-26 윤종용 Ferroelectric capacitor in semiconductor memory device and method for manufacturing the same
KR100393208B1 (en) 2001-01-15 2003-07-31 삼성전자주식회사 Semiconductor device using doped polycrystalline silicon-germanium layer and method for manufacturing the same
US6426265B1 (en) * 2001-01-30 2002-07-30 International Business Machines Corporation Incorporation of carbon in silicon/silicon germanium epitaxial layer to enhance yield for Si-Ge bipolar technology
JP4866534B2 (en) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド Improved deposition method for semiconductor films.
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6576535B2 (en) 2001-04-11 2003-06-10 Texas Instruments Incorporated Carbon doped epitaxial layer for high speed CB-CMOS
JP2004533118A (en) * 2001-05-30 2004-10-28 エーエスエム アメリカ インコーポレイテッド Low temperature loading and unloading and baking
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR20030035152A (en) 2001-10-30 2003-05-09 주식회사 하이닉스반도체 Method for fabricating semiconductor wafer
US6743681B2 (en) * 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6590344B2 (en) 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP3914064B2 (en) * 2002-02-28 2007-05-16 富士通株式会社 Method and apparatus for growing mixed crystal film
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
KR100542736B1 (en) * 2002-08-17 2006-01-11 삼성전자주식회사 Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6759286B2 (en) * 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6998305B2 (en) 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
JP3872027B2 (en) 2003-03-07 2007-01-24 株式会社東芝 Cleaning method and semiconductor manufacturing apparatus
JP2006524429A (en) * 2003-03-28 2006-10-26 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Method for epitaxial growth of N-doped silicon layers
WO2004109420A1 (en) 2003-06-09 2004-12-16 Ckd Corporation Relative pressure control system and relative flow rate control system
JP2005167064A (en) 2003-12-04 2005-06-23 Sharp Corp Nonvolatile semiconductor storage device
US7045432B2 (en) * 2004-02-04 2006-05-16 Freescale Semiconductor, Inc. Method for forming a semiconductor device with local semiconductor-on-insulator (SOI)
US7230274B2 (en) 2004-03-01 2007-06-12 Cree, Inc Reduction of carrot defects in silicon carbide epitaxy
KR100532509B1 (en) 2004-03-26 2005-11-30 삼성전자주식회사 Trench capacitor using SiGe layer and method of fabricating the same
US7361563B2 (en) 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
KR100607409B1 (en) * 2004-08-23 2006-08-02 삼성전자주식회사 Method for etching substrate and method for menufacturing semiconductor device using the same
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7438760B2 (en) * 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
KR101038843B1 (en) * 2005-10-05 2011-06-03 어플라이드 머티어리얼스, 인코포레이티드 Methods and apparatus for epitaxial film formation
WO2007112058A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
KR101160930B1 (en) * 2006-07-31 2012-06-29 어플라이드 머티어리얼스, 인코포레이티드 Methods of forming carbon-containing silicon epitaxial layers

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050191866A1 (en) * 2004-02-27 2005-09-01 Powell Don C. Semiconductor devices and methods for depositing a dielectric film
US20050277272A1 (en) * 2004-06-10 2005-12-15 Applied Materials, Inc. Low temperature epitaxial growth of silicon-containing films using UV radiation
US20060166414A1 (en) * 2004-12-01 2006-07-27 Carlson David K Selective deposition

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7960256B2 (en) 2004-12-01 2011-06-14 Applied Materials, Inc. Use of CL2 and/or HCL during silicon epitaxial film formation
US8586456B2 (en) 2004-12-01 2013-11-19 Applied Materials, Inc. Use of CL2 and/or HCL during silicon epitaxial film formation
US8029620B2 (en) 2006-07-31 2011-10-04 Applied Materials, Inc. Methods of forming carbon-containing silicon epitaxial layers

Also Published As

Publication number Publication date
DE112007001813T5 (en) 2009-07-09
CN101496150B (en) 2012-07-18
JP2009545884A (en) 2009-12-24
US7588980B2 (en) 2009-09-15
CN101496150A (en) 2009-07-29
US20080026549A1 (en) 2008-01-31
JP5175285B2 (en) 2013-04-03
KR101369355B1 (en) 2014-03-04
TWI390606B (en) 2013-03-21
TW200816280A (en) 2008-04-01
KR20090037481A (en) 2009-04-15

Similar Documents

Publication Publication Date Title
US7588980B2 (en) Methods of controlling morphology during epitaxial layer formation
US8029620B2 (en) Methods of forming carbon-containing silicon epitaxial layers
CN101069264B (en) Selective epitaxy technique with alternating gas supply
TWI419204B (en) Selective deposition
TWI512792B (en) Selective epitaxy process control
TWI741121B (en) Method and apparatus for selective epitaxy
US20110290176A1 (en) Cluster tool for epitaxial film formation
JP2005536054A (en) Deposition of amorphous silicon-containing films
JP2009540565A (en) Selective epitaxial formation of semiconductor films
JP2009539264A (en) Method and system for selective deposition of Si-containing films using chloropolysilane
TWI738207B (en) Methods and apparatus for metal silicide deposition
US10312096B2 (en) Methods for titanium silicide formation using TiCl4 precursor and silicon-containing precursor
TW201826351A (en) Method for manufacturing a semiconductor device
US9012328B2 (en) Carbon addition for low resistivity in situ doped silicon epitaxy

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200780028486.8

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07797058

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2009522826

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1120070018135

Country of ref document: DE

WWE Wipo information: entry into national phase

Ref document number: 1020097003879

Country of ref document: KR

NENP Non-entry into the national phase

Ref country code: RU

RET De translation (de og part 6b)

Ref document number: 112007001813

Country of ref document: DE

Date of ref document: 20090709

Kind code of ref document: P

122 Ep: pct application non-entry in european phase

Ref document number: 07797058

Country of ref document: EP

Kind code of ref document: A1