WO2008054967A3 - Method for providing a nanoscale, high electron mobility transistor (hemt) on insulator - Google Patents

Method for providing a nanoscale, high electron mobility transistor (hemt) on insulator Download PDF

Info

Publication number
WO2008054967A3
WO2008054967A3 PCT/US2007/080994 US2007080994W WO2008054967A3 WO 2008054967 A3 WO2008054967 A3 WO 2008054967A3 US 2007080994 W US2007080994 W US 2007080994W WO 2008054967 A3 WO2008054967 A3 WO 2008054967A3
Authority
WO
WIPO (PCT)
Prior art keywords
electron mobility
high electron
mobility transistor
layer
hemt
Prior art date
Application number
PCT/US2007/080994
Other languages
French (fr)
Other versions
WO2008054967A2 (en
Inventor
Darwin G Enicks
Original Assignee
Atmel Corp
Darwin G Enicks
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Atmel Corp, Darwin G Enicks filed Critical Atmel Corp
Publication of WO2008054967A2 publication Critical patent/WO2008054967A2/en
Publication of WO2008054967A3 publication Critical patent/WO2008054967A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66431Unipolar field-effect transistors with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT

Abstract

A method and resulting high electron mobility transistor comprised of a substrate (801) and a relaxed silicon-germanium layer (805) formed over the substrate (801). A dopant layer is formed within the relaxed silicon-germanium layer (805). The dopant layer contains carbon and/or boron and has a full-width half-maximum (FWHM) thickness value of less than approximately 70 nanometers. A strained silicon layer (807) is formed over the relaxed silicon-germanium layer (805) and is configured to act as quantum well device.
PCT/US2007/080994 2006-10-31 2007-10-10 Method for providing a nanoscale, high electron mobility transistor (hemt) on insulator WO2008054967A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/554,796 US7550758B2 (en) 2006-10-31 2006-10-31 Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
US11/554,796 2006-10-31

Publications (2)

Publication Number Publication Date
WO2008054967A2 WO2008054967A2 (en) 2008-05-08
WO2008054967A3 true WO2008054967A3 (en) 2008-08-14

Family

ID=39329042

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/080994 WO2008054967A2 (en) 2006-10-31 2007-10-10 Method for providing a nanoscale, high electron mobility transistor (hemt) on insulator

Country Status (4)

Country Link
US (2) US7550758B2 (en)
CN (1) CN101536191A (en)
TW (1) TW200830551A (en)
WO (1) WO2008054967A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8173526B2 (en) 2006-10-31 2012-05-08 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
US8530934B2 (en) 2005-11-07 2013-09-10 Atmel Corporation Integrated circuit structures containing a strain-compensated compound semiconductor layer and methods and systems related thereto

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080050883A1 (en) * 2006-08-25 2008-02-28 Atmel Corporation Hetrojunction bipolar transistor (hbt) with periodic multilayer base
JP5656010B2 (en) * 2009-12-04 2015-01-21 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated Method for forming hard mask film and apparatus for forming hard mask film
CN101916770B (en) * 2010-07-13 2012-01-18 清华大学 Si-Ge-Si semiconductor structure with double graded junctions and forming method thereof
JP2013084695A (en) * 2011-10-06 2013-05-09 Tokyo Electron Ltd Semiconductor device manufacturing method
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10276663B2 (en) * 2016-07-18 2019-04-30 United Microelectronics Corp. Tunneling transistor and method of fabricating the same
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US11222950B2 (en) * 2019-04-24 2022-01-11 National Technology & Engineering Solutions Of Sandia, Llc Method for fabricating embedded nanostructures with arbitrary shape

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6858541B2 (en) * 2002-08-05 2005-02-22 Honeywell International, Inc. Etch stop control for MEMS device formation
US20050233534A1 (en) * 2002-01-09 2005-10-20 International Business Machines Corporation Silicon germanium heterojunction bipolar transistor with carbon incorporation
US20060011906A1 (en) * 2004-07-14 2006-01-19 International Business Machines Corporation Ion implantation for suppression of defects in annealed SiGe layers
US20060151787A1 (en) * 2005-01-12 2006-07-13 International Business Machines Corporation LOW CONCENTRATION SiGe BUFFER DURING STRAINED Si GROWTH OF SSGOI MATERIAL FOR DOPANT DIFFUSION CONTROL AND DEFECT REDUCTION

Family Cites Families (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4652183A (en) 1979-02-16 1987-03-24 United Technologies Corporation Amorphous boron-carbon alloy tool bits and methods of making the same
US4459739A (en) 1981-05-26 1984-07-17 Northern Telecom Limited Thin film transistors
US4908325A (en) 1985-09-15 1990-03-13 Trw Inc. Method of making heterojunction transistors with wide band-gap stop etch layer
US4701423A (en) 1985-12-20 1987-10-20 Ncr Corporation Totally self-aligned CMOS process
US5155571A (en) 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
US5241214A (en) 1991-04-29 1993-08-31 Massachusetts Institute Of Technology Oxides and nitrides of metastabale group iv alloys and nitrides of group iv elements and semiconductor devices formed thereof
US5137838A (en) 1991-06-05 1992-08-11 National Semiconductor Corporation Method of fabricating P-buried layers for PNP devices
JPH05175216A (en) 1991-12-24 1993-07-13 Rohm Co Ltd Hetero junction bipolar transistor and its manufacture
US5331659A (en) 1992-03-13 1994-07-19 Sony Corporation Optical semiconductor device
US5965931A (en) 1993-04-19 1999-10-12 The Board Of Regents Of The University Of California Bipolar transistor having base region with coupled delta layers
US5453399A (en) 1993-10-06 1995-09-26 Texas Instruments Incorporated Method of making semiconductor-on-insulator structure
US5466949A (en) 1994-08-04 1995-11-14 Texas Instruments Incorporated Silicon oxide germanium resonant tunneling
US5804834A (en) 1994-10-28 1998-09-08 Mitsubishi Chemical Corporation Semiconductor device having contact resistance reducing layer
WO1996015550A1 (en) 1994-11-10 1996-05-23 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions and processes thereof
KR0148599B1 (en) 1994-11-15 1998-12-01 양승택 Method for manufacturing defect-free compound semiconductor thin film on dielectric thin film
US5856685A (en) 1995-02-22 1999-01-05 Nec Corporation Heterojunction field effect transistor
US5620907A (en) * 1995-04-10 1997-04-15 Lucent Technologies Inc. Method for making a heterojunction bipolar transistor
US5661059A (en) 1995-04-18 1997-08-26 Advanced Micro Devices Boron penetration to suppress short channel effect in P-channel device
US6720627B1 (en) 1995-10-04 2004-04-13 Sharp Kabushiki Kaisha Semiconductor device having junction depths for reducing short channel effect
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6800881B2 (en) 1996-12-09 2004-10-05 Ihp Gmbh-Innovations For High Performance Microelectronics/Institut Fur Innovative Mikroelektronik Silicon-germanium hetero bipolar transistor with T-shaped implantation layer between emitter and emitter contact area
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6107647A (en) 1997-05-15 2000-08-22 Rohm Co. Ltd. Semiconductor AlGaInP light emitting device
US6107653A (en) 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
CA2327421A1 (en) * 1998-04-10 1999-10-21 Jeffrey T. Borenstein Silicon-germanium etch stop layer system
US7227176B2 (en) * 1998-04-10 2007-06-05 Massachusetts Institute Of Technology Etch stop layer system
US6087683A (en) 1998-07-31 2000-07-11 Lucent Technologies Silicon germanium heterostructure bipolar transistor with indium doped base
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
JP2000349264A (en) 1998-12-04 2000-12-15 Canon Inc Method for manufacturing, use and utilizing method of semiconductor wafer
FR2795871B1 (en) 1999-07-01 2001-09-14 Picogiga Sa HETEROJUNCTION TRANSISTOR III-V, IN PARTICULAR HEMT FIELD-EFFECT TRANSISTOR OR BIPOLAR HETEROJUNCTION TRANSISTOR
US6323108B1 (en) * 1999-07-27 2001-11-27 The United States Of America As Represented By The Secretary Of The Navy Fabrication ultra-thin bonded semiconductor layers
US6744079B2 (en) 2002-03-08 2004-06-01 International Business Machines Corporation Optimized blocking impurity placement for SiGe HBTs
EP1102327B1 (en) 1999-11-15 2007-10-03 Matsushita Electric Industrial Co., Ltd. Field effect semiconductor device
US6165891A (en) 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
JP2001189478A (en) 1999-12-28 2001-07-10 Sanyo Electric Co Ltd Semiconductor element and manufacturing method therefor
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
TW483171B (en) 2000-03-16 2002-04-11 Trw Inc Ultra high speed heterojunction bipolar transistor having a cantilevered base.
WO2003092047A2 (en) 2002-04-26 2003-11-06 The University Of Connecticut Center Of Science & Technology Commercialization THz DETECTION EMPLOYING MODULATION DOPED QUANTUM WELL DEVICE STRUCTURES
US6362065B1 (en) 2001-02-26 2002-03-26 Texas Instruments Incorporated Blocking of boron diffusion through the emitter-emitter poly interface in PNP HBTs through use of a SiC layer at the top of the emitter epi layer
KR101050377B1 (en) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 Improved process for deposition of semiconductor films
US6855649B2 (en) 2001-06-12 2005-02-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6593625B2 (en) 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
KR100710513B1 (en) 2001-08-06 2007-04-23 가부시키가이샤 섬코 Semiconductor substrate, field-effect transistor, and their manufacturing methods
US20030040130A1 (en) 2001-08-09 2003-02-27 Mayur Abhilash J. Method for selection of parameters for implant anneal of patterned semiconductor substrates and specification of a laser system
US20050250289A1 (en) 2002-10-30 2005-11-10 Babcock Jeffrey A Control of dopant diffusion from buried layers in bipolar integrated circuits
US20030080394A1 (en) 2001-10-31 2003-05-01 Babcock Jeffrey A. Control of dopant diffusion from polysilicon emitters in bipolar integrated circuits
US20030082882A1 (en) 2001-10-31 2003-05-01 Babcock Jeffrey A. Control of dopant diffusion from buried layers in bipolar integrated circuits
JP4060580B2 (en) 2001-11-29 2008-03-12 株式会社ルネサステクノロジ Heterojunction bipolar transistor
US6656809B2 (en) 2002-01-15 2003-12-02 International Business Machines Corporation Method to fabricate SiGe HBTs with controlled current gain and improved breakdown voltage characteristics
US7226504B2 (en) 2002-01-31 2007-06-05 Sharp Laboratories Of America, Inc. Method to form thick relaxed SiGe layer with trench structure
US6746902B2 (en) 2002-01-31 2004-06-08 Sharp Laboratories Of America, Inc. Method to form relaxed sige layer with high ge content
US6759674B2 (en) 2002-02-04 2004-07-06 Newport Fab, Llc Band gap compensated HBT
JP3746246B2 (en) 2002-04-16 2006-02-15 株式会社東芝 Manufacturing method of semiconductor device
US6586297B1 (en) 2002-06-01 2003-07-01 Newport Fab, Llc Method for integrating a metastable base into a high-performance HBT and related structure
US7074623B2 (en) 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
JP2004047691A (en) 2002-07-11 2004-02-12 Seiko Epson Corp Method for manufacturing semiconductor device, electrooptic device and electronic apparatus
US7535100B2 (en) 2002-07-12 2009-05-19 The United States Of America As Represented By The Secretary Of The Navy Wafer bonding of thinned electronic materials and circuits to high performance substrates
US6841457B2 (en) 2002-07-16 2005-01-11 International Business Machines Corporation Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion
US6992004B1 (en) 2002-07-31 2006-01-31 Advanced Micro Devices, Inc. Implanted barrier layer to improve line reliability and method of forming same
US6927140B2 (en) 2002-08-21 2005-08-09 Intel Corporation Method for fabricating a bipolar transistor base
US7217950B2 (en) 2002-10-11 2007-05-15 Nissan Motor Co., Ltd. Insulated gate tunnel-injection device having heterojunction and method for manufacturing the same
TWI223446B (en) * 2002-11-05 2004-11-01 Ind Tech Res Inst Method of ultra thin base fabrication for Si/SiGe hetro bipolar transistor
EP1439570A1 (en) * 2003-01-14 2004-07-21 Interuniversitair Microelektronica Centrum ( Imec) SiGe strain relaxed buffer for high mobility devices and a method of fabricating it
US7238595B2 (en) 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
JP3708114B2 (en) 2003-04-15 2005-10-19 松下電器産業株式会社 Ballistic semiconductor element
US6936910B2 (en) 2003-05-09 2005-08-30 International Business Machines Corporation BiCMOS technology on SOI substrates
US6982433B2 (en) 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US20060157733A1 (en) 2003-06-13 2006-07-20 Gerald Lucovsky Complex oxides for use in semiconductor devices and related methods
CN100536167C (en) 2003-08-05 2009-09-02 富士通微电子株式会社 Semiconductor device and preparation method thereof
US6855963B1 (en) 2003-08-29 2005-02-15 International Business Machines Corporation Ultra high-speed Si/SiGe modulation-doped field effect transistors on ultra thin SOI/SGOI substrate
TWI228320B (en) 2003-09-09 2005-02-21 Ind Tech Res Inst An avalanche photo-detector(APD) with high saturation power, high gain-bandwidth product
TWI222219B (en) 2003-09-10 2004-10-11 Ind Tech Res Inst Semiconductor optical transistor
US6989322B2 (en) 2003-11-25 2006-01-24 International Business Machines Corporation Method of forming ultra-thin silicidation-stop extensions in mosfet devices
US7075126B2 (en) 2004-02-27 2006-07-11 International Business Machines Corporation Transistor structure with minimized parasitics and method of fabricating the same
WO2005094254A2 (en) 2004-03-17 2005-10-13 The Board Of Trustees Of The Leland Stanford Junior University Crystalline-type device and approach therefor
US20060030093A1 (en) 2004-08-06 2006-02-09 Da Zhang Strained semiconductor devices and method for forming at least a portion thereof
JP4720164B2 (en) 2004-12-02 2011-07-13 株式会社Sumco Manufacturing method of SOI wafer
US7361943B2 (en) 2005-04-19 2008-04-22 The Ohio State University Silicon-based backward diodes for zero-biased square law detection and detector arrays of same
US20070054460A1 (en) 2005-06-23 2007-03-08 Atmel Corporation System and method for providing a nanoscale, highly selective, and thermally resilient silicon, germanium, or silicon-germanium etch-stop
US20080050883A1 (en) 2006-08-25 2008-02-28 Atmel Corporation Hetrojunction bipolar transistor (hbt) with periodic multilayer base
US20060292809A1 (en) 2005-06-23 2006-12-28 Enicks Darwin G Method for growth and optimization of heterojunction bipolar transistor film stacks by remote injection
US7432184B2 (en) 2005-08-26 2008-10-07 Applied Materials, Inc. Integrated PVD system using designated PVD chambers
JP2007066981A (en) 2005-08-29 2007-03-15 Toshiba Corp Semiconductor device
JP5243256B2 (en) 2005-11-01 2013-07-24 マサチューセッツ インスティテュート オブ テクノロジー Monolithically integrated semiconductor materials and devices
US20070102834A1 (en) 2005-11-07 2007-05-10 Enicks Darwin G Strain-compensated metastable compound base heterojunction bipolar transistor
US20070148890A1 (en) 2005-12-27 2007-06-28 Enicks Darwin G Oxygen enhanced metastable silicon germanium film layer
US20070290193A1 (en) 2006-01-18 2007-12-20 The Board Of Trustees Of The University Of Illinois Field effect transistor devices and methods
US20070262295A1 (en) 2006-05-11 2007-11-15 Atmel Corporation A method for manipulation of oxygen within semiconductor materials
US7495250B2 (en) 2006-10-26 2009-02-24 Atmel Corporation Integrated circuit structures having a boron- and carbon-doped etch-stop and methods, devices and systems related thereto
US7569913B2 (en) 2006-10-26 2009-08-04 Atmel Corporation Boron etch-stop layer and methods related thereto
US7550758B2 (en) 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050233534A1 (en) * 2002-01-09 2005-10-20 International Business Machines Corporation Silicon germanium heterojunction bipolar transistor with carbon incorporation
US6858541B2 (en) * 2002-08-05 2005-02-22 Honeywell International, Inc. Etch stop control for MEMS device formation
US20060011906A1 (en) * 2004-07-14 2006-01-19 International Business Machines Corporation Ion implantation for suppression of defects in annealed SiGe layers
US20060151787A1 (en) * 2005-01-12 2006-07-13 International Business Machines Corporation LOW CONCENTRATION SiGe BUFFER DURING STRAINED Si GROWTH OF SSGOI MATERIAL FOR DOPANT DIFFUSION CONTROL AND DEFECT REDUCTION

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8530934B2 (en) 2005-11-07 2013-09-10 Atmel Corporation Integrated circuit structures containing a strain-compensated compound semiconductor layer and methods and systems related thereto
US9012308B2 (en) 2005-11-07 2015-04-21 Atmel Corporation Integrated circuit structures containing a strain-compensated compound semiconductor layer and methods and systems related thereto
US8173526B2 (en) 2006-10-31 2012-05-08 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator

Also Published As

Publication number Publication date
US20080099754A1 (en) 2008-05-01
US7550758B2 (en) 2009-06-23
CN101536191A (en) 2009-09-16
WO2008054967A2 (en) 2008-05-08
TW200830551A (en) 2008-07-16
US8173526B2 (en) 2012-05-08
US20090258478A1 (en) 2009-10-15

Similar Documents

Publication Publication Date Title
WO2008054967A3 (en) Method for providing a nanoscale, high electron mobility transistor (hemt) on insulator
TW200739819A (en) Semiconductor device, and method for manufacturing the same
Liu et al. Selective Gas Sensing With $ h $-BN Capped MoS2 Heterostructure Thin-Film Transistors
GB2450838A (en) A transistor having a channel with tensile strain and oriented along a crystallographic orientation with increased charge carrier mobility
TW200742072A (en) Semiconductor device and manufacturing method therefor
WO2013081853A3 (en) Doping carbon nanotubes and graphene for improving electronic mobility
WO2008090969A1 (en) Organic semiconductor composite, organic transistor material and organic field effect transistor
TWI373142B (en) Manufacturing method of thin film transistor using oxide semiconductor
JP2007528593A5 (en)
TW200746429A (en) Metal gated ultra short MOSFET devices
WO2012088097A3 (en) Column iv transistors for pmos integration
GB0612929D0 (en) High-performance organic field-effect transistors based on dilute, crystalline-crystalline polymer blends and block copolymers
WO2009129391A3 (en) Low temperature thin film transistor process, device property, and device stability improvement
WO2009019837A1 (en) Silicon carbide semiconductor device and method for producing the same
SG157304A1 (en) High shrinkage stress silicon nitride (sin) layer for nfet improvement
TW200644240A (en) Self-aligned process for nanotube/nanowire FETs
TW200705659A (en) High electron mobility transistor, field-effect transistor, epitaxial substrate, method of manufacturing epitaxial substrate, and method of manufacturing group iii nitride transistor
TW200512930A (en) Low leakage heterojunction vertical transistors and high performance devices thereof
TW200723530A (en) Carbon nano tube field effect transistor
WO2008114564A1 (en) Thin film transistor and method for manufacturing thin film transistor
GB201313089D0 (en) Radiation hardened transistors based on graphene and carbon nanotubes
WO2008099528A1 (en) Display device and method for manufacturing display device
GB2456712A (en) Method of forming a semiconductor structure comprising a field effect transistor having a stressed channel region
WO2009011084A1 (en) Semiconductor device provided with thin film transistor and method for manufacturing the semiconductor device
TW200729483A (en) Vertical organic transistor and fabricating method of the same

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200780040708.8

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07844118

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07844118

Country of ref document: EP

Kind code of ref document: A2