WO2008073930A1 - Formation of epitaxial layers containing silicon and carbon - Google Patents

Formation of epitaxial layers containing silicon and carbon Download PDF

Info

Publication number
WO2008073930A1
WO2008073930A1 PCT/US2007/087061 US2007087061W WO2008073930A1 WO 2008073930 A1 WO2008073930 A1 WO 2008073930A1 US 2007087061 W US2007087061 W US 2007087061W WO 2008073930 A1 WO2008073930 A1 WO 2008073930A1
Authority
WO
WIPO (PCT)
Prior art keywords
source
silicon
substrate
deposition
gas
Prior art date
Application number
PCT/US2007/087061
Other languages
French (fr)
Inventor
Zhiyuan Ye
Andrew Lam
Yihwan Kim
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2008073930A1 publication Critical patent/WO2008073930A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Definitions

  • Embodiments of the present invention generally relate to methods and apparatus for formation and treatment of epitaxial layers containing silicon and carbon. Specific embodiments pertain to methods and apparatus for the formation and treatment of epitaxial layers in semiconductor devices, for example, Metal Oxide Semiconductor Field Effect Transistor (MOSFET) devices.
  • MOSFET Metal Oxide Semiconductor Field Effect Transistor
  • the amount of current that flows through the channel of a MOS transistor is directly proportional to a mobility of carriers in the channel, and the use of high mobility MOS transistors enables more current to flow and consequently faster circuit performance.
  • Mobility of the carriers in the channel of an MOS transistor can be increased by producing a mechanical stress in the channel.
  • a channel under compressive strain for example, a silicon-germanium channel layer grown on silicon, has significantly enhanced hole mobility to provide a pMOS transistor.
  • a channel under tensile strain for example, a thin silicon channel layer grown on relaxed silicon- germanium, achieves significantly enhanced electron mobility to provide an nMOS transistor.
  • An nMOS transistor channel under tensile strain can also be provided by forming one or more carbon-doped silicon epitaxial layers, which may be complementary to the compressively strained SiGe channel in a pMOS transistor.
  • carbon-doped silicon and silicon-germanium epitaxial layers can be deposited on the source/drain of nMOS and pMOS transistors, respectively.
  • the source and drain areas can be either flat or recessed by selective Si dry etching.
  • nMOS sources and drains covered with carbon-doped silicon epitaxy imposes tensile stress in the channel and increases nMOS drive current.
  • CMOS complementary metal-oxide semiconductor
  • junction depth to be less than 30 nm.
  • Selective epitaxial deposition is often utilized to form epitaxial layers ("epilayers") of silicon-containing materials (e.g., Si, SiGe and SiC) into the junctions.
  • epilayers silicon-containing materials
  • Selective epitaxial deposition permits growth of epilayers on silicon moats with no growth on dielectric areas.
  • Selective epitaxy can be used within semiconductor devices, such as elevated source/drains, source/drain extensions, contact plugs or base layer deposition of bipolar devices.
  • a typical selective epitaxy process involves a deposition reaction and an etch reaction.
  • the epitaxial layer is formed on a monocrystalline surface while a polycrystalline layer is deposited on at least a second layer, such as an existing polycrystalline layer and/or an amorphous layer.
  • the deposition and etch reactions occur simultaneously with relatively different reaction rates to an epitaxial layer and to a polycrystalline layer.
  • the deposited polycrystalline layer is generally etched at a faster rate than the epitaxial layer. Therefore, by changing the concentration of an etchant gas, the net selective process results in deposition of epitaxy material and limited, or no, deposition of polycrystalline material.
  • a selective epitaxy process may result in the formation of an epilayer of silicon-containing material on a monocrystalline silicon surface while no deposition is left on the spacer.
  • Source/drain extension features are manufactured by etching a silicon surface to make a recessed source/drain feature and subsequently filling the etched surface with a selectively grown epilayers, such as a silicon germanium (SiGe) material.
  • a selectively grown epilayers such as a silicon germanium (SiGe) material.
  • the ultra shallow source/drain junction inevitably results in increased series resistance. Also, junction consumption during suicide formation increases the series resistance even further.
  • an elevated source/drain is epitaxially and selectively grown on the junction. Typically, the elevated source/drain layer is undoped silicon.
  • Such high temperatures are not desirable during a fabrication process due to thermal budget considerations and possible uncontrolled nitridation reactions to the substrate surface.
  • most of the C atoms incorporated through typical selective Si:C epitaxy processes at the higher process temperatures occupy non-substitutional (i.e. interstitial) sites of the Si lattice.
  • a higher fraction of substitutional carbon level can be achieved (e.g. nearly 100% at growth temperature of 550 0 C), however, the slow growth rate at these lower temperatures is undesirable for device applications, and such selective processing might not be possible at the lower temperatures.
  • the process should be versatile to form silicon-containing compounds with varied elemental concentrations while having a fast deposition rate and maintaining a process temperature, such as about 800° C or less, and preferably about 700° C or less. Such methods would be useful in the manufacture of transistor devices.
  • One embodiment of the present invention relates to methods of forming and processing epitaxial layers containing silicon. Other embodiments relate to methods manufacturing of fabricating transistor devices including epitaxial layers containing silicon and carbon.
  • a method for epitaxially forming a silicon-containing material on a substrate surface comprises placing a substrate including a monocrystalline surface into a process chamber; exposing the substrate to an undoped deposition gas comprising a silicon source, a carbon source, and no dopant source to form a first undoped layer on the substrate; and sequentially exposing the substrate to a doped deposition gas to form an epitaxial layer on the monocrystalline surface, wherein the deposition gas comprises a dopant source and a carrier gas to form a doped layer on the first undoped layer.
  • the dopant comprises a phosphorus source, for example a phosphine.
  • the substrate is further processed by subsequently exposing the substrate to an etching gas.
  • the substrate may be processed further by purging the process chamber.
  • purging the process chamber occurs immediately after exposing the substrate to the etching gas.
  • the etching gas may comprise chlorine and HCI.
  • a single process cycle comprises an undoped deposition step, a doped deposition step, exposure to etching gas and purging the process chamber, and the process cycle is repeated at least twice.
  • purging the process chamber comprises flowing only an inert gas.
  • the undoped deposition step is performed after the doped deposition step.
  • the doped deposition step may further comprise flowing a silicon source together with the dopant source.
  • the silicon source may comprise comprises monosilane and a higher order silane, for example, disilane, neopentasilane and mixtures thereof.
  • the carbon source may comprise methylsilane.
  • the epitaxial film is formed during a fabrication step of a transistor manufacturing process, and the method further comprises: forming a gate dielectric on a substrate; forming a gate electrode on the gate dielectric; and forming source/drain regions on the substrate on opposite sides of the electrode and defining a channel region between the source/drain regions.
  • Figure 1 is graph of epitaxial growth rate versus 1000/Temperature for several silicon precursors
  • Figure 2A is an SEM photograph showing conformality of Si:C epitaxial growth on Si substrate and dielectric structures with a silane source
  • Fig. 2B is an SEM photograph showing conformality of Si:C epitaxial growth on Si substrate and dielectric structures with a disilane source
  • Fig. 2C is an SEM photograph showing conformality of Si:C epitaxial growth on Si substrate and dielectric structures with a neopentasilane source;
  • Fig. 3 is a high resolution X-ray diffraction spectra of nonselective Si:C epitaxy grown with alternating steps of deposition and purge;
  • Fig. 4 is a high resolution X-ray diffraction spectra of selective Si:C epitaxy grown with alternating steps of deposition, etch, and purge;
  • Figure 5 is a cross-sectional view of a field effect transistor pair in accordance with an embodiment of the invention.
  • Figure 6 is a cross-sectional view of the PMOS field effect transistor shown in Figure 5 having additional layers formed on the device.
  • Embodiments of the invention generally provide methods and apparatus for forming and treating a silicon-containing epitaxial layer. Specific embodiments pertain to methods and apparatus for forming and treating an epitaxial layer during the manufacture of a transistor.
  • epitaxial deposition refers to the deposition of a single crystal layer on a substrate, so that the crystal structure of the deposited layer matches the crystal structure of the substrate.
  • an epitaxial layer or film is a single crystal layer or film having a crystal structure that matches the crystal structure of the substrate. Epitaxial layers are distinguished from bulk substrates and polysilicon layers.
  • silicon-containing materials, compounds, films or layers should be construed to include a composition containing at least silicon and may contain germanium, carbon, boron, arsenic, phosphorus gallium and/or aluminum. Other elements, such as metals, halogens or hydrogen may be incorporated within a silicon-containing material, compound, film or layer, usually in part per million (ppm) concentrations.
  • Compounds or alloys of silicon-containing materials may be represented by an abbreviation, such as Si for silicon, SiGe for silicon germanium, Si:C. for silicon carbon and SiGeC for silicon germanium carbon. The abbreviations do not represent chemical equations with stoichiometrical relationships, nor represent any particular reduction/oxidation state of the silicon- containing materials.
  • One or more embodiments of the invention generally provide processes to selectively and epitaxially deposit silicon-containing materials on monocrystalline surfaces of a substrate during fabrication of electronic devices.
  • the epitaxial process also referred to as the alternating gas supply process, includes repeating a cycle of a deposition process and an etching process until the desired thickness of an epitaxial layer is grown.
  • Exemplary alternating deposition and etch processes are disclosed in commonly assigned and copending United States Patent application serial no. 11/001 ,774, published as United States Patent Application Publication No. 2006/0115934, entitled, Selective Epitaxy Process With Alternating Gas Supply, the entire content of which is incorporated herein by reference.
  • the deposition process includes exposing the substrate surface to a deposition gas containing at least a silicon source and a carrier gas.
  • the deposition gas may also include a germanium source and/or carbon source, as well as a dopant source.
  • an epitaxial layer is formed on the monocrystalline surface of the substrate, while a polycrystalline/amorphous layer is formed on secondary surfaces, such as dielectric, amorphous and/or polycrystalline surfaces, which will be collectively referred to as "secondary surfaces".
  • the substrate is exposed to an etching gas.
  • the etching gas includes a carrier gas and an etchant, such as chlorine gas or hydrogen chloride.
  • the etching gas removes silicon-containing materials deposited during the deposition process.
  • the polycrystalline/amorphous layer is removed at a faster rate than the epitaxial layer. Therefore, the net result of the deposition and etching processes forms epitaxially grown silicon-containing material on monocrystalline surfaces while minimizing growth, if any, of polycrystalline/amorphous silicon-containing material on the secondary surfaces.
  • a cycle of the deposition and etching processes may be repeated as needed to obtain the desired thickness of silicon-containing materials.
  • the silicon-containing materials which can be deposited by embodiments of the invention include silicon, silicon germanium, silicon carbon, silicon germanium carbon, and variants thereof, including dopants.
  • use of chlorine gas as an etchant lowers the overall process temperature below about 800 0 C.
  • deposition processes may be conducted at lower temperatures than etching reactions, since etchants often need a high temperature to be activated.
  • silane may be thermally decomposed to deposit silicon at about 500 0 C or less
  • hydrogen chloride requires an activation temperature of about 700°C or higher to act as an effective etchant. Therefore, if hydrogen chloride is used during a process, the overall process temperature is dictated by the higher temperature required to activate the etchant.
  • Chlorine contributes to the overall process by reducing the required overall process temperature. Chlorine may be activated at a temperature as low as about 500 0 C.
  • the overall process temperature may be significantly reduced, such as by about 200 °C to 300°C, over processes which use hydrogen chloride as the etchant. Also, chlorine etches silicon-containing materials faster than hydrogen chloride. Therefore, chlorine etchants increase the overall rate of the process.
  • Nitrogen is typically a preferred carrier gas due to cost considerations associated with the use of argon and helium as a carrier gas. Despite the fact that nitrogen is generally much less expensive than argon, according to one or more embodiments of the invention, argon is a preferred carrier gas, particularly in embodiments in which methylsilane is a silicon source gas.
  • argon is a preferred carrier gas, particularly in embodiments in which methylsilane is a silicon source gas.
  • One drawback that may occur from using nitrogen as a carrier gas is the nithdizing of materials on a substrate during deposition processes. However, high temperature, such as over 800 0 C, is required to activate nitrogen in such a manner. Therefore, according to one or more embodiments, nitrogen can be used as an inert carrier gas in processes conducted at temperatures below the nitrogen activation threshold.
  • an inert carrier gas has several attributes during a deposition process.
  • an inert carrier gas may increase the deposition rate of the silicon-containing material.
  • hydrogen may be used as a carrier gas, during the deposition process, hydrogen has a tendency to adsorb or react to the substrate to form hydrogen-terminated surfaces. A hydrogen- terminated surface reacts much slower to epitaxial growth than a bare silicon surface. Therefore, the use of an inert carrier gas increases the deposition rate by not adversely effecting the deposition reaction.
  • blanket or nonselective epitaxy with alternating steps of deposition and purge results in improved crystallinity of epitaxial films grown using a higher order silane compared to continuous deposition.
  • higher order silane refers to a disilane or higher silane precursor.
  • higher order silane refers to disilane, neopentasilane (NPS), or a mixture of these.
  • An exemplary process includes loading a substrate into a process chamber and adjusting the conditions within the process chamber to a desired temperature and pressure. Then, a deposition process is initiated to form an epitaxial layer on a monocrystalline surface of the substrate.
  • the deposition process is then terminated.
  • the thickness of the epitaxial layer is then determined. If the predetermined thickness of the epitaxial layer is achieved, then the epitaxial process is terminated. However, if the predetermined thickness is not achieved, then steps of deposition and purge are repeated as a cycle until the predetermined thickness is achieved. Further details of this exemplary process are described below.
  • the substrates may be unpatterned or patterned.
  • Patterned substrates are substrates that include electronic features formed into or onto the substrate surface.
  • the patterned substrate usually contains monocrystalline surfaces and at least one secondary surface that is non-monocrystalline, such as a dielectric, polycrystalline or amorphous surfaces.
  • Monocrystalline surfaces include the bare crystalline substrate or a deposited single crystal layer usually made from a material such as silicon, silicon germanium or silicon carbon.
  • Polycrystalline or amorphous surfaces may include dielectric materials, such as oxides or nitrides, specifically silicon oxide or silicon nitride, as well as amorphous silicon surfaces.
  • the conditions in the process chamber are adjusted to a predetermined temperature and pressure.
  • the temperature is tailored to the particular conducted process.
  • the process chamber is maintained at a consistent temperature throughout the epitaxial process. However, some steps may be performed at varying temperatures.
  • the process chamber is kept at a temperature in the range from about 250 0 C to about 1 ,000 0 C, for example, from about 500°C to about 800 0 C and more specifically from about 550°C to about 750°C.
  • the appropriate temperature to conduct epitaxial process may depend on the particular precursors used to deposit the silicon-containing.
  • an exemplary temperature to pre-heat the process chamber is about 750 0 C or less, for example, about 650°C or less and more specifically about 550°C or less. In one specific embodiment, the temperature during epitaxial growth is maintainied at about 560 0 C.
  • the process chamber is usually maintained at a pressure from about 0.1 Torr to about 600 Torr, for example, from about 1 Torr to about 50 Torr.
  • the pressure may fluctuate during and between process steps, but is generally maintained constant. In a specific embodiment, the pressure is maintained at about 10 Torr during deposition and purge.
  • the substrate is exposed to a deposition gas to form an epitaxial layer.
  • the substrate is exposed to the deposition gas for a period of time of about 0.5 seconds to about 30 seconds, for example, from about 1 second to about 20 seconds, and more specifically from about 5 seconds to about 10 seconds.
  • the deposition step lasts for about 10 to 11 seconds.
  • the specific exposure time of the deposition process is determined in relation to the exposure time during a subsequent etching process, as well as particular precursors and temperature used in the process.
  • the substrate is exposed to the deposition gas long enough to form a maximized thickness of an epitaxial layer
  • the deposition gas contains at least a silicon source and a carrier gas, and may contain at least one secondary elemental source, such as a carbon source and/or a germanium source. Also, the deposition gas may further include a dopant compound to provide a source of a dopant, such as boron, arsenic, phosphorus, gallium and/or aluminum. In an alternative embodiment, the deposition gas may include at least one etchant, such as hydrogen chloride or chlorine.
  • the silicon source is usually provided into the process chamber at a rate in a range from about 5 seem to about 500 seem, preferably from about 10 seem to about 300 seem, and more preferably from about 50 seem to about 200 seem, for example, about 100 seem.
  • silane is flowed at about 60 seem.
  • Silicon sources useful in the deposition gas to deposit silicon-containing compounds include silanes, halogenated silanes and organosilanes.
  • Silanes include silane (SiH 4 ) and higher silanes with the empirical formula Si x H (2 ⁇ + 2) , such as disilane (Si 2 H 6 ), trisilane (SJsH 8 ), and tetrasilane (Si 4 Hi 0 ), as well as others.
  • Organosilane compounds have been found to be advantageous silicon sources as well as carbon sources in embodiments which incorporate carbon in the deposited silicon-containing compound.
  • methylsilane in an argon-containing carrier gas is a preferred silicon-containing source and carrier gas combination.
  • the silicon source is usually provided into the process chamber along with a carrier gas.
  • the carrier gas has a flow rate from about 1 slm (standard liters per minute) to about 100 slm, for example, from about 5 slm to about 75 slm, and more specifically from about 10 slm to about 50 slm, for example, about 10 slm.
  • Carrier gases may include nitrogen (N 2 ), hydrogen (H 2 ), argon, helium and combinations thereof.
  • An inert carrier gas is preferred and includes nitrogen, argon, helium and combinations thereof.
  • a carrier gas may be selected based on the precursor(s) used and/or the process temperature during the epitaxial process. Usually the carrier gas is the same throughout for each of the deposition and etching steps. However, some embodiments may use different carrier gases in particular steps.
  • nitrogen is utilized as a carrier gas in embodiments featuring low temperature (e.g., ⁇ 800°C) processes.
  • Low temperature processes are accessible due in part to the use of chlorine gas in the etching process.
  • Nitrogen remains inert during low temperature deposition processes. Therefore, nitrogen is not incorporated into the deposited silicon-containing material during low temperature processes.
  • a nitrogen carrier gas does not form hydrogen-terminated surfaces as does a hydrogen carrier gas. The hydrogen-terminated surfaces formed by the adsorption of hydrogen carrier gas on the substrate surface inhibit the growth rate of silicon- containing layers.
  • the low temperature processes may take economic advantage of nitrogen as a carrier gas, since nitrogen is far less expensive than hydrogen, argon or helium. Despite the economic advantages, according to certain embodiments, argon is a preferred carrier gas.
  • the deposition gas used also contains at least one secondary elemental source, such as a carbon source and/or a germanium source.
  • a carbon source may be added during deposition to the process chamber with the silicon source and carrier gas to form a silicon-containing compound, such as a silicon carbon material.
  • a carbon source is usually provided into the process chamber at a rate in the range from about 0.1 seem to about 20 seem, for example, from about 0.5 seem to about 10 seem, and more specifically, from about 1 seem to about 5 seem, for example, about 2 seem.
  • the carbon source may be diluted in hydrogen gas and flowed at a rate of 300 seem.
  • Carbon sources useful to deposit silicon-containing compounds include organosilanes, alkyls, alkenes and alkynes of ethyl, propyl and butyl.
  • Such carbon sources include methylsilane (CH 3 SiH 3 ), dimethylsilane ((CH 3 ) 2 SiH 2 ), ethylsilane (CH 3 CH 2 SiH 3 ), methane (CH 4 ), ethylene (C 2 H4), ethyne (C 2 H 2 ), propane (C 3 H 8 ), propene (C 3 H 6 ), butyne (C 4 H 6 ), as well as others.
  • the carbon concentration of an epitaxial layer is in the range from about 200 ppm to about 5 atomic %, preferably from about 1 atomic % to about 3 atomic %, for example 1.5 atomic %.
  • the carbon concentration may be graded within an epitaxial layer, preferably graded with a lower carbon concentration in the initial portion of the epitaxial layer than in the final portion of the epitaxial layer.
  • a germanium source and a carbon source may both be added during deposition into the process chamber with the silicon source and carrier gas to form a silicon-containing compound, such as a silicon carbon or silicon germanium carbon material.
  • a germanium source may be added to the process chamber with the silicon source and carrier gas to form a silicon-containing compound, such as a silicon germanium material.
  • the germanium source is usually provided into the process chamber at a rate in the range from about 0.1 seem to about 20 seem, preferably from about 0.5 seem to about 10 seem, and more preferably from about 1 seem to about 5 seem, for example, about 2 seem.
  • Germanium sources useful to deposit silicon-containing compounds include germane (GeH 4 ), higher germanes and organogermanes.
  • Higher germanes include compounds with the empirical formula Ge x H( 2x+2 ), such as digermane (Ge 2 H 6 ), thgermane (Ge 3 H 8 ) and tetragermane (Ge 4 H 10 ), as well as others.
  • Organogermanes include compounds such as methylgermane ((CH 3 )GeH 3 ), dimethylgermane ((CH 3 ) 2 GeH 2 ), ethylgermane ((CH 3 CH 2 )GeH 3 ), methyldigermane ((CH 3 )Ge 2 H 5 ), dimethyldigermane ((CHs) 2 Ge 2 H 4 ) and hexamethyldigermane ((CH 3 ) 6 Ge 2 ).
  • Germanes and organogermane compounds have been found to be advantageous germanium sources and carbon sources in embodiments while incorporating germanium and carbon into the deposited silicon- containing compounds, namely SiGe and SiGeC compounds.
  • the germanium concentration in the epitaxial layer is in the range from about 1 atomic % to about 30 atomic %, for example, about 20 atomic %.
  • the germanium concentration may be graded within an epitaxial layer, preferably graded with a higher germanium concentration in the lower portion of the epitaxial layer than in the upper portion of the epitaxial layer.
  • the deposition gas used during deposition may further include at least one dopant compound to provide a source of elemental dopant, such as boron, arsenic, phosphorus, gallium or aluminum.
  • Dopants provide the deposited silicon-containing compounds with various conductive characteristics, such as directional electron flow in a controlled and desired pathway required by the electronic device. Films of the silicon-containing compounds are doped with particular dopants to achieve the desired conductive characteristic.
  • the silicon-containing compound is doped p-type, such as by using diborane to add boron at a concentration in the range from about 10 15 atoms/cm 3 to about 10 21 atoms/cm 3 .
  • the p-type dopant has a concentration of at least 5 X 10 19 atoms/cm 3 .
  • the p- type dopant is in the range from about 1 X 10 20 atoms/cm 3 to about 2.5 X 10 21 atoms/cm 3 .
  • the silicon-containing compound is doped n-type, such as with phosphorus and/or arsenic to a concentration in the range from about 10 15 atoms/cm 3 to about 10 21 atoms/cm 3 .
  • a dopant source is usually provided into the process chamber during deposition at a rate in the range from about 0.1 seem to about 20 seem, for example, from about 0.5 seem to about 10 seem, and more specifically from about 1 seem to about 5 seem, for example, about 2 seem.
  • Examples of aluminum and gallium dopant sources include trimethylaluminum (Me 3 AI), thethylaluminum (Et 3 AI), dimethylaluminumchloride (Me2AICI), aluminum chloride (AICI 3 ), trimethylgallium (Me 3 Ga), thethylgallium (Et 3 Ga), dimethylgalliumchloride (M ⁇ 2GaCI) and gallium chloride (GaCI 3 ).
  • the process chamber may be flushed with a purge gas or the carrier gas and/or the process chamber may be evacuated with a vacuum pump.
  • the purging and/or evacuating processes remove excess deposition gas, reaction by-products and other contaminants.
  • the process chamber may be purged for about 10 seconds by flowing a carrier gas at about 5 slm.
  • a cycle of deposition and purge may be repeated for numerous cycles. In one embodiment, the deposition and purge cycle is repeated about 90 times.
  • a blanket or non-selective deposition is performed at low temperatures, for example, about 600° C and lower, using a higher order silane (e.g. disilane and higher) source.
  • a higher order silane e.g. disilane and higher
  • Figure 1 shows a graph of epitaxial growth rates for silicon on ⁇ 001 > substrates processed at various temperatures as a function of 1000/Temperature. Each of the samples was processed between 600 and 700° C at a pressure between about 5 and 8 Torr, and delivered in hydrogen carrier gas flowing between 3-5 slm.
  • the sample labeled "HOS" in Figure 1 was neopentasilane and the flow rate for liquid neopentasilane in a mixture of hydrogen carrier gas through a bubbler was varied between about 20 and 300 seem. As shown in Fig.
  • the higher order silane exhibited a growth rate at 600° C that was about three times greater than the growth rate of trisilane, eight times the growth rate of disilane, and 72 times the growth rate of silane.
  • a high order silane gas such as disilane, hexachlorodisilane, trisilane, and neopentasilane provides certain benefits.
  • the use of neopentasilane in the formation of epitaxial films on substrates is described in commonly assigned United States Application Serial No. 10/688,797, published as United States Patent Application Publication No. 2004/0224089, entitled Silicon-Containing Layer Deposition with Silicon Compounds, the entire content of which is incorporated herein by reference.
  • Neopentasilane ((SiH 3 ) 4 Si), is a tertiary silane containing four silyl (- SiH 3 ) groups bonded to a silicon atom.
  • the use of higher order silanes enables higher deposition rate at lower temperature and for silicon-containing films incorporating carbon, higher incorporation of substitutional carbon atoms than the use of mono-silane as a silicon source gas.
  • 50% of the carbon was substitutional carbon in the deposited films.
  • disilane produced films having greater than about 90% substitutional carbon and neopentasilane produced films having nearly 100% substitutional carbon.
  • a liquid source cabinet that includes a neopentasilane ampoule installed in close proximity to the process chamber, for example, within less than about five feet, more specifically less than about two or three feet of the process chamber, enables higher delivery rate of the silicon source and consequently higher deposition rate.
  • Another aspect of the invention pertains to co-flowing mono silane (SiH 4 ) with a higher order silane such as neopentasilane and disilane during deposition:
  • a higher order silane such as neopentasilane and disilane during deposition
  • processes that use higher order silanes during deposition generally show non-conformal growth compared processes that use mono silane: More specifically, the higher order silanes tends to produce thicker deposition on horizontal surfaces such as the bottom of recessed areas and the top of gate than deposition on vertical planes such as side wall. This non-conformal growth can lead to a problem that when etching away the unwanted deposition on the gate top to achieve selectivity, the side wall is over etched, causing what may be referred to as undercut.
  • Co-flowing a higher order silane with mono silane enables tailoring of film properties, particularly at lower deposition temperatures.
  • the ratio of the higher order silane and the mono silane (for example, by varying the flow rate of each source) can be utilized to tune the morphology of the epitaxial layer formed by the deposition process. For example, adjusting the ratio so that the flow rate of the monosilane to higher order silane is at least about 4:1 has shown to provide beneficial results compared to a process in which the ratio of mono silane to higher order silane was lower.
  • Fig. 2A shows the conformality of a silicon film containing carbon using silane as the silicon source to deposit an epitaxial film on a dielectric structure.
  • Figure 2A which is a scanning electron microphotograph of a film deposited on dielectric structures, the top surface of the film is 51 nm, while the side surface of the film is shown to be 53 nm.
  • Figure 2B shows the conformality of a silicon film containing carbon using disilane as the silicon source to deposit an epitaxial film on a dielectric structure. As Figure 2B shows, the top surface of the film is 111 nm thick, while the side surface of the film is 58 nm thick.
  • Figure 2C shows the conformality of a silicon film containing carbon using neopentasilane as the silicon source to deposit an epitaxial film on a dielectric structure.
  • the top surface of the film is 72 nm thick, while the side surface of the film is 25 nm thick.
  • silane appears to provide smaller molecules to compensate the intrinsic tension of amorphization from the larger molecules such as neopentasilane.
  • embodiments of the present invention provide selective epitaxy processes for silicon-containing films, for example, Si:C films with high substitutional carbon concentration (> 1 %), which can be used for forming tensile strained channel of N-type MOSFET structure when epitaxial films are grown on recessed source/drain of a transistor.
  • Si:C films with high substitutional carbon concentration > 1 %
  • Another aspect of the invention pertains to methods for in situ phosphorus doping or selective epitaxial deposition of Si:C films:
  • in situ phosphorus doping during silicon deposition decreases growth rate and increases the etch rate of a crystalline film, therefore, it makes it difficult to achieve selectivity. In other words, it is difficult to achieve crystalline growth on crystalline surfaces of the substrate without any growth on dielectric surfaces. Also, in situ phosphorus doping tends to degrade crystallinity of epitaxial films.
  • delta doping only a dopant gas, fore example, phosphorus dopant gas, for example, PH 3 , and a carrier gas is flowed after undoped deposition.
  • the phosphorus dopant gas may be flowed immediately after the undoped deposition step, or after a subsequent etch step, or after a purge step, or after both an etch and purge step.
  • the etch and/or purge step may be repeated as necessary to achieve a high quality film.
  • during formation of an undoped layer involves flowing only a carrier gas and a dopant source such as phosphine.
  • a method for epitaxially forming a silicon-containing material on a substrate surface would include placing a substrate including a monocrystalline surface into a process chamber and then exposing the substrate to an undoped deposition gas, wherein the undoped deposition gas comprises a silicon source, an optional carbon source, and no dopant source to form a first undoped layer on the substrate. Thereafter, the substrate is sequentially exposed to a doped deposition gas wherein the deposition gas comprises a dopant source and a carrier gas to form a doped layer on the first undoped layer.
  • the substrate can be further exposed to an undoped deposition gas to form an epitaxial layer on the monocrystalline surface, wherein the deposition gas comprises a silicon source, a carbon source and no dopant source to form a second undoped layer on the doped layer.
  • the deposition gas comprises a silicon source, a carbon source and no dopant source to form a second undoped layer on the doped layer.
  • films were made using a first deposition step by flowing NPS flowing at 120 seem and silane at 150 seem, methylsilane (1 % diluted in Ar) at 626 seem and phosphine (1 % diluted in hydrogen) in a nitrogen carrier gas flowing at 5 slm at a growth temperature of about 560° C and a growth pressure of 10 Torr. The first deposition step was conducted for about 15 seconds.
  • a second deposition step was conducted by flowing only phosphine in carrier gas.
  • the second deposition step was conducted at a pressure of 10 Torr and a temperature of about 560° C for about 3 seconds.
  • the phosphine gas (1 % phosphine diluted in hydrogen) was flowed at 15 seem with nitrogen carrier gas flowing at 5 slm.
  • an etch step was conducted at a pressure of about 14. 5 Torr, a temperature of about 560° C, with chlorine flowing at 70 seem, nitrogen flowing at 5 slm and HCI flowing at 300 seem.
  • the etch step was conducted for about 7 seconds.
  • a purge step was conducted at the same temperature and pressure for eight seconds, during which only nitrogen gas was flowed at 5 slm. Processing in this manner is expected to improve selectivity during selective epitaxy.
  • a stack of doped/undoped layers are formed prior to etching, which blocks direct etching of doped SiC epitaxy film.
  • deposition occurs in at least two steps, doped deposition followed by undoped deposition, prior to etching.
  • a single cycle of an embodiment of the process includes doped deposition, followed by undoped deposition, followed by etching, followed by purge, as described above.
  • films were made by flowing NPS flowing at 120 seem carried with N 2 at 5 slm, silane at 150 seem, methylsilane (1 % diluted in Ar) at 626 seem and phosphine (1 % diluted in hydrogen) in a nitrogen carrier gas flowing at 5 slm at a growth temperature of about 560° C and a growth pressure of 10 Torr.
  • the first deposition step including phosphine was conducted for about 5 seconds.
  • a second deposition step was conducted without flowing phosphine to cap the doped layer.
  • an etch step was conducted at a pressure of about 14.5 Torr, a temperature of about 560° C, with chlorine flowing at 70 seem, nitrogen flowing at 5 slm and HCI flowing at 300 seem.
  • the etch step was conducted for about 7 seconds.
  • a purge step was conducted at the same temperature and pressure for eight seconds, during which only nitrogen gas was flowed at 5 slm.
  • a deposition step may be followed by only an etch step or purge step, or alternatively, the etch step or purge step may be repeated as necessary to achieve a high quality film.
  • alternating steps of deposition and purge are used during a silicon-containing film growth process.
  • Figure 3 shows a high resolution X-ray diffraction spectra of nonselective Si:C epitaxy grown with alternating steps of deposition and purge. It shows 2% substitutional carbon concentration.
  • Figure 4 shows a high resolution X-ray diffraction graph of films grown with alternating steps of deposition, etch and purge. Figure 4 shows about 1.3 to about 1.48 atomic percent of carbon concentration.
  • the films were made by flowing neopentasilane (NPS) carried with N 2 at 120 seem, silane at 150 seem and methylsilane (1 % diluted in Ar) at 626 seem in a nitrogen carrier gas flowing at 5 slm at a growth temperature of about 560° C and a growth pressure of 10 Torr. Deposition was conducted for about 15 seconds. Next, an etch step was conducted at a pressure of about 14. 5 Torr, a temperature of about 560° C, with chlorine flowing at 70 seem, nitrogen flowing at 5 slm and HCI flowing at 300 seem. The etch step was conducted for about 7 seconds. Next, a purge step was conducted at the same temperature and pressure for eight seconds, during which only nitrogen gas was flowed at 5 si m.
  • NPS neopentasilane
  • the methods follow a sequential order, however, the process is not limited to the exact steps described herein. For example, other process steps can be inserted between steps as long as the order of process sequence is maintained.
  • the individual steps of an epitaxial deposition will now be described according to one or more embodiments.
  • FIG. 5 illustrates portions of a cross sectional view of a FET pair in a typical CMOS device.
  • Device 100 comprises a semiconductor substrate after forming wells to provide source/drain regions, gate dielectric, and gate electrode of an NMOS device and PMOS device.
  • the device 100 can be formed using conventional semiconductor processes such as growing single crystal silicon and formation of shallow trench isolation structures by trench etching and growing or depositing dielectric in the trench openings. Detailed procedures for forming these various structures are known in the art and are not described further herein.
  • Device 100 comprises a semiconductor substrate 155, for example, a silicon substrate, doped with a p-type material, a p-type epitaxial silicon layer 165 on substrate 155, a p-type well region 120 and an n-type well region 150 defined in epitaxial layer 165, an n-type transistor (NMOS FET) 110 defined in p-well 120 and a p-type transistor (PMOS FET) 140 defined in n-well 150.
  • First isolation region 158 electrically isolates NMOS 110 and PMOS 140 transistors
  • second isolation region 160 electrically isolates the pair of transistors 110 and 140 from other semiconductor devices on substrate 155.
  • NMOS gate electrode 122 has a work function corresponding to the work function of a N-type device.
  • the source and drain regions are n-type regions on opposite sides of the gate electrode 122.
  • Channel region 118 is interposed between source region 114 and drain region 116.
  • a gate dielectric layer 112 separates channel region 118 and gate electrode 122. Processes for forming the NMOS gate electrode 122 and dielectric layer are known in the art and are not discussed further herein.
  • PMOS transistor 140 comprises a gate electrode 152, a source region 144 and a drain region 146.
  • the thickness of the PMOS gate electrode 152 is scalable and may be adjusted based on considerations related to device performance.
  • PMOS gate electrode 152 has a work function corresponding to the work function of a N-type device.
  • the source and drain regions are p-type regions on opposite sides of gate electrode 152.
  • Channel region 148 is interposed between source region 144 and drain region 146.
  • a gate dielectric 142 separates channel region 148 and gate electrode 152.
  • Dielectric 142 electrically insulates gate electrode 152 from channel region 148.
  • Fig. 6 shows a view of additional details of the NMOS device 110 of Fig. 5 after formation of spacers, layers over the source/drain regions, for example, suicide layers, and formation of the etch stop.
  • the PMOS device shown in Figure 6 may contain similar spacers and layers that may be tailored in dimensions and/or composition to affect the stress induced in the channel of the NMOS device as will be described further below. However, for illustration purposes, only NMOS device is shown and described in detail.
  • Fig. 6 shows spacers 175 that may be formed from suitable dielectric material incorporated around the gate 119. Offset spacers 177 may also be provided, which surround each of the spacers 175. Processes for forming shapes, sizes, and thickness of spacers 175 and 177 are known in the art and are not further described herein.
  • a metal suicide layer 179 may be formed over the source region 114 and drain region 116.
  • the suicide layer 179 may be formed from a suitable metal such as nickel, titanium, or cobalt by any suitable process such as sputtering or PVD (Physical Vapor Deposition).
  • the suicide layer 179 may diffuse into portions of the underlying surfaces.
  • Elevation of the drain region 116 is shown by the arrow 181 , which is shown as the distance from the substrate surface 180 to the top of the suicide layer 179. Facet 183 of source drain region is shown as the angled surface As will be understood by the skilled artisan, the exemplary device described above may be modified to include a source/drain or source/drain extension having a Si:C epitaxial layer that may be further modified according to the methods described herein.

Abstract

Methods for formation of epitaxial layers containing silicon are disclosed. Specific embodiments pertain to the formation and treatment of epitaxial layers in semiconductor devices, for example, Metal Oxide Semiconductor Field Effect Transistor (MOSFET) devices. In specific embodiments, the formation of the epitaxial layer involves exposing a substrate in a process chamber to deposition gases including two or more silicon source such as silane and a higher order silane. Embodiments include flowing dopant source such as a phosphorus dopant, during formation of the epitaxial layer, and continuing the deposition with the silicon source gas without the phosphorus dopant.

Description

FORMATION OF EPITAXIAL LAYERS CONTAINING SILICON AND CARBON
RELATED APPLICATION
[0001] This application claims the benefit of U.S. Patent Application Serial No. 11/609,608 filed December 12, 2006, the disclosure of which is hereby incorporated by reference in its entirety.
BACKGROUND
[0002] Embodiments of the present invention generally relate to methods and apparatus for formation and treatment of epitaxial layers containing silicon and carbon. Specific embodiments pertain to methods and apparatus for the formation and treatment of epitaxial layers in semiconductor devices, for example, Metal Oxide Semiconductor Field Effect Transistor (MOSFET) devices.
[0003] The amount of current that flows through the channel of a MOS transistor is directly proportional to a mobility of carriers in the channel, and the use of high mobility MOS transistors enables more current to flow and consequently faster circuit performance. Mobility of the carriers in the channel of an MOS transistor can be increased by producing a mechanical stress in the channel. A channel under compressive strain, for example, a silicon-germanium channel layer grown on silicon, has significantly enhanced hole mobility to provide a pMOS transistor. A channel under tensile strain, for example, a thin silicon channel layer grown on relaxed silicon- germanium, achieves significantly enhanced electron mobility to provide an nMOS transistor.
[0004] An nMOS transistor channel under tensile strain can also be provided by forming one or more carbon-doped silicon epitaxial layers, which may be complementary to the compressively strained SiGe channel in a pMOS transistor. Thus, carbon-doped silicon and silicon-germanium epitaxial layers can be deposited on the source/drain of nMOS and pMOS transistors, respectively. The source and drain areas can be either flat or recessed by selective Si dry etching. When properly fabricated, nMOS sources and drains covered with carbon-doped silicon epitaxy imposes tensile stress in the channel and increases nMOS drive current. [0005] To achieve enhanced electron mobility in the channel of nMOS transistors having a recessed source/drain using carbon-doped silicon epitaxy, it is desirable to selectively form the carbon-doped silicon epitaxial layer on the source/drain either through selective deposition or by post-deposition processing. Furthermore, it is desirable for the carbon-doped silicon epitaxial layer to contain substitutional C atoms to induce tensile strain in the channel. Higher channel tensile strain can be achieved with increased substitutional C content in a carbon-doped silicon source and drain.
[0006] Generally, sub-100 nm CMOS (complementary metal-oxide semiconductor) devices require a junction depth to be less than 30 nm. Selective epitaxial deposition is often utilized to form epitaxial layers ("epilayers") of silicon-containing materials (e.g., Si, SiGe and SiC) into the junctions. Selective epitaxial deposition permits growth of epilayers on silicon moats with no growth on dielectric areas. Selective epitaxy can be used within semiconductor devices, such as elevated source/drains, source/drain extensions, contact plugs or base layer deposition of bipolar devices.
[0007] A typical selective epitaxy process involves a deposition reaction and an etch reaction. During the deposition process, the epitaxial layer is formed on a monocrystalline surface while a polycrystalline layer is deposited on at least a second layer, such as an existing polycrystalline layer and/or an amorphous layer. The deposition and etch reactions occur simultaneously with relatively different reaction rates to an epitaxial layer and to a polycrystalline layer. However, the deposited polycrystalline layer is generally etched at a faster rate than the epitaxial layer. Therefore, by changing the concentration of an etchant gas, the net selective process results in deposition of epitaxy material and limited, or no, deposition of polycrystalline material. For example, a selective epitaxy process may result in the formation of an epilayer of silicon-containing material on a monocrystalline silicon surface while no deposition is left on the spacer.
[0008] Selective epitaxy deposition of silicon-containing materials has become a useful technique during formation of elevated source/drain and source/drain extension features, for example, during the formation of silicon-containing MOSFET (metal oxide semiconductor field effect transistor) devices. Source/drain extension features are manufactured by etching a silicon surface to make a recessed source/drain feature and subsequently filling the etched surface with a selectively grown epilayers, such as a silicon germanium (SiGe) material. Selective epitaxy permits near complete dopant activation with in-situ doping, so that the post annealing process is omitted. Therefore, junction depth can be defined accurately by silicon etching and selective epitaxy. On the other hand, the ultra shallow source/drain junction inevitably results in increased series resistance. Also, junction consumption during suicide formation increases the series resistance even further. In order to compensate for junction consumption, an elevated source/drain is epitaxially and selectively grown on the junction. Typically, the elevated source/drain layer is undoped silicon.
[0009] However, current selective epitaxy processes have some drawbacks. In order to maintain selectivity during present epitaxy processes, chemical concentrations of the precursors, as well as reaction temperatures, must be regulated and adjusted throughout the deposition process. If not enough silicon precursor is administered, then the etching reaction may dominate and the overall process is slowed down. Also, harmful over-etching of substrate features may occur. If insufficient etchant precursor is administered, then the deposition reaction may dominate, reducing the selectivity to form monocrystalline and polycrystalline materials across the substrate surface. Also, current selective epitaxy processes usually require a high reaction temperature, such as about 800° C, 1 ,000° C or higher. Such high temperatures are not desirable during a fabrication process due to thermal budget considerations and possible uncontrolled nitridation reactions to the substrate surface. In addition, most of the C atoms incorporated through typical selective Si:C epitaxy processes at the higher process temperatures occupy non-substitutional (i.e. interstitial) sites of the Si lattice. By lowering growth temperature, a higher fraction of substitutional carbon level can be achieved (e.g. nearly 100% at growth temperature of 5500C), however, the slow growth rate at these lower temperatures is undesirable for device applications, and such selective processing might not be possible at the lower temperatures.
[0010] Therefore, there is a need to have a process for epitaxially depositing silicon-containing compounds with optional dopants. Furthermore, the process should be versatile to form silicon-containing compounds with varied elemental concentrations while having a fast deposition rate and maintaining a process temperature, such as about 800° C or less, and preferably about 700° C or less. Such methods would be useful in the manufacture of transistor devices.
SUMMARY OF THE INVENTION
[0011] One embodiment of the present invention relates to methods of forming and processing epitaxial layers containing silicon. Other embodiments relate to methods manufacturing of fabricating transistor devices including epitaxial layers containing silicon and carbon.
[0012] In accordance with one embodiment of the present invention, a method for epitaxially forming a silicon-containing material on a substrate surface, comprises placing a substrate including a monocrystalline surface into a process chamber; exposing the substrate to an undoped deposition gas comprising a silicon source, a carbon source, and no dopant source to form a first undoped layer on the substrate; and sequentially exposing the substrate to a doped deposition gas to form an epitaxial layer on the monocrystalline surface, wherein the deposition gas comprises a dopant source and a carrier gas to form a doped layer on the first undoped layer. In one or more embodiments, the dopant comprises a phosphorus source, for example a phosphine.
[0013] In certain embodiments, the substrate is further processed by subsequently exposing the substrate to an etching gas. After exposure to an etching gas, the substrate may be processed further by purging the process chamber. In a specific embodiment, purging the process chamber occurs immediately after exposing the substrate to the etching gas. The etching gas may comprise chlorine and HCI.
[0014] According to one or more embodiments, a single process cycle comprises an undoped deposition step, a doped deposition step, exposure to etching gas and purging the process chamber, and the process cycle is repeated at least twice. In an embodiment, purging the process chamber comprises flowing only an inert gas. In on or more embodiments, the undoped deposition step is performed after the doped deposition step. The doped deposition step may further comprise flowing a silicon source together with the dopant source. The silicon source may comprise comprises monosilane and a higher order silane, for example, disilane, neopentasilane and mixtures thereof. The carbon source may comprise methylsilane.
[0015] In an embodiment of the invention, the epitaxial film is formed during a fabrication step of a transistor manufacturing process, and the method further comprises: forming a gate dielectric on a substrate; forming a gate electrode on the gate dielectric; and forming source/drain regions on the substrate on opposite sides of the electrode and defining a channel region between the source/drain regions.
[0016] The foregoing has outlined rather broadly certain features and technical advantages of the present invention. It should be appreciated by those skilled in the art that the specific embodiments disclosed may be readily utilized as a basis for modifying or designing other structures or processes within the scope of the present invention. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the invention as set forth in the appended claims.
BRIEF DESCRIPTION OF THE DRAWINGS
[0017] So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0018] Figure 1 is graph of epitaxial growth rate versus 1000/Temperature for several silicon precursors;
[0019] Figure 2A is an SEM photograph showing conformality of Si:C epitaxial growth on Si substrate and dielectric structures with a silane source; [0020] Fig. 2B is an SEM photograph showing conformality of Si:C epitaxial growth on Si substrate and dielectric structures with a disilane source;
[0021] Fig. 2C is an SEM photograph showing conformality of Si:C epitaxial growth on Si substrate and dielectric structures with a neopentasilane source;
[0022] Fig. 3 is a high resolution X-ray diffraction spectra of nonselective Si:C epitaxy grown with alternating steps of deposition and purge;
[0023] Fig. 4 is a high resolution X-ray diffraction spectra of selective Si:C epitaxy grown with alternating steps of deposition, etch, and purge;
[0024] Figure 5 is a cross-sectional view of a field effect transistor pair in accordance with an embodiment of the invention; and
[0025] Figure 6 is a cross-sectional view of the PMOS field effect transistor shown in Figure 5 having additional layers formed on the device.
DETAILED DESCRIPTION
[0026] Embodiments of the invention generally provide methods and apparatus for forming and treating a silicon-containing epitaxial layer. Specific embodiments pertain to methods and apparatus for forming and treating an epitaxial layer during the manufacture of a transistor.
[0027] As used herein, epitaxial deposition refers to the deposition of a single crystal layer on a substrate, so that the crystal structure of the deposited layer matches the crystal structure of the substrate. Thus, an epitaxial layer or film is a single crystal layer or film having a crystal structure that matches the crystal structure of the substrate. Epitaxial layers are distinguished from bulk substrates and polysilicon layers.
[0028] Throughout the application, the terms "silicon-containing" materials, compounds, films or layers should be construed to include a composition containing at least silicon and may contain germanium, carbon, boron, arsenic, phosphorus gallium and/or aluminum. Other elements, such as metals, halogens or hydrogen may be incorporated within a silicon-containing material, compound, film or layer, usually in part per million (ppm) concentrations. Compounds or alloys of silicon-containing materials may be represented by an abbreviation, such as Si for silicon, SiGe for silicon germanium, Si:C. for silicon carbon and SiGeC for silicon germanium carbon. The abbreviations do not represent chemical equations with stoichiometrical relationships, nor represent any particular reduction/oxidation state of the silicon- containing materials.
[0029] One or more embodiments of the invention generally provide processes to selectively and epitaxially deposit silicon-containing materials on monocrystalline surfaces of a substrate during fabrication of electronic devices. A substrate containing a monocrystalline surface (e.g., silicon or silicon germanium) and at least a secondary surface, such as an amorphous surface and/or a polycrystalline surface (e.g., oxide or nitride), is exposed to an epitaxial process to form an epitaxial layer on the monocrystalline surface while forming limited or no polycrystalline layer on the secondary surfaces. The epitaxial process, also referred to as the alternating gas supply process, includes repeating a cycle of a deposition process and an etching process until the desired thickness of an epitaxial layer is grown. Exemplary alternating deposition and etch processes are disclosed in commonly assigned and copending United States Patent application serial no. 11/001 ,774, published as United States Patent Application Publication No. 2006/0115934, entitled, Selective Epitaxy Process With Alternating Gas Supply, the entire content of which is incorporated herein by reference.
[0030] In one or more embodiments, the deposition process includes exposing the substrate surface to a deposition gas containing at least a silicon source and a carrier gas. The deposition gas may also include a germanium source and/or carbon source, as well as a dopant source. During the deposition process, an epitaxial layer is formed on the monocrystalline surface of the substrate, while a polycrystalline/amorphous layer is formed on secondary surfaces, such as dielectric, amorphous and/or polycrystalline surfaces, which will be collectively referred to as "secondary surfaces". Subsequently, the substrate is exposed to an etching gas. The etching gas includes a carrier gas and an etchant, such as chlorine gas or hydrogen chloride. The etching gas removes silicon-containing materials deposited during the deposition process. During the etching process, the polycrystalline/amorphous layer is removed at a faster rate than the epitaxial layer. Therefore, the net result of the deposition and etching processes forms epitaxially grown silicon-containing material on monocrystalline surfaces while minimizing growth, if any, of polycrystalline/amorphous silicon-containing material on the secondary surfaces. A cycle of the deposition and etching processes may be repeated as needed to obtain the desired thickness of silicon-containing materials. The silicon-containing materials which can be deposited by embodiments of the invention include silicon, silicon germanium, silicon carbon, silicon germanium carbon, and variants thereof, including dopants.
[0031] In one example of the process, use of chlorine gas as an etchant lowers the overall process temperature below about 8000C. In general, deposition processes may be conducted at lower temperatures than etching reactions, since etchants often need a high temperature to be activated. For example, silane may be thermally decomposed to deposit silicon at about 5000C or less, while hydrogen chloride requires an activation temperature of about 700°C or higher to act as an effective etchant. Therefore, if hydrogen chloride is used during a process, the overall process temperature is dictated by the higher temperature required to activate the etchant. Chlorine contributes to the overall process by reducing the required overall process temperature. Chlorine may be activated at a temperature as low as about 5000C. Therefore, by incorporating chlorine into the process as the etchant, the overall process temperature may be significantly reduced, such as by about 200 °C to 300°C, over processes which use hydrogen chloride as the etchant. Also, chlorine etches silicon-containing materials faster than hydrogen chloride. Therefore, chlorine etchants increase the overall rate of the process.
[0032] Nitrogen is typically a preferred carrier gas due to cost considerations associated with the use of argon and helium as a carrier gas. Despite the fact that nitrogen is generally much less expensive than argon, according to one or more embodiments of the invention, argon is a preferred carrier gas, particularly in embodiments in which methylsilane is a silicon source gas. One drawback that may occur from using nitrogen as a carrier gas is the nithdizing of materials on a substrate during deposition processes. However, high temperature, such as over 8000C, is required to activate nitrogen in such a manner. Therefore, according to one or more embodiments, nitrogen can be used as an inert carrier gas in processes conducted at temperatures below the nitrogen activation threshold. The use of an inert carrier gas has several attributes during a deposition process. For one, an inert carrier gas may increase the deposition rate of the silicon-containing material. While hydrogen may be used as a carrier gas, during the deposition process, hydrogen has a tendency to adsorb or react to the substrate to form hydrogen-terminated surfaces. A hydrogen- terminated surface reacts much slower to epitaxial growth than a bare silicon surface. Therefore, the use of an inert carrier gas increases the deposition rate by not adversely effecting the deposition reaction.
[0033] According to a first embodiment of the invention, blanket or nonselective epitaxy with alternating steps of deposition and purge results in improved crystallinity of epitaxial films grown using a higher order silane compared to continuous deposition. As used herein, "higher order silane" refers to a disilane or higher silane precursor. In certain specific embodiments, "higher order silane" refers to disilane, neopentasilane (NPS), or a mixture of these. An exemplary process includes loading a substrate into a process chamber and adjusting the conditions within the process chamber to a desired temperature and pressure. Then, a deposition process is initiated to form an epitaxial layer on a monocrystalline surface of the substrate. The deposition process is then terminated. The thickness of the epitaxial layer is then determined. If the predetermined thickness of the epitaxial layer is achieved, then the epitaxial process is terminated. However, if the predetermined thickness is not achieved, then steps of deposition and purge are repeated as a cycle until the predetermined thickness is achieved. Further details of this exemplary process are described below.
[0034] The substrates may be unpatterned or patterned. Patterned substrates are substrates that include electronic features formed into or onto the substrate surface. The patterned substrate usually contains monocrystalline surfaces and at least one secondary surface that is non-monocrystalline, such as a dielectric, polycrystalline or amorphous surfaces. Monocrystalline surfaces include the bare crystalline substrate or a deposited single crystal layer usually made from a material such as silicon, silicon germanium or silicon carbon. Polycrystalline or amorphous surfaces may include dielectric materials, such as oxides or nitrides, specifically silicon oxide or silicon nitride, as well as amorphous silicon surfaces.
[0035] After loading a substrate into the process chamber, the conditions in the process chamber are adjusted to a predetermined temperature and pressure. The temperature is tailored to the particular conducted process. Generally, the process chamber is maintained at a consistent temperature throughout the epitaxial process. However, some steps may be performed at varying temperatures. The process chamber is kept at a temperature in the range from about 2500C to about 1 ,0000C, for example, from about 500°C to about 8000C and more specifically from about 550°C to about 750°C. The appropriate temperature to conduct epitaxial process may depend on the particular precursors used to deposit the silicon-containing. In one example, it has been found that chlorine (Cl2) gas works well as an etchant for silicon-containing materials at temperatures lower than processes using more common etchants. Therefore, in one example, an exemplary temperature to pre-heat the process chamber is about 7500C or less, for example, about 650°C or less and more specifically about 550°C or less. In one specific embodiment, the temperature during epitaxial growth is maintainied at about 5600C.
[0036] The process chamber is usually maintained at a pressure from about 0.1 Torr to about 600 Torr, for example, from about 1 Torr to about 50 Torr. The pressure may fluctuate during and between process steps, but is generally maintained constant. In a specific embodiment, the pressure is maintained at about 10 Torr during deposition and purge.
[0037] During the deposition process the substrate is exposed to a deposition gas to form an epitaxial layer. The substrate is exposed to the deposition gas for a period of time of about 0.5 seconds to about 30 seconds, for example, from about 1 second to about 20 seconds, and more specifically from about 5 seconds to about 10 seconds. In a specific embodiment, the deposition step lasts for about 10 to 11 seconds. The specific exposure time of the deposition process is determined in relation to the exposure time during a subsequent etching process, as well as particular precursors and temperature used in the process. Generally, the substrate is exposed to the deposition gas long enough to form a maximized thickness of an epitaxial layer
[0038] In one or more embodiments, the deposition gas contains at least a silicon source and a carrier gas, and may contain at least one secondary elemental source, such as a carbon source and/or a germanium source. Also, the deposition gas may further include a dopant compound to provide a source of a dopant, such as boron, arsenic, phosphorus, gallium and/or aluminum. In an alternative embodiment, the deposition gas may include at least one etchant, such as hydrogen chloride or chlorine.
[0039] The silicon source is usually provided into the process chamber at a rate in a range from about 5 seem to about 500 seem, preferably from about 10 seem to about 300 seem, and more preferably from about 50 seem to about 200 seem, for example, about 100 seem. In a specific embodiment, silane is flowed at about 60 seem. Silicon sources useful in the deposition gas to deposit silicon-containing compounds include silanes, halogenated silanes and organosilanes. Silanes include silane (SiH4) and higher silanes with the empirical formula SixH(2χ+2), such as disilane (Si2H6), trisilane (SJsH8), and tetrasilane (Si4Hi0), as well as others. Halogenated silanes include compounds with the empirical formula X'ySixH (2x+2-y), where X' = F, Cl, Br or I, such as hexachlorodisilane (Si2CIe), tetrachlorosilane (SiCI4), dichlorosilane (CI2SiH2) and thchlorosilane (CI3SiH). Organosilanes include compounds with the empirical formula RySiχH(2x+2-y), where R=methyl, ethyl, propyl or butyl, such as methylsilane ((CH3)SiH3), dimethylsilane ((CHs)2SiH2), ethylsilane ((CH3CH2)SiH3), methyldisilane ((CH3)Si2H5), dimethyldisilane ((CH3)2Si2H4) and hexamethyldisilane ((CH3)6Si2). Organosilane compounds have been found to be advantageous silicon sources as well as carbon sources in embodiments which incorporate carbon in the deposited silicon-containing compound. According to one or more embodiments, methylsilane in an argon-containing carrier gas is a preferred silicon-containing source and carrier gas combination. [0040] The silicon source is usually provided into the process chamber along with a carrier gas. The carrier gas has a flow rate from about 1 slm (standard liters per minute) to about 100 slm, for example, from about 5 slm to about 75 slm, and more specifically from about 10 slm to about 50 slm, for example, about 10 slm. Carrier gases may include nitrogen (N2), hydrogen (H2), argon, helium and combinations thereof. An inert carrier gas is preferred and includes nitrogen, argon, helium and combinations thereof. A carrier gas may be selected based on the precursor(s) used and/or the process temperature during the epitaxial process. Usually the carrier gas is the same throughout for each of the deposition and etching steps. However, some embodiments may use different carrier gases in particular steps.
[0041] Typically, nitrogen is utilized as a carrier gas in embodiments featuring low temperature (e.g., <800°C) processes. Low temperature processes are accessible due in part to the use of chlorine gas in the etching process. Nitrogen remains inert during low temperature deposition processes. Therefore, nitrogen is not incorporated into the deposited silicon-containing material during low temperature processes. Also, a nitrogen carrier gas does not form hydrogen-terminated surfaces as does a hydrogen carrier gas. The hydrogen-terminated surfaces formed by the adsorption of hydrogen carrier gas on the substrate surface inhibit the growth rate of silicon- containing layers. Finally, the low temperature processes may take economic advantage of nitrogen as a carrier gas, since nitrogen is far less expensive than hydrogen, argon or helium. Despite the economic advantages, according to certain embodiments, argon is a preferred carrier gas.
[0042] The deposition gas used also contains at least one secondary elemental source, such as a carbon source and/or a germanium source. A carbon source may be added during deposition to the process chamber with the silicon source and carrier gas to form a silicon-containing compound, such as a silicon carbon material. A carbon source is usually provided into the process chamber at a rate in the range from about 0.1 seem to about 20 seem, for example, from about 0.5 seem to about 10 seem, and more specifically, from about 1 seem to about 5 seem, for example, about 2 seem. The carbon source may be diluted in hydrogen gas and flowed at a rate of 300 seem. Carbon sources useful to deposit silicon-containing compounds include organosilanes, alkyls, alkenes and alkynes of ethyl, propyl and butyl. Such carbon sources include methylsilane (CH3SiH3), dimethylsilane ((CH3)2SiH2), ethylsilane (CH3CH2SiH3), methane (CH4), ethylene (C2H4), ethyne (C2H2), propane (C3H8), propene (C3H6), butyne (C4H6), as well as others. The carbon concentration of an epitaxial layer is in the range from about 200 ppm to about 5 atomic %, preferably from about 1 atomic % to about 3 atomic %, for example 1.5 atomic %. In one embodiment, the carbon concentration may be graded within an epitaxial layer, preferably graded with a lower carbon concentration in the initial portion of the epitaxial layer than in the final portion of the epitaxial layer. Alternatively, a germanium source and a carbon source may both be added during deposition into the process chamber with the silicon source and carrier gas to form a silicon-containing compound, such as a silicon carbon or silicon germanium carbon material.
[0043] Alternatively, a germanium source may be added to the process chamber with the silicon source and carrier gas to form a silicon-containing compound, such as a silicon germanium material. The germanium source is usually provided into the process chamber at a rate in the range from about 0.1 seem to about 20 seem, preferably from about 0.5 seem to about 10 seem, and more preferably from about 1 seem to about 5 seem, for example, about 2 seem. Germanium sources useful to deposit silicon-containing compounds include germane (GeH4), higher germanes and organogermanes. Higher germanes include compounds with the empirical formula GexH(2x+2), such as digermane (Ge2H6), thgermane (Ge3H8) and tetragermane (Ge4H10), as well as others. Organogermanes include compounds such as methylgermane ((CH3)GeH3), dimethylgermane ((CH3)2GeH2), ethylgermane ((CH3CH2)GeH3), methyldigermane ((CH3)Ge2H5), dimethyldigermane ((CHs)2Ge2H4) and hexamethyldigermane ((CH3)6Ge2). Germanes and organogermane compounds have been found to be advantageous germanium sources and carbon sources in embodiments while incorporating germanium and carbon into the deposited silicon- containing compounds, namely SiGe and SiGeC compounds. The germanium concentration in the epitaxial layer is in the range from about 1 atomic % to about 30 atomic %, for example, about 20 atomic %. The germanium concentration may be graded within an epitaxial layer, preferably graded with a higher germanium concentration in the lower portion of the epitaxial layer than in the upper portion of the epitaxial layer.
[0044] The deposition gas used during deposition may further include at least one dopant compound to provide a source of elemental dopant, such as boron, arsenic, phosphorus, gallium or aluminum. Dopants provide the deposited silicon-containing compounds with various conductive characteristics, such as directional electron flow in a controlled and desired pathway required by the electronic device. Films of the silicon-containing compounds are doped with particular dopants to achieve the desired conductive characteristic. In one example, the silicon-containing compound is doped p-type, such as by using diborane to add boron at a concentration in the range from about 1015 atoms/cm3 to about 1021 atoms/cm3. In one example, the p-type dopant has a concentration of at least 5 X 1019 atoms/cm3. In another example, the p- type dopant is in the range from about 1 X 1020 atoms/cm3 to about 2.5 X 1021 atoms/cm3. In another example, the silicon-containing compound is doped n-type, such as with phosphorus and/or arsenic to a concentration in the range from about 1015 atoms/cm3 to about 1021 atoms/cm3.
[0045] A dopant source is usually provided into the process chamber during deposition at a rate in the range from about 0.1 seem to about 20 seem, for example, from about 0.5 seem to about 10 seem, and more specifically from about 1 seem to about 5 seem, for example, about 2 seem. Boron-containing dopants useful as a dopant source include boranes and organoboranes. Boranes include borane, diborane (B2H6), triborane, tetraborane and pentaborane, while alkylboranes include compounds with the empirical formula RχBH(3-X), where R=methyl, ethyl, propyl or butyl and x=1 , 2 or 3. Alkylboranes include trimethylborane ((CH3)3B), dimethylborane ((CHs)2BH), triethylborane ((CH3CH2)3B) and diethylborane ((CH3CH2)2BH). Dopants may also include arsine (AsH3), phosphine (PH3) and alkylphosphines, such as with the empirical formula RχPH(3-X), where R=methyl, ethyl, propyl or butyl and x=1 , 2 or 3. Alkylphosphines include thmethylphosphine ((CH3). sub.3P), dimethylphosphine ((CH3)2PH), triethylphosphine ((CH3CH2).sub.3P) and diethylphosphine ((CH3CH2)2PH). Aluminum and gallium dopant sources may include alkylated and/or halogenated derivates, such as described with the empirical formula RXMX(3-X), where M=AI or Ga, R=methyl, ethyl, propyl or butyl, X=CI or F and x=0, 1 , 2 or 3. Examples of aluminum and gallium dopant sources include trimethylaluminum (Me3AI), thethylaluminum (Et3AI), dimethylaluminumchloride (Me2AICI), aluminum chloride (AICI3), trimethylgallium (Me3Ga), thethylgallium (Et3Ga), dimethylgalliumchloride (Mβ2GaCI) and gallium chloride (GaCI3).
[0046] According to one or more embodiments, after the deposition process is terminated, the process chamber may be flushed with a purge gas or the carrier gas and/or the process chamber may be evacuated with a vacuum pump. The purging and/or evacuating processes remove excess deposition gas, reaction by-products and other contaminants. In an exemplary embodiment, the process chamber may be purged for about 10 seconds by flowing a carrier gas at about 5 slm. A cycle of deposition and purge may be repeated for numerous cycles. In one embodiment, the deposition and purge cycle is repeated about 90 times.
[0047] In another aspect of the present invention, a blanket or non-selective deposition is performed at low temperatures, for example, about 600° C and lower, using a higher order silane (e.g. disilane and higher) source. This assists in amorphous growth (rather than polycrystalline) on dielectric surfaces such as oxide and nitride during the deposition step (nonselective deposition), which facilitates removal of the layer on dielectric surfaces by a subsequent etch step and minimizes damage on single crystalline layer grown on the crystalline substrate.
[0048] Figure 1 shows a graph of epitaxial growth rates for silicon on <001 > substrates processed at various temperatures as a function of 1000/Temperature. Each of the samples was processed between 600 and 700° C at a pressure between about 5 and 8 Torr, and delivered in hydrogen carrier gas flowing between 3-5 slm. The sample labeled "HOS" in Figure 1 was neopentasilane and the flow rate for liquid neopentasilane in a mixture of hydrogen carrier gas through a bubbler was varied between about 20 and 300 seem. As shown in Fig. 1 , the higher order silane exhibited a growth rate at 600° C that was about three times greater than the growth rate of trisilane, eight times the growth rate of disilane, and 72 times the growth rate of silane. [0049] Use of a high order silane gas such as disilane, hexachlorodisilane, trisilane, and neopentasilane provides certain benefits. The use of neopentasilane in the formation of epitaxial films on substrates is described in commonly assigned United States Application Serial No. 10/688,797, published as United States Patent Application Publication No. 2004/0224089, entitled Silicon-Containing Layer Deposition with Silicon Compounds, the entire content of which is incorporated herein by reference. Neopentasilane, ((SiH3)4Si), is a tertiary silane containing four silyl (- SiH3) groups bonded to a silicon atom. The use of higher order silanes enables higher deposition rate at lower temperature and for silicon-containing films incorporating carbon, higher incorporation of substitutional carbon atoms than the use of mono-silane as a silicon source gas. In blanket deposition experiments conducted comparing silane as silicon a silicon source gases at a process temperature of 600° C and using nitrogen as a carrier gas and methylsilane (1 % diluted in hydrogen) as a silicon-carbon source, 50% of the carbon was substitutional carbon in the deposited films. However, with the higher order silanes, disilane produced films having greater than about 90% substitutional carbon and neopentasilane produced films having nearly 100% substitutional carbon.
[0050] In one or more embodiments, a liquid source cabinet that includes a neopentasilane ampoule installed in close proximity to the process chamber, for example, within less than about five feet, more specifically less than about two or three feet of the process chamber, enables higher delivery rate of the silicon source and consequently higher deposition rate.
[0051] Another aspect of the invention pertains to co-flowing mono silane (SiH4) with a higher order silane such as neopentasilane and disilane during deposition: Although suitable for epitaxial deposition, processes that use higher order silanes during deposition generally show non-conformal growth compared processes that use mono silane: More specifically, the higher order silanes tends to produce thicker deposition on horizontal surfaces such as the bottom of recessed areas and the top of gate than deposition on vertical planes such as side wall. This non-conformal growth can lead to a problem that when etching away the unwanted deposition on the gate top to achieve selectivity, the side wall is over etched, causing what may be referred to as undercut. On the other hand, processes that utilize SiH4 as the source gas tend to exhibit conformal growth. Co-flowing a higher order silane with mono silane enables tailoring of film properties, particularly at lower deposition temperatures. The ratio of the higher order silane and the mono silane (for example, by varying the flow rate of each source) can be utilized to tune the morphology of the epitaxial layer formed by the deposition process. For example, adjusting the ratio so that the flow rate of the monosilane to higher order silane is at least about 4:1 has shown to provide beneficial results compared to a process in which the ratio of mono silane to higher order silane was lower. More specifically, process runs were compared in which mono silane and dislane were flowed at a ratio of about 2.4:1 over a recessed area of the substrate and in which the mono silane and disilane were flowed at a ratio of about 4:1. The sample obtained from flowing at the ratio of 4:1 resulted in a smoother morphology than the sample obtained from flowing at the ratio of 2.4:1. Thus, ratios of mono silane to higher order silane of at least about 4:1 , and in some embodiments about 5:1 , can be used to improve the morphology of epitaxial films.
[0052] Fig. 2A shows the conformality of a silicon film containing carbon using silane as the silicon source to deposit an epitaxial film on a dielectric structure. As shown in Figure 2A, which is a scanning electron microphotograph of a film deposited on dielectric structures, the top surface of the film is 51 nm, while the side surface of the film is shown to be 53 nm. Figure 2B shows the conformality of a silicon film containing carbon using disilane as the silicon source to deposit an epitaxial film on a dielectric structure. As Figure 2B shows, the top surface of the film is 111 nm thick, while the side surface of the film is 58 nm thick. Figure 2C shows the conformality of a silicon film containing carbon using neopentasilane as the silicon source to deposit an epitaxial film on a dielectric structure. As shown in Figure 2C, the top surface of the film is 72 nm thick, while the side surface of the film is 25 nm thick. Thus, there is a tradeoff in using higher order silanes, which provide faster deposition rate at lower temperatures, but conformal growth can be an issue.
[0053] It is believed that by adding co-flowing SiH4 and a higher order silane as silicon sources to form silicon-containing epitaxial films, growth on recessed area sidewalls can be controlled and consequently the sidewall can be protected from the undercut during subsequent processing. In addition to the side wall growth, co-flowing a higher order silane with silane (SiH4) is believed to improve the film quality achieved by processes using solely high order silanes. Under the same process conditions, removing SiH4 from the processes that utilize higher order silanes produced films having higher haziness and poorer film crystal Unity. While embodiments of the invention are not intended to be bound by a particular theory of operation, it is believed that in a process that utilizes silane with a higher order silane, silane appears to provide smaller molecules to compensate the intrinsic tension of amorphization from the larger molecules such as neopentasilane.
[0054] Thus, embodiments of the present invention provide selective epitaxy processes for silicon-containing films, for example, Si:C films with high substitutional carbon concentration (> 1 %), which can be used for forming tensile strained channel of N-type MOSFET structure when epitaxial films are grown on recessed source/drain of a transistor. In general, it is difficult to achieve both of high substitutional carbon concentration (>1 %) in Si:C epitaxy and selective growth with smooth morphology due to low temperature process required for high substitutional carbon concentration. According to one or more embodiments of the invention, both are achieved.
[0055] Another aspect of the invention pertains to methods for in situ phosphorus doping or selective epitaxial deposition of Si:C films: In general, in situ phosphorus doping during silicon deposition decreases growth rate and increases the etch rate of a crystalline film, therefore, it makes it difficult to achieve selectivity. In other words, it is difficult to achieve crystalline growth on crystalline surfaces of the substrate without any growth on dielectric surfaces. Also, in situ phosphorus doping tends to degrade crystallinity of epitaxial films.
[0056] In certain embodiments, one or more of the aforementioned issues are avoided by what may be referred to as delta doping. In other words, only a dopant gas, fore example, phosphorus dopant gas, for example, PH3, and a carrier gas is flowed after undoped deposition. The phosphorus dopant gas may be flowed immediately after the undoped deposition step, or after a subsequent etch step, or after a purge step, or after both an etch and purge step. The etch and/or purge step may be repeated as necessary to achieve a high quality film. In one or more embodiments during formation of an undoped layer involves flowing only a carrier gas and a dopant source such as phosphine. By processing in this manner, one or more of the undesirable effects described above are avoided. For example, a method for epitaxially forming a silicon-containing material on a substrate surface would include placing a substrate including a monocrystalline surface into a process chamber and then exposing the substrate to an undoped deposition gas, wherein the undoped deposition gas comprises a silicon source, an optional carbon source, and no dopant source to form a first undoped layer on the substrate. Thereafter, the substrate is sequentially exposed to a doped deposition gas wherein the deposition gas comprises a dopant source and a carrier gas to form a doped layer on the first undoped layer. In one or more embodiments, the substrate can be further exposed to an undoped deposition gas to form an epitaxial layer on the monocrystalline surface, wherein the deposition gas comprises a silicon source, a carbon source and no dopant source to form a second undoped layer on the doped layer. In an example of such a process, films were made using a first deposition step by flowing NPS flowing at 120 seem and silane at 150 seem, methylsilane (1 % diluted in Ar) at 626 seem and phosphine (1 % diluted in hydrogen) in a nitrogen carrier gas flowing at 5 slm at a growth temperature of about 560° C and a growth pressure of 10 Torr. The first deposition step was conducted for about 15 seconds. Next, a second deposition step was conducted by flowing only phosphine in carrier gas. The second deposition step was conducted at a pressure of 10 Torr and a temperature of about 560° C for about 3 seconds. The phosphine gas (1 % phosphine diluted in hydrogen) was flowed at 15 seem with nitrogen carrier gas flowing at 5 slm. Then, an etch step was conducted at a pressure of about 14. 5 Torr, a temperature of about 560° C, with chlorine flowing at 70 seem, nitrogen flowing at 5 slm and HCI flowing at 300 seem. The etch step was conducted for about 7 seconds. Next, a purge step was conducted at the same temperature and pressure for eight seconds, during which only nitrogen gas was flowed at 5 slm. Processing in this manner is expected to improve selectivity during selective epitaxy.
[0057] In other embodiments, a stack of doped/undoped layers are formed prior to etching, which blocks direct etching of doped SiC epitaxy film. Thus, according to embodiments of the invention, deposition occurs in at least two steps, doped deposition followed by undoped deposition, prior to etching. Thus, a single cycle of an embodiment of the process includes doped deposition, followed by undoped deposition, followed by etching, followed by purge, as described above. As a specific example, films were made by flowing NPS flowing at 120 seem carried with N2 at 5 slm, silane at 150 seem, methylsilane (1 % diluted in Ar) at 626 seem and phosphine (1 % diluted in hydrogen) in a nitrogen carrier gas flowing at 5 slm at a growth temperature of about 560° C and a growth pressure of 10 Torr. The first deposition step including phosphine was conducted for about 5 seconds. Next, a second deposition step was conducted without flowing phosphine to cap the doped layer. Then, an etch step was conducted at a pressure of about 14.5 Torr, a temperature of about 560° C, with chlorine flowing at 70 seem, nitrogen flowing at 5 slm and HCI flowing at 300 seem. The etch step was conducted for about 7 seconds. Next, a purge step was conducted at the same temperature and pressure for eight seconds, during which only nitrogen gas was flowed at 5 slm. Of course, other variants are within the scope of the invention. For example, a deposition step may be followed by only an etch step or purge step, or alternatively, the etch step or purge step may be repeated as necessary to achieve a high quality film.
[0058] According to other embodiments of the invention, alternating steps of deposition and purge are used during a silicon-containing film growth process. Figure 3 shows a high resolution X-ray diffraction spectra of nonselective Si:C epitaxy grown with alternating steps of deposition and purge. It shows 2% substitutional carbon concentration. Figure 4 shows a high resolution X-ray diffraction graph of films grown with alternating steps of deposition, etch and purge. Figure 4 shows about 1.3 to about 1.48 atomic percent of carbon concentration. The films were made by flowing neopentasilane (NPS) carried with N2 at 120 seem, silane at 150 seem and methylsilane (1 % diluted in Ar) at 626 seem in a nitrogen carrier gas flowing at 5 slm at a growth temperature of about 560° C and a growth pressure of 10 Torr. Deposition was conducted for about 15 seconds. Next, an etch step was conducted at a pressure of about 14. 5 Torr, a temperature of about 560° C, with chlorine flowing at 70 seem, nitrogen flowing at 5 slm and HCI flowing at 300 seem. The etch step was conducted for about 7 seconds. Next, a purge step was conducted at the same temperature and pressure for eight seconds, during which only nitrogen gas was flowed at 5 si m.
[0059] According to one or more embodiments, the methods follow a sequential order, however, the process is not limited to the exact steps described herein. For example, other process steps can be inserted between steps as long as the order of process sequence is maintained. The individual steps of an epitaxial deposition will now be described according to one or more embodiments.
[0060] One or more embodiments of the present invention provide methods that are particularly useful in forming complementary metal oxide semiconductor (CMOS) integrated-circuit devices and will be described in that context. Other devices and applications are also within the scope of the invention. FIG. 5 illustrates portions of a cross sectional view of a FET pair in a typical CMOS device. Device 100 comprises a semiconductor substrate after forming wells to provide source/drain regions, gate dielectric, and gate electrode of an NMOS device and PMOS device. The device 100 can be formed using conventional semiconductor processes such as growing single crystal silicon and formation of shallow trench isolation structures by trench etching and growing or depositing dielectric in the trench openings. Detailed procedures for forming these various structures are known in the art and are not described further herein.
[0061] Device 100 comprises a semiconductor substrate 155, for example, a silicon substrate, doped with a p-type material, a p-type epitaxial silicon layer 165 on substrate 155, a p-type well region 120 and an n-type well region 150 defined in epitaxial layer 165, an n-type transistor (NMOS FET) 110 defined in p-well 120 and a p-type transistor (PMOS FET) 140 defined in n-well 150. First isolation region 158 electrically isolates NMOS 110 and PMOS 140 transistors, and second isolation region 160 electrically isolates the pair of transistors 110 and 140 from other semiconductor devices on substrate 155.
[0062] According to one or more embodiments of the invention, NMOS transistor
110 comprises a gate electrode 122, first source region 114 and a drain region 116. The thickness of the NMOS gate electrode 122 is scalable and may be adjusted based on considerations related to device performance. NMOS gate electrode 122 has a work function corresponding to the work function of a N-type device. The source and drain regions are n-type regions on opposite sides of the gate electrode 122. Channel region 118 is interposed between source region 114 and drain region 116. A gate dielectric layer 112 separates channel region 118 and gate electrode 122. Processes for forming the NMOS gate electrode 122 and dielectric layer are known in the art and are not discussed further herein.
[0063] According to one or more embodiments, PMOS transistor 140 comprises a gate electrode 152, a source region 144 and a drain region 146. The thickness of the PMOS gate electrode 152 is scalable and may be adjusted based on considerations related to device performance. PMOS gate electrode 152 has a work function corresponding to the work function of a N-type device. The source and drain regions are p-type regions on opposite sides of gate electrode 152. Channel region 148 is interposed between source region 144 and drain region 146. A gate dielectric 142 separates channel region 148 and gate electrode 152. Dielectric 142 electrically insulates gate electrode 152 from channel region 148. It will be appreciated that the structures of the transistors 110 and 140 shown in Figure 6 and described immediately above are exemplary only, and various variants in materials, layers, etc. are within the scope of the present invention.
[0064] Referring now to Fig. 6, which shows a view of additional details of the NMOS device 110 of Fig. 5 after formation of spacers, layers over the source/drain regions, for example, suicide layers, and formation of the etch stop. It will be appreciated that the PMOS device shown in Figure 6 may contain similar spacers and layers that may be tailored in dimensions and/or composition to affect the stress induced in the channel of the NMOS device as will be described further below. However, for illustration purposes, only NMOS device is shown and described in detail.
[0065] Fig. 6 shows spacers 175 that may be formed from suitable dielectric material incorporated around the gate 119. Offset spacers 177 may also be provided, which surround each of the spacers 175. Processes for forming shapes, sizes, and thickness of spacers 175 and 177 are known in the art and are not further described herein. A metal suicide layer 179 may be formed over the source region 114 and drain region 116. The suicide layer 179 may be formed from a suitable metal such as nickel, titanium, or cobalt by any suitable process such as sputtering or PVD (Physical Vapor Deposition). The suicide layer 179 may diffuse into portions of the underlying surfaces. Elevation of the drain region 116 is shown by the arrow 181 , which is shown as the distance from the substrate surface 180 to the top of the suicide layer 179. Facet 183 of source drain region is shown as the angled surface As will be understood by the skilled artisan, the exemplary device described above may be modified to include a source/drain or source/drain extension having a Si:C epitaxial layer that may be further modified according to the methods described herein.
[0066] Reference throughout this specification to "one embodiment," "certain embodiments," "one or more embodiments" or "an embodiment" means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention. Thus, the appearances of the phrases such as "in one or more embodiments," "in certain embodiments," "in one embodiment" or "in an embodiment" in various places throughout this specification are not necessarily referring to the same embodiment of the invention. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments. The order of description of the above method should not be considered limiting, and methods may use the described operations out of order or with omissions or additions.
[0067] It is to be understood that the above description is intended to be illustrative, and not restrictive. Many other embodiments will be apparent to those of ordinary skill in the art upon reviewing the above description. The scope of the invention should, therefore, be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.

Claims

Claims:
1. A method for epitaxially forming a silicon-containing material on a substrate surface, comprising: placing a substrate including a monocrystalline surface into a process chamber; exposing the substrate to an undoped deposition gas comprising a silicon source, a carbon source, and no dopant source to form a first undoped layer on the substrate; and sequentially exposing the substrate to a doped deposition gas to form an epitaxial layer on the monocrystalline surface, wherein the deposition gas comprises a dopant source and a carrier gas to form a doped layer on the first undoped layer.
2. The method of claim 1 , wherein the dopant comprises a phosphorus source.
3. The method of claim 2, wherein the phosphorus source comprises phosphine.
4. The method of claim 2, wherein the substrate is further processed by subsequently exposing the substrate to an etching gas.
5. The method of claim 2, further comprising purging the process chamber.
6. The method of claim 4, further comprising purging the process chamber immediately after exposing the substrate to the etching gas.
7. The method of claim 6, wherein the etching gas comprises chlorine and HCI.
8. The method of claim 2, wherein a single process cycle comprises an undoped deposition step, a doped deposition step, exposure to etching gas and purging the process chamber, and the process cycle is repeated at least twice.
9. The method of claim 8, wherein purging the process chamber comprises flowing only an inert gas.
10. The method of claim 1 , wherein the undoped deposition step is performed after the doped deposition step.
11. The method of claim 10, wherein the doped deposition step further comprises flowing a silicon source together with the dopant source.
12. The method of claim 11 , wherein the silicon source comprises monosilane and a higher order silane.
13. The method of claim 12, wherein the higher order silane is selected from disilane, neopentasilane and mixtures thereof.
14. The method of claim 12, wherein the higher order silane comprises neopentasilane.
15. The method of claim 12, wherein the carbon source comprises methylsilane.
16. The method of claim 2, wherein the epitaxial film is formed during a fabrication step of transistor manufacturing process, and the method further comprises: forming a gate dielectric on a substrate; forming a gate electrode on the gate dielectric; and forming source/drain regions on the substrate on opposite sides of the electrode and defining a channel region between the source/drain regions.
17. The method of claim 11 , wherein the epitaxial film is formed during a fabrication step of transistor manufacturing process, and the method further comprises: forming a gate dielectric on a substrate; forming a gate electrode on the gate dielectric; and forming source/drain regions on the substrate on opposite sides of the electrode and defining a channel region between the source/drain regions.
PCT/US2007/087061 2006-12-12 2007-12-11 Formation of epitaxial layers containing silicon and carbon WO2008073930A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/609,608 2006-12-12
US11/609,608 US7897495B2 (en) 2006-12-12 2006-12-12 Formation of epitaxial layer containing silicon and carbon

Publications (1)

Publication Number Publication Date
WO2008073930A1 true WO2008073930A1 (en) 2008-06-19

Family

ID=39498583

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/087061 WO2008073930A1 (en) 2006-12-12 2007-12-11 Formation of epitaxial layers containing silicon and carbon

Country Status (3)

Country Link
US (1) US7897495B2 (en)
TW (1) TWI400744B (en)
WO (1) WO2008073930A1 (en)

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE474069T1 (en) * 2007-01-19 2010-07-15 Patco Engineering Gmbh METHOD FOR REDUCING OXIDIC SLAG FROM DUST AND INDUCTIVELY HEATED FURNACE FOR CARRYING OUT THIS METHOD
US7888775B2 (en) * 2007-09-27 2011-02-15 Infineon Technologies Ag Vertical diode using silicon formed by selective epitaxial growth
US7994015B2 (en) 2009-04-21 2011-08-09 Applied Materials, Inc. NMOS transistor devices and methods for fabricating same
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
JP5467007B2 (en) * 2009-09-30 2014-04-09 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US20110079861A1 (en) * 2009-09-30 2011-04-07 Lucian Shifren Advanced Transistors with Threshold Voltage Set Dopant Structures
US8999798B2 (en) * 2009-12-17 2015-04-07 Applied Materials, Inc. Methods for forming NMOS EPI layers
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
WO2012002995A2 (en) * 2010-07-02 2012-01-05 Matheson Tri-Gas, Inc. Thin films and methods of making them using cyclohexasilane
KR20130139844A (en) * 2010-07-02 2013-12-23 매티슨 트라이-개스, 인크. Selective epitaxy of si-containing materials and substitutionally doped crystalline si-containing materials
US8354694B2 (en) 2010-08-13 2013-01-15 International Business Machines Corporation CMOS transistors with stressed high mobility channels
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
KR101891373B1 (en) 2011-08-05 2018-08-24 엠아이이 후지쯔 세미컨덕터 리미티드 Semiconductor devices having fin structures and fabrication methods thereof
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US9053939B2 (en) 2011-11-23 2015-06-09 International Business Machines Corporation Heterojunction bipolar transistor with epitaxial emitter stack to improve vertical scaling
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8728897B2 (en) 2012-01-03 2014-05-20 International Business Machines Corporation Power sige heterojunction bipolar transistor (HBT) with improved drive current by strain compensation
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
US9431068B2 (en) 2012-10-31 2016-08-30 Mie Fujitsu Semiconductor Limited Dynamic random access memory (DRAM) with low variation transistor peripheral circuits
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
CN105609406B (en) * 2014-11-19 2018-09-28 株式会社日立国际电气 The manufacturing method of semiconductor devices, substrate processing device, gas supply system
JP6606476B2 (en) * 2016-08-02 2019-11-13 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
US10256322B2 (en) 2017-04-04 2019-04-09 Applied Materials, Inc. Co-doping process for n-MOS source drain application
US9923081B1 (en) 2017-04-04 2018-03-20 Applied Materials, Inc. Selective process for source and drain formation

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6232196B1 (en) * 1998-03-06 2001-05-15 Asm America, Inc. Method of depositing silicon with high step coverage
US20040224089A1 (en) * 2002-10-18 2004-11-11 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US20060166414A1 (en) * 2004-12-01 2006-07-27 Carlson David K Selective deposition

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3650042A (en) 1969-05-19 1972-03-21 Ibm Gas barrier for interconnecting and isolating two atmospheres
US3757733A (en) 1971-10-27 1973-09-11 Texas Instruments Inc Radial flow reactor
US4976996A (en) 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US4900591A (en) 1988-01-20 1990-02-13 The United States Of America As Represented By The Secretary Of The Air Force Method for the deposition of high quality silicon dioxide at low temperature
US5207835A (en) 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
CA2011563C (en) * 1989-03-08 1994-05-10 Kiyoshi Nakata Power conversion system
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5108792A (en) 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5179677A (en) 1990-08-16 1993-01-12 Applied Materials, Inc. Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity
KR100224707B1 (en) * 1995-12-23 1999-10-15 윤종용 Method for manufacturing of semiconductor device capacitor
KR100200705B1 (en) * 1996-06-08 1999-06-15 윤종용 Manufacture apparatus of semiconductor device, process condition of manufacture apparatus, method of manufacturing capacitor using the same
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5976261A (en) 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6083321A (en) 1997-07-11 2000-07-04 Applied Materials, Inc. Fluid delivery system and method
US20050188923A1 (en) 1997-08-11 2005-09-01 Cook Robert C. Substrate carrier for parallel wafer processing reactor
US6184154B1 (en) 1999-10-13 2001-02-06 Seh America, Inc. Method of processing the backside of a wafer within an epitaxial reactor chamber
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
KR100332313B1 (en) 2000-06-24 2002-04-12 서성기 Apparatus and method for depositing thin film on wafer
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
AU2002306436A1 (en) 2001-02-12 2002-10-15 Asm America, Inc. Improved process for deposition of semiconductor films
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US6897131B2 (en) 2002-09-20 2005-05-24 Applied Materials, Inc. Advances in spike anneal processes for ultra shallow junctions
US6803297B2 (en) 2002-09-20 2004-10-12 Applied Materials, Inc. Optimal spike anneal ambient
US6998153B2 (en) 2003-01-27 2006-02-14 Applied Materials, Inc. Suppression of NiSi2 formation in a nickel salicide process using a pre-silicide nitrogen plasma
JP2006524429A (en) 2003-03-28 2006-10-26 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Method for epitaxial growth of N-doped silicon layers
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
KR100625175B1 (en) * 2004-05-25 2006-09-20 삼성전자주식회사 Semiconductor device having a channel layer and method of manufacturing the same
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7438760B2 (en) 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
JP4357434B2 (en) * 2005-02-25 2009-11-04 株式会社東芝 Manufacturing method of semiconductor device
US8021527B2 (en) 2005-09-14 2011-09-20 Applied Materials, Inc. Coaxial shafts for radial positioning of rotating magnetron
WO2007112058A2 (en) 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
JP4193861B2 (en) * 2006-04-13 2008-12-10 コニカミノルタビジネステクノロジーズ株式会社 Job completion notification device, job completion notification device control method, and job completion notification device control program
US20070246354A1 (en) 2006-04-19 2007-10-25 Maxim Integrated Products, Inc. Plasma systems with magnetic filter devices to alter film deposition/etching characteristics
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7648853B2 (en) * 2006-07-11 2010-01-19 Asm America, Inc. Dual channel heterostructure
US7588980B2 (en) 2006-07-31 2009-09-15 Applied Materials, Inc. Methods of controlling morphology during epitaxial layer formation
US8852349B2 (en) 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
US8951351B2 (en) 2006-09-15 2015-02-10 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced backside deposition and defects
US7976634B2 (en) 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US9064960B2 (en) 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6232196B1 (en) * 1998-03-06 2001-05-15 Asm America, Inc. Method of depositing silicon with high step coverage
US20040224089A1 (en) * 2002-10-18 2004-11-11 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US20060166414A1 (en) * 2004-12-01 2006-07-27 Carlson David K Selective deposition

Also Published As

Publication number Publication date
TWI400744B (en) 2013-07-01
US7897495B2 (en) 2011-03-01
US20080138964A1 (en) 2008-06-12
TW200834675A (en) 2008-08-16

Similar Documents

Publication Publication Date Title
US7897495B2 (en) Formation of epitaxial layer containing silicon and carbon
US7776698B2 (en) Selective formation of silicon carbon epitaxial layer
US20080138955A1 (en) Formation of epitaxial layer containing silicon
US7598178B2 (en) Carbon precursors for use during silicon epitaxial film formation
US7572715B2 (en) Selective epitaxy process with alternating gas supply
US8586456B2 (en) Use of CL2 and/or HCL during silicon epitaxial film formation
US7960236B2 (en) Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US7560352B2 (en) Selective deposition
WO2005112577A2 (en) Methods to fabricate mosfet devices using selective deposition processes
US8394196B2 (en) Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07869103

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07869103

Country of ref document: EP

Kind code of ref document: A1